Sie sind auf Seite 1von 3251

I n t e l 6 4 a n d I A-3 2 Arch it e ct u re s

S o ft w a re D e v e lo p e rs Ma n u a l
Combined Volumes:
1, 2A, 2B, 2C, 3A, 3B and 3C
NOTE: This document contains all seven volumes of the Intel 64 and IA-32 Architectures Software
Developer's Manual: Basic Architecture, Instruction Set Reference A-M, Instruction Set Reference N-
Z, Instruction Set Reference, and the System Programming Guide, Parts 1, 2 and 3. Refer to all seven
volumes when evaluating your design needs.
Order Number: 325462-047US
June 2013
INFORMATION IN THIS DOCUMENT IS PROVIDED IN CONNECTION WITH INTEL PRODUCTS. NO LICENSE, EXPRESS OR IMPLIED, BY ESTOPPEL
OR OTHERWISE, TO ANY INTELLECTUAL PROPERTY RIGHTS IS GRANTED BY THIS DOCUMENT. EXCEPT AS PROVIDED IN INTEL'S TERMS AND
CONDITIONS OF SALE FOR SUCH PRODUCTS, INTEL ASSUMES NO LIABILITY WHATSOEVER AND INTEL DISCLAIMS ANY EXPRESS OR IMPLIED
WARRANTY, RELATING TO SALE AND/OR USE OF INTEL PRODUCTS INCLUDING LIABILITY OR WARRANTIES RELATING TO FITNESS FOR A PARTIC-
ULAR PURPOSE, MERCHANTABILITY, OR INFRINGEMENT OF ANY PATENT, COPYRIGHT OR OTHER INTELLECTUAL PROPERTY RIGHT.
A "Mission Critical Application" is any application in which failure of the Intel Product could result, directly or indirectly, in personal injury or death.
SHOULD YOU PURCHASE OR USE INTEL'S PRODUCTS FOR ANY SUCH MISSION CRITICAL APPLICATION, YOU SHALL INDEMNIFY AND HOLD INTEL
AND ITS SUBSIDIARIES, SUBCONTRACTORS AND AFFILIATES, AND THE DIRECTORS, OFFICERS, AND EMPLOYEES OF EACH, HARMLESS AGAINST
ALL CLAIMS COSTS, DAMAGES, AND EXPENSES AND REASONABLE ATTORNEYS' FEES ARISING OUT OF, DIRECTLY OR INDIRECTLY, ANY CLAIM OF
PRODUCT LIABILITY, PERSONAL INJURY, OR DEATH ARISING IN ANY WAY OUT OF SUCH MISSION CRITICAL APPLICATION, WHETHER OR NOT
INTEL OR ITS SUBCONTRACTOR WAS NEGLIGENT IN THE DESIGN, MANUFACTURE, OR WARNING OF THE INTEL PRODUCT OR ANY OF ITS PARTS.
Intel may make changes to specifications and product descriptions at any time, without notice. Designers must not rely on the absence or char-
acteristics of any features or instructions marked "reserved" or "undefined". Intel reserves these for future definition and shall have no responsi-
bility whatsoever for conflicts or incompatibilities arising from future changes to them. The information here is subject to change without notice.
Do not finalize a design with this information.
The products described in this document may contain design defects or errors known as errata which may cause the product to deviate from
published specifications. Current characterized errata are available on request.
Intel

AES-NI requires a computer system with an AES-NI enabled processor, as well as non-Intel software to execute the instructions in the
correct sequence. AES-NI is available on select Intel

processors. For availability, consult your reseller or system manufacturer. For more in-
formation, see http://software.intel.com/en-us/articles/intel-advanced-encryption-standard-instructions-aes-ni/.
Intel

Hyper-Threading Technology (Intel

HT Technology) is available on select Intel

Core processors. Requires an Intel

HT Technology-
enabled system. Consult your PC manufacturer. Performance will vary depending on the specific hardware and software used. For more infor-
mation including details on which processors support HT Technology, visit http://www.intel.com/info/hyperthreading.
Intel

Virtualization Technology requires a computer system with an enabled Intel

processor, BIOS, and virtual machine monitor (VMM). Func-


tionality, performance or other benefits will vary depending on hardware and software configurations. Software applications may not be com-
patible with all operating systems. Consult your PC manufacturer. For more information, visit http://www.intel.com/go/virtualization.
Intel

64 architecture Requires a system with a 64-bit enabled processor, chipset, BIOS and software. Performance will vary depending on the
specific hardware and software you use. Consult your PC manufacturer for more information. For more information, visit http://www.in-
tel.com/info/em64t.
Enabling Execute Disable Bit functionality requires a PC with a processor with Execute Disable Bit capability and a supporting operating system.
Check with your PC manufacturer on whether your system delivers Execute Disable Bit functionality.
Intel, the Intel logo, Pentium, Xeon, Intel NetBurst, Intel Core, Intel Core Solo, Intel Core Duo, Intel Core 2 Duo, Intel Core 2 Extreme, Intel
Pentium D, Itanium, Intel SpeedStep, MMX, Intel Atom, and VTune are trademarks of Intel Corporation in the U.S. and/or other countries.
*Other names and brands may be claimed as the property of others.
Contact your local Intel sales office or your distributor to obtain the latest specifications and before placing your product order.
Copies of documents which have an order number and are referenced in this document, or other Intel literature, may be obtained by calling 1-
800-548-4725, or go to: http://www.intel.com/design/literature.htm
Copyright 1997-2013 Intel Corporation. All rights reserved.
I n t e l 6 4 a n d I A-3 2 Arch it e ct u re s
S o ft w a re D e v e lo p e rs Ma n u a l
Volume 1:
Basic Architecture
NOTE: The Intel

64 and IA-32 Architectures Software Developer's Manual consists of seven volumes:


Basic Architecture, Order Number 253665; Instruction Set Reference A-M, Order Number 253666;
Instruction Set Reference N-Z, Order Number 253667; Instruction Set Reference, Order Number
326018; System Programming Guide, Part 1, Order Number 253668; System Programming Guide, Part
2, Order Number 253669; System Programming Guide, Part 3, Order Number 326019. Refer to all seven
volumes when evaluating your design needs.
Order Number: 253665-047US
June 2013
INFORMATION IN THIS DOCUMENT IS PROVIDED IN CONNECTION WITH INTEL PRODUCTS. NO LICENSE, EXPRESS OR IMPLIED, BY ESTOPPEL
OR OTHERWISE, TO ANY INTELLECTUAL PROPERTY RIGHTS IS GRANTED BY THIS DOCUMENT. EXCEPT AS PROVIDED IN INTEL'S TERMS AND
CONDITIONS OF SALE FOR SUCH PRODUCTS, INTEL ASSUMES NO LIABILITY WHATSOEVER AND INTEL DISCLAIMS ANY EXPRESS OR IMPLIED
WARRANTY, RELATING TO SALE AND/OR USE OF INTEL PRODUCTS INCLUDING LIABILITY OR WARRANTIES RELATING TO FITNESS FOR A PARTIC-
ULAR PURPOSE, MERCHANTABILITY, OR INFRINGEMENT OF ANY PATENT, COPYRIGHT OR OTHER INTELLECTUAL PROPERTY RIGHT.
A "Mission Critical Application" is any application in which failure of the Intel Product could result, directly or indirectly, in personal injury or death.
SHOULD YOU PURCHASE OR USE INTEL'S PRODUCTS FOR ANY SUCH MISSION CRITICAL APPLICATION, YOU SHALL INDEMNIFY AND HOLD INTEL
AND ITS SUBSIDIARIES, SUBCONTRACTORS AND AFFILIATES, AND THE DIRECTORS, OFFICERS, AND EMPLOYEES OF EACH, HARMLESS AGAINST
ALL CLAIMS COSTS, DAMAGES, AND EXPENSES AND REASONABLE ATTORNEYS' FEES ARISING OUT OF, DIRECTLY OR INDIRECTLY, ANY CLAIM OF
PRODUCT LIABILITY, PERSONAL INJURY, OR DEATH ARISING IN ANY WAY OUT OF SUCH MISSION CRITICAL APPLICATION, WHETHER OR NOT
INTEL OR ITS SUBCONTRACTOR WAS NEGLIGENT IN THE DESIGN, MANUFACTURE, OR WARNING OF THE INTEL PRODUCT OR ANY OF ITS PARTS.
Intel may make changes to specifications and product descriptions at any time, without notice. Designers must not rely on the absence or char-
acteristics of any features or instructions marked "reserved" or "undefined". Intel reserves these for future definition and shall have no responsi-
bility whatsoever for conflicts or incompatibilities arising from future changes to them. The information here is subject to change without notice.
Do not finalize a design with this information.
The products described in this document may contain design defects or errors known as errata which may cause the product to deviate from
published specifications. Current characterized errata are available on request.
Intel

AES-NI requires a computer system with an AES-NI enabled processor, as well as non-Intel software to execute the instructions in the
correct sequence. AES-NI is available on select Intel

processors. For availability, consult your reseller or system manufacturer. For more in-
formation, see http://software.intel.com/en-us/articles/intel-advanced-encryption-standard-instructions-aes-ni/.
Intel

Hyper-Threading Technology (Intel

HT Technology) is available on select Intel

Core processors. Requires an Intel

HT Technology-
enabled system. Consult your PC manufacturer. Performance will vary depending on the specific hardware and software used. For more infor-
mation including details on which processors support HT Technology, visit http://www.intel.com/info/hyperthreading.
Intel

Virtualization Technology requires a computer system with an enabled Intel

processor, BIOS, and virtual machine monitor (VMM). Func-


tionality, performance or other benefits will vary depending on hardware and software configurations. Software applications may not be com-
patible with all operating systems. Consult your PC manufacturer. For more information, visit http://www.intel.com/go/virtualization.
Intel

64 architecture Requires a system with a 64-bit enabled processor, chipset, BIOS and software. Performance will vary depending on the
specific hardware and software you use. Consult your PC manufacturer for more information. For more information, visit http://www.in-
tel.com/info/em64t.
Enabling Execute Disable Bit functionality requires a PC with a processor with Execute Disable Bit capability and a supporting operating system.
Check with your PC manufacturer on whether your system delivers Execute Disable Bit functionality.
Intel, the Intel logo, Pentium, Xeon, Intel NetBurst, Intel Core, Intel Core Solo, Intel Core Duo, Intel Core 2 Duo, Intel Core 2 Extreme, Intel
Pentium D, Itanium, Intel SpeedStep, MMX, Intel Atom, and VTune are trademarks of Intel Corporation in the U.S. and/or other countries.
*Other names and brands may be claimed as the property of others.
Contact your local Intel sales office or your distributor to obtain the latest specifications and before placing your product order.
Copies of documents which have an order number and are referenced in this document, or other Intel literature, may be obtained by calling 1-
800-548-4725, or go to: http://www.intel.com/design/literature.htm
Copyright 1997-2013 Intel Corporation. All rights reserved.
Vol. 1 iii
CONTENTS
PAGE
C H AP T E R 1
AB O U T T H I S MAN U AL
1.1 INTEL

64 AND IA-32 PROCESSORS COVERED IN THIS MANUAL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-1


1.2 OVERVIEW OF VOLUME 1: BASIC ARCHITECTURE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-3
1.3 NOTATIONAL CONVENTIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-4
1.3.1 Bit and Byte Order. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1-4
1.3.2 Reserved Bits and Software Compatibility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1-4
1.3.2.1 Instruction Operands. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1-5
1.3.3 Hexadecimal and Binary Numbers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1-5
1.3.4 Segmented Addressing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1-5
1.3.5 A New Syntax for CPUID, CR, and MSR Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1-6
1.3.6 Exceptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1-6
1.4 RELATED LITERATURE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-7
C H AP T E R 2
I N T E L

6 4 AN D I A-3 2 AR C H I T E C T U R E S
2.1 BRIEF HISTORY OF INTEL

64 AND IA-32 ARCHITECTURE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-1


2.1.1 16-bit Processors and Segmentation (1978) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-1
2.1.2 The Intel


286 Processor (1982). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-1
2.1.3 The Intel386

Processor (1985). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-1


2.1.4 The Intel486

Processor (1989) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-2
2.1.5 The Intel

Pentium

Processor (1993). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-2
2.1.6 The P6 Family of Processors (1995-1999). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-2
2.1.7 The Intel

Pentium

4 Processor Family (2000-2006). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-3


2.1.8 The Intel

Xeon

Processor (2001- 2007). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-3
2.1.9 The Intel

Pentium

M Processor (2003-Current) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-3
2.1.10 The Intel

Pentium

Processor Extreme Edition (2005-2007) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-4


2.1.11 The Intel

Core

Duo and Intel

Core

Solo Processors (2006-2007) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-4
2.1.12 The Intel

Xeon

Processor 5100, 5300 Series and Intel

Core

2 Processor Family (2006-Current) . . . . . . . . . . . . . . . .2-4


2.1.13 The Intel

Xeon

Processor 5200, 5400, 7400 Series and Intel

Core

2 Processor Family (2007-Current). . . . . . . . . .2-5


2.1.14 The Intel

Atom

Processor Family (2008-Current). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-5


2.1.15 The Intel

Core

i7 Processor Family (2008-Current). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-5


2.1.16 The Intel

Xeon

Processor 7500 Series (2010) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-5


2.1.17 2010 Intel

Core

Processor Family (2010) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-6


2.1.18 The Intel

Xeon

Processor 5600 Series (2010) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-6


2.1.19 2nd Generation Intel

Core

Processor Family (2011). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-6


2.2 MORE ON SPECIFIC ADVANCES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-6
2.2.1 P6 Family Microarchitecture. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-6
2.2.2 Intel NetBurst

Microarchitecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-8
2.2.2.1 The Front End Pipeline. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-9
2.2.2.2 Out-Of-Order Execution Core. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-10
2.2.2.3 Retirement Unit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-10
2.2.3 Intel

Core

Microarchitecture. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-10
2.2.3.1 The Front End. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-11
2.2.3.2 Execution Core . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-11
2.2.4 Intel

Atom

Microarchitecture. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-12
2.2.5 Intel

Microarchitecture Code Name Nehalem. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-12


2.2.6 Intel

Microarchitecture Code Name Sandy Bridge. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-13


2.2.7 SIMD Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-14
2.2.8 IntelHyper-Threading Technology. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-16
2.2.8.1 Some Implementation Notes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-16
2.2.9 Multi-Core Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-17
2.2.10 Intel

64 Architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-20
CONTENTS
iv Vol. 1
PAGE
2.2.11 Intel

Virtualization Technology (Intel

VT). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-20
2.3 INTEL

64 AND IA-32 PROCESSOR GENERATIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-20


C H AP T E R 3
B AS I C E XE C U T I O N E N VI R O N ME N T
3.1 MODES OF OPERATION. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-1
3.1.1 Intel

64 Architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-1
3.2 OVERVIEW OF THE BASIC EXECUTION ENVIRONMENT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-2
3.2.1 64-Bit Mode Execution Environment. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-4
3.3 MEMORY ORGANIZATION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-5
3.3.1 IA-32 Memory Models. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-6
3.3.2 Paging and Virtual Memory. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-7
3.3.3 Memory Organization in 64-Bit Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-7
3.3.4 Modes of Operation vs. Memory Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-8
3.3.5 32-Bit and 16-Bit Address and Operand Sizes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-8
3.3.6 Extended Physical Addressing in Protected Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-8
3.3.7 Address Calculations in 64-Bit Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-9
3.3.7.1 Canonical Addressing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-9
3.4 BASIC PROGRAM EXECUTION REGISTERS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-9
3.4.1 General-Purpose Registers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-10
3.4.1.1 General-Purpose Registers in 64-Bit Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-11
3.4.2 Segment Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-12
3.4.2.1 Segment Registers in 64-Bit Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-14
3.4.3 EFLAGS Register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-14
3.4.3.1 Status Flags. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-15
3.4.3.2 DF Flag. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-16
3.4.3.3 System Flags and IOPL Field. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-16
3.4.3.4 RFLAGS Register in 64-Bit Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-17
3.5 INSTRUCTION POINTER . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-17
3.5.1 Instruction Pointer in 64-Bit Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-17
3.6 OPERAND-SIZE AND ADDRESS-SIZE ATTRIBUTES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-17
3.6.1 Operand Size and Address Size in 64-Bit Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-18
3.7 OPERAND ADDRESSING. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-18
3.7.1 Immediate Operands. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-19
3.7.2 Register Operands. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-19
3.7.2.1 Register Operands in 64-Bit Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-20
3.7.3 Memory Operands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-20
3.7.3.1 Memory Operands in 64-Bit Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-20
3.7.4 Specifying a Segment Selector . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-20
3.7.4.1 Segmentation in 64-Bit Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-21
3.7.5 Specifying an Offset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-21
3.7.5.1 Specifying an Offset in 64-Bit Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-23
3.7.6 Assembler and Compiler Addressing Modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-23
3.7.7 I/O Port Addressing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-23
C H AP T E R 4
D AT A T YP E S
4.1 FUNDAMENTAL DATA TYPES. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-1
4.1.1 Alignment of Words, Doublewords, Quadwords, and Double Quadwords . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-2
4.2 NUMERIC DATA TYPES. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-2
4.2.1 Integers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-3
4.2.1.1 Unsigned Integers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-3
4.2.1.2 Signed Integers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-4
4.2.2 Floating-Point Data Types. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-4
4.3 POINTER DATA TYPES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-6
4.3.1 Pointer Data Types in 64-Bit Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-7
4.4 BIT FIELD DATA TYPE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-7
4.5 STRING DATA TYPES. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-8
4.6 PACKED SIMD DATA TYPES. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-8
Vol. 1 v
C O N T E N T S
PAGE
4.6.1 64-Bit SIMD Packed Data Types. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-8
4.6.2 128-Bit Packed SIMD Data Types. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-8
4.7 BCD AND PACKED BCD INTEGERS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-9
4.8 REAL NUMBERS AND FLOATING-POINT FORMATS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-11
4.8.1 Real Number System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-11
4.8.2 Floating-Point Format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-11
4.8.2.1 Normalized Numbers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-13
4.8.2.2 Biased Exponent . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-13
4.8.3 Real Number and Non-number Encodings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-13
4.8.3.1 Signed Zeros. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-14
4.8.3.2 Normalized and Denormalized Finite Numbers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-14
4.8.3.3 Signed Infinities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-15
4.8.3.4 NaNs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-15
4.8.3.5 Operating on SNaNs and QNaNs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-16
4.8.3.6 Using SNaNs and QNaNs in Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-16
4.8.3.7 QNaN Floating-Point Indefinite. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-17
4.8.3.8 Half-Precision Floating-Point Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-17
4.8.4 Rounding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-17
4.8.4.1 Rounding Control (RC) Fields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-18
4.8.4.2 Truncation with SSE and SSE2 Conversion Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-18
4.9 OVERVIEW OF FLOATING-POINT EXCEPTIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-18
4.9.1 Floating-Point Exception Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-19
4.9.1.1 Invalid Operation Exception (#I) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-20
4.9.1.2 Denormal Operand Exception (#D). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-20
4.9.1.3 Divide-By-Zero Exception (#Z) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-20
4.9.1.4 Numeric Overflow Exception (#O) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-20
4.9.1.5 Numeric Underflow Exception (#U). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-21
4.9.1.6 Inexact-Result (Precision) Exception (#P) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-22
4.9.2 Floating-Point Exception Priority . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-22
4.9.3 Typical Actions of a Floating-Point Exception Handler . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-23
C H AP T E R 5
I N S T R U C T I O N S E T S U MMAR Y
5.1 GENERAL-PURPOSE INSTRUCTIONS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-2
5.1.1 Data Transfer Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-3
5.1.2 Binary Arithmetic Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-3
5.1.3 Decimal Arithmetic Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-4
5.1.4 Logical Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-4
5.1.5 Shift and Rotate Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-4
5.1.6 Bit and Byte Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-4
5.1.7 Control Transfer Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-5
5.1.8 String Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-6
5.1.9 I/O Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-6
5.1.10 Enter and Leave Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-6
5.1.11 Flag Control (EFLAG) Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-7
5.1.12 Segment Register Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-7
5.1.13 Miscellaneous Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-7
5.1.14 Random Number Generator Instruction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-7
5.1.15 BMI1, BMI2. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-7
5.1.15.1 Detection of VEX-encoded GPR Instructions, LZCNT and TZCNT. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-8
5.2 X87 FPU INSTRUCTIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-8
5.2.1 x87 FPU Data Transfer Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-8
5.2.2 x87 FPU Basic Arithmetic Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-9
5.2.3 x87 FPU Comparison Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-9
5.2.4 x87 FPU Transcendental Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-10
5.2.5 x87 FPU Load Constants Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-10
5.2.6 x87 FPU Control Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-10
5.3 X87 FPU AND SIMD STATE MANAGEMENT INSTRUCTIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-11
5.4 MMXINSTRUCTIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-11
CONTENTS
vi Vol. 1
PAGE
5.4.1 MMX Data Transfer Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-11
5.4.2 MMX Conversion Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-11
5.4.3 MMX Packed Arithmetic Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-12
5.4.4 MMX Comparison Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-12
5.4.5 MMX Logical Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-12
5.4.6 MMX Shift and Rotate Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-13
5.4.7 MMX State Management Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-13
5.5 SSE INSTRUCTIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-13
5.5.1 SSE SIMD Single-Precision Floating-Point Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-13
5.5.1.1 SSE Data Transfer Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-13
5.5.1.2 SSE Packed Arithmetic Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-14
5.5.1.3 SSE Comparison Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-14
5.5.1.4 SSE Logical Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-14
5.5.1.5 SSE Shuffle and Unpack Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-15
5.5.1.6 SSE Conversion Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-15
5.5.2 SSE MXCSR State Management Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-15
5.5.3 SSE 64-Bit SIMD Integer Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-15
5.5.4 SSE Cacheability Control, Prefetch, and Instruction Ordering Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-16
5.6 SSE2 INSTRUCTIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-16
5.6.1 SSE2 Packed and Scalar Double-Precision Floating-Point Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-16
5.6.1.1 SSE2 Data Movement Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-16
5.6.1.2 SSE2 Packed Arithmetic Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-17
5.6.1.3 SSE2 Logical Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-17
5.6.1.4 SSE2 Compare Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-17
5.6.1.5 SSE2 Shuffle and Unpack Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-17
5.6.1.6 SSE2 Conversion Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-18
5.6.2 SSE2 Packed Single-Precision Floating-Point Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-18
5.6.3 SSE2 128-Bit SIMD Integer Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-18
5.6.4 SSE2 Cacheability Control and Ordering Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-19
5.7 SSE3 INSTRUCTIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-19
5.7.1 SSE3 x87-FP Integer Conversion Instruction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-19
5.7.2 SSE3 Specialized 128-bit Unaligned Data Load Instruction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-20
5.7.3 SSE3 SIMD Floating-Point Packed ADD/SUB Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-20
5.7.4 SSE3 SIMD Floating-Point Horizontal ADD/SUB Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-20
5.7.5 SSE3 SIMD Floating-Point LOAD/MOVE/DUPLICATE Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-20
5.7.6 SSE3 Agent Synchronization Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-20
5.8 SUPPLEMENTAL STREAMING SIMD EXTENSIONS 3 (SSSE3) INSTRUCTIONS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-20
5.8.1 Horizontal Addition/Subtraction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-21
5.8.2 Packed Absolute Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-21
5.8.3 Multiply and Add Packed Signed and Unsigned Bytes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-21
5.8.4 Packed Multiply High with Round and Scale . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-21
5.8.5 Packed Shuffle Bytes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-22
5.8.6 Packed Sign . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-22
5.8.7 Packed Align Right. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-22
5.9 SSE4 INSTRUCTIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-22
5.10 SSE4.1 INSTRUCTIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-23
5.10.1 Dword Multiply Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-23
5.10.2 Floating-Point Dot Product Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-23
5.10.3 Streaming Load Hint Instruction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-23
5.10.4 Packed Blending Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-23
5.10.5 Packed Integer MIN/MAX Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-23
5.10.6 Floating-Point Round Instructions with Selectable Rounding Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-24
5.10.7 Insertion and Extractions from XMM Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-24
5.10.8 Packed Integer Format Conversions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-24
5.10.9 Improved Sums of Absolute Differences (SAD) for 4-Byte Blocks. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-25
5.10.10 Horizontal Search. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-25
5.10.11 Packed Test . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-25
5.10.12 Packed Qword Equality Comparisons. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-25
5.10.13 Dword Packing With Unsigned Saturation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-25
Vol. 1 vii
C O N T E N T S
PAGE
5.11 SSE4.2 INSTRUCTION SET. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-25
5.11.1 String and Text Processing Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-25
5.11.2 Packed Comparison SIMD integer Instruction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-26
5.11.3 Application-Targeted Accelerator Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-26
5.12 AESNI AND PCLMULQDQ. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-26
5.13 INTELADVANCED VECTOR EXTENSIONS (INTELAVX). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-26
5.14 16-BIT FLOATING-POINT CONVERSION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-27
5.15 FUSED-MULTIPLY-ADD (FMA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-27
5.16 INTELADVANCED VECTOR EXTENSIONS 2 (INTELAVX2) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-27
5.17 INTELTRANSACTIONAL SYNCHRONIZATION EXTENSIONS (TSX) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-27
5.18 SYSTEM INSTRUCTIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-27
5.19 64-BIT MODE INSTRUCTIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-28
5.20 VIRTUAL-MACHINE EXTENSIONS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-29
5.21 SAFER MODE EXTENSIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-29
C H AP T E R 6
P R O C E D U R E C ALLS , I N T E R R U P T S , AN D E XC E P T I O N S
6.1 PROCEDURE CALL TYPES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-1
6.2 STACKS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-1
6.2.1 Setting Up a Stack. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6-2
6.2.2 Stack Alignment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6-2
6.2.3 Address-Size Attributes for Stack Accesses. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6-3
6.2.4 Procedure Linking Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6-3
6.2.4.1 Stack-Frame Base Pointer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6-3
6.2.4.2 Return Instruction Pointer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6-3
6.2.5 Stack Behavior in 64-Bit Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6-4
6.3 CALLING PROCEDURES USING CALL AND RET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-4
6.3.1 Near CALL and RET Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6-4
6.3.2 Far CALL and RET Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6-4
6.3.3 Parameter Passing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6-5
6.3.3.1 Passing Parameters Through the General-Purpose Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6-5
6.3.3.2 Passing Parameters on the Stack. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6-5
6.3.3.3 Passing Parameters in an Argument List. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6-5
6.3.4 Saving Procedure State Information. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6-6
6.3.5 Calls to Other Privilege Levels. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6-6
6.3.6 CALL and RET Operation Between Privilege Levels. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6-7
6.3.7 Branch Functions in 64-Bit Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6-8
6.4 INTERRUPTS AND EXCEPTIONS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-9
6.4.1 Call and Return Operation for Interrupt or Exception Handling Procedures. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6-9
6.4.2 Calls to Interrupt or Exception Handler Tasks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-12
6.4.3 Interrupt and Exception Handling in Real-Address Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-12
6.4.4 INT n, INTO, INT 3, and BOUND Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-12
6.4.5 Handling Floating-Point Exceptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-13
6.4.6 Interrupt and Exception Behavior in 64-Bit Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-13
6.5 PROCEDURE CALLS FOR BLOCK-STRUCTURED LANGUAGES. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-13
6.5.1 ENTER Instruction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-14
6.5.2 LEAVE Instruction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-18
C H AP T E R 7
P R O G R AMMI N G WI T H G E N E R AL-P U R P O S E I N S T R U C T I O N S
7.1 PROGRAMMING ENVIRONMENT FOR GP INSTRUCTIONS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-1
7.2 PROGRAMMING ENVIRONMENT FOR GP INSTRUCTIONS IN 64-BIT MODE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-1
7.3 SUMMARY OF GP INSTRUCTIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-2
7.3.1 Data Transfer Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .7-2
7.3.1.1 General Data Movement Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .7-3
7.3.1.2 Exchange Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .7-4
7.3.1.3 Exchange Instructions in 64-Bit Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .7-5
7.3.1.4 Stack Manipulation Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .7-5
7.3.1.5 Stack Manipulation Instructions in 64-Bit Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .7-7
CONTENTS
viii Vol. 1
PAGE
7.3.1.6 Type Conversion Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .7-7
7.3.1.7 Type Conversion Instructions in 64-Bit Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .7-8
7.3.2 Binary Arithmetic Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .7-8
7.3.2.1 Addition and Subtraction Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .7-8
7.3.2.2 Increment and Decrement Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .7-8
7.3.2.3 Increment and Decrement Instructions in 64-Bit Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .7-8
7.3.2.4 Comparison and Sign Change Instruction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .7-8
7.3.2.5 Multiplication and Divide Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .7-9
7.3.3 Decimal Arithmetic Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .7-9
7.3.3.1 Packed BCD Adjustment Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .7-9
7.3.3.2 Unpacked BCD Adjustment Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .7-9
7.3.4 Decimal Arithmetic Instructions in 64-Bit Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-10
7.3.5 Logical Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-10
7.3.6 Shift and Rotate Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-10
7.3.6.1 Shift Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-10
7.3.6.2 Double-Shift Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-12
7.3.6.3 Rotate Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-13
7.3.7 Bit and Byte Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-13
7.3.7.1 Bit Test and Modify Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-14
7.3.7.2 Bit Scan Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-14
7.3.7.3 Byte Set on Condition Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-14
7.3.7.4 Test Instruction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-14
7.3.8 Control Transfer Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-14
7.3.8.1 Unconditional Transfer Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-14
7.3.8.2 Conditional Transfer Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-15
7.3.8.3 Control Transfer Instructions in 64-Bit Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-17
7.3.8.4 Software Interrupt Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-17
7.3.8.5 Software Interrupt Instructions in 64-bit Mode and Compatibility Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-18
7.3.9 String Operations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-18
7.3.9.1 String Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-18
7.3.9.2 Repeated String Operations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-19
7.3.9.3 Fast-String Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-19
7.3.9.4 String Operations in 64-Bit Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-20
7.3.10 I/O Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-20
7.3.11 I/O Instructions in 64-Bit Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-20
7.3.12 Enter and Leave Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-21
7.3.13 Flag Control (EFLAG) Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-21
7.3.13.1 Carry and Direction Flag Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-21
7.3.13.2 EFLAGS Transfer Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-21
7.3.13.3 Interrupt Flag Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-22
7.3.14 Flag Control (RFLAG) Instructions in 64-Bit Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-22
7.3.15 Segment Register Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-22
7.3.15.1 Segment-Register Load and Store Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-22
7.3.15.2 Far Control Transfer Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-22
7.3.15.3 Software Interrupt Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-23
7.3.15.4 Load Far Pointer Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-23
7.3.16 Miscellaneous Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-23
7.3.16.1 Address Computation Instruction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-23
7.3.16.2 Table Lookup Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-23
7.3.16.3 Processor Identification Instruction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-23
7.3.16.4 No-Operation and Undefined Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-23
7.3.17 Random Number Generator Instruction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-24
C H AP T E R 8
P R O G R AMMI N G WI T H T H E X8 7 F P U
8.1 X87 FPU EXECUTION ENVIRONMENT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-1
8.1.1 x87 FPU in 64-Bit Mode and Compatibility Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-1
8.1.2 x87 FPU Data Registers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-1
8.1.2.1 Parameter Passing With the x87 FPU Register Stack . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-3
Vol. 1 ix
C O N T E N T S
PAGE
8.1.3 x87 FPU Status Register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-4
8.1.3.1 Top of Stack (TOP) Pointer. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-4
8.1.3.2 Condition Code Flags . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-4
8.1.3.3 x87 FPU Floating-Point Exception Flags . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-5
8.1.3.4 Stack Fault Flag . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-6
8.1.4 Branching and Conditional Moves on Condition Codes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-6
8.1.5 x87 FPU Control Word. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-7
8.1.5.1 x87 FPU Floating-Point Exception Mask Bits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-7
8.1.5.2 Precision Control Field. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-7
8.1.5.3 Rounding Control Field. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-8
8.1.6 Infinity Control Flag. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-8
8.1.7 x87 FPU Tag Word . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-8
8.1.8 x87 FPU Instruction and Data (Operand) Pointers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-9
8.1.9 Last Instruction Opcode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-10
8.1.9.1 Fopcode Compatibility Sub-mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-10
8.1.10 Saving the x87 FPUs State with FSTENV/FNSTENV and FSAVE/FNSAVE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-11
8.1.11 Saving the x87 FPUs State with FXSAVE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-12
8.2 X87 FPU DATA TYPES. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-12
8.2.1 Indefinites . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-13
8.2.2 Unsupported Double Extended-Precision Floating-Point Encodings and Pseudo-Denormals . . . . . . . . . . . . . . . . . . . . . . . . 8-14
8.3 X86 FPU INSTRUCTION SET. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-15
8.3.1 Escape (ESC) Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-15
8.3.2 x87 FPU Instruction Operands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-15
8.3.3 Data Transfer Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-15
8.3.4 Load Constant Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-17
8.3.5 Basic Arithmetic Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-17
8.3.6 Comparison and Classification Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-18
8.3.6.1 Branching on the x87 FPU Condition Codes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-19
8.3.7 Trigonometric Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-20
8.3.8 Pi. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-20
8.3.9 Logarithmic, Exponential, and Scale. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-21
8.3.10 Transcendental Instruction Accuracy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-22
8.3.11 x87 FPU Control Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-22
8.3.12 Waiting vs. Non-waiting Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-23
8.3.13 Unsupported x87 FPU Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-23
8.4 X87 FPU FLOATING-POINT EXCEPTION HANDLING . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-23
8.4.1 Arithmetic vs. Non-arithmetic Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-24
8.5 X87 FPU FLOATING-POINT EXCEPTION CONDITIONS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-25
8.5.1 Invalid Operation Exception. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-25
8.5.1.1 Stack Overflow or Underflow Exception (#IS) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-26
8.5.1.2 Invalid Arithmetic Operand Exception (#IA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-26
8.5.2 Denormal Operand Exception (#D). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-27
8.5.3 Divide-By-Zero Exception (#Z) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-27
8.5.4 Numeric Overflow Exception (#O) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-28
8.5.5 Numeric Underflow Exception (#U). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-29
8.5.6 Inexact-Result (Precision) Exception (#P) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-29
8.6 X87 FPU EXCEPTION SYNCHRONIZATION. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-30
8.7 HANDLING X87 FPU EXCEPTIONS IN SOFTWARE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-31
8.7.1 Native Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-31
8.7.2 MS-DOS* Compatibility Sub-mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-31
8.7.3 Handling x87 FPU Exceptions in Software . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-32
C H AP T E R 9
P R O G R AMMI N G WI T H I N T E L MMX TE C H N O LO G Y
9.1 OVERVIEW OF MMX TECHNOLOGY. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-1
9.2 THE MMX TECHNOLOGY PROGRAMMING ENVIRONMENT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-1
9.2.1 MMX Technology in 64-Bit Mode and Compatibility Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .9-2
9.2.2 MMX Registers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .9-2
9.2.3 MMX Data Types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .9-3
CONTENTS
x Vol. 1
PAGE
9.2.4 Memory Data Formats . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .9-3
9.2.5 Single Instruction, Multiple Data (SIMD) Execution Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .9-4
9.3 SATURATION AND WRAPAROUND MODES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-4
9.4 MMX INSTRUCTIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-5
9.4.1 Data Transfer Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .9-6
9.4.2 Arithmetic Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .9-6
9.4.3 Comparison Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .9-7
9.4.4 Conversion Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .9-7
9.4.5 Unpack Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .9-7
9.4.6 Logical Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .9-7
9.4.7 Shift Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .9-8
9.4.8 EMMS Instruction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .9-8
9.5 COMPATIBILITY WITH X87 FPU ARCHITECTURE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-8
9.5.1 MMX Instructions and the x87 FPU Tag Word . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .9-8
9.6 WRITING APPLICATIONS WITH MMX CODE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-8
9.6.1 Checking for MMX Technology Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .9-8
9.6.2 Transitions Between x87 FPU and MMX Code. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .9-9
9.6.3 Using the EMMS Instruction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .9-9
9.6.4 Mixing MMX and x87 FPU Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-10
9.6.5 Interfacing with MMX Code. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-10
9.6.6 Using MMX Code in a Multitasking Operating System Environment. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-10
9.6.7 Exception Handling in MMX Code . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-11
9.6.8 Register Mapping. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-11
9.6.9 Effect of Instruction Prefixes on MMX Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-11
C H AP T E R 1 0
P R O G R AMMI N G WI T H S T R E AMI N G S I MD E XT E N S I O N S (S S E )
10.1 OVERVIEW OF SSE EXTENSIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-1
10.2 SSE PROGRAMMING ENVIRONMENT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-2
10.2.1 SSE in 64-Bit Mode and Compatibility Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-3
10.2.2 XMM Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-3
10.2.3 MXCSR Control and Status Register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-3
10.2.3.1 SIMD Floating-Point Mask and Flag Bits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-4
10.2.3.2 SIMD Floating-Point Rounding Control Field . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-4
10.2.3.3 Flush-To-Zero . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-4
10.2.3.4 Denormals-Are-Zeros. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-5
10.2.4 Compatibility of SSE Extensions with SSE2/SSE3/MMX and the x87 FPU. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-5
10.3 SSE DATA TYPES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-5
10.4 SSE INSTRUCTION SET. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-6
10.4.1 SSE Packed and Scalar Floating-Point Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-6
10.4.1.1 SSE Data Movement Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-7
10.4.1.2 SSE Arithmetic Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-8
10.4.2 SSE Logical Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-9
10.4.2.1 SSE Comparison Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-9
10.4.2.2 SSE Shuffle and Unpack Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-9
10.4.3 SSE Conversion Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-11
10.4.4 SSE 64-Bit SIMD Integer Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-11
10.4.5 MXCSR State Management Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-12
10.4.6 Cacheability Control, Prefetch, and Memory Ordering Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-12
10.4.6.1 Cacheability Control Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-12
10.4.6.2 Caching of Temporal vs. Non-Temporal Data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-12
10.4.6.3 PREFETCHh Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-13
10.4.6.4 SFENCE Instruction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-14
10.5 FXSAVE AND FXRSTOR INSTRUCTIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-14
10.6 HANDLING SSE INSTRUCTION EXCEPTIONS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-14
10.7 WRITING APPLICATIONS WITH THE SSE EXTENSIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-14
Vol. 1 xi
C O N T E N T S
PAGE
C H AP T E R 1 1
P R O G R AMMI N G WI T H S T R E AMI N G S I MD E XT E N S I O N S 2 (S S E 2 )
11.1 OVERVIEW OF SSE2 EXTENSIONS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-1
11.2 SSE2 PROGRAMMING ENVIRONMENT. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-2
11.2.1 SSE2 in 64-Bit Mode and Compatibility Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-3
11.2.2 Compatibility of SSE2 Extensions with SSE, MMX
Technology and x87 FPU Programming Environment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-3
11.2.3 Denormals-Are-Zeros Flag . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-3
11.3 SSE2 DATA TYPES. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-3
11.4 SSE2 INSTRUCTIONS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-4
11.4.1 Packed and Scalar Double-Precision Floating-Point Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-4
11.4.1.1 Data Movement Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-5
11.4.1.2 SSE2 Arithmetic Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-6
11.4.1.3 SSE2 Logical Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-7
11.4.1.4 SSE2 Comparison Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-7
11.4.1.5 SSE2 Shuffle and Unpack Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-7
11.4.1.6 SSE2 Conversion Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-9
11.4.2 SSE2 64-Bit and 128-Bit SIMD Integer Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-10
11.4.3 128-Bit SIMD Integer Instruction Extensions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-11
11.4.4 Cacheability Control and Memory Ordering Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-12
11.4.4.1 FLUSH Cache Line . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-12
11.4.4.2 Cacheability Control Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-12
11.4.4.3 Memory Ordering Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-12
11.4.4.4 Pause. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-12
11.4.5 Branch Hints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-13
11.5 SSE, SSE2, AND SSE3 EXCEPTIONS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-13
11.5.1 SIMD Floating-Point Exceptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-13
11.5.2 SIMD Floating-Point Exception Conditions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-14
11.5.2.1 Invalid Operation Exception (#I) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-14
11.5.2.2 Denormal-Operand Exception (#D) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-15
11.5.2.3 Divide-By-Zero Exception (#Z) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-15
11.5.2.4 Numeric Overflow Exception (#O) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-15
11.5.2.5 Numeric Underflow Exception (#U). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-15
11.5.2.6 Inexact-Result (Precision) Exception (#P) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-16
11.5.3 Generating SIMD Floating-Point Exceptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-16
11.5.3.1 Handling Masked Exceptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-16
11.5.3.2 Handling Unmasked Exceptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-17
11.5.3.3 Handling Combinations of Masked and Unmasked Exceptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-18
11.5.4 Handling SIMD Floating-Point Exceptions in Software. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-18
11.5.5 Interaction of SIMD and x87 FPU Floating-Point Exceptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-18
11.6 WRITING APPLICATIONS WITH SSE/SSE2 EXTENSIONS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-19
11.6.1 General Guidelines for Using SSE/SSE2 Extensions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-19
11.6.2 Checking for SSE/SSE2 Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-19
11.6.3 Checking for the DAZ Flag in the MXCSR Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-19
11.6.4 Initialization of SSE/SSE2 Extensions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-20
11.6.5 Saving and Restoring the SSE/SSE2 State . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-20
11.6.6 Guidelines for Writing to the MXCSR Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-21
11.6.7 Interaction of SSE/SSE2 Instructions with x87 FPU and MMX Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-21
11.6.8 Compatibility of SIMD and x87 FPU Floating-Point Data Types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-22
11.6.9 Mixing Packed and Scalar Floating-Point and 128-Bit SIMD Integer Instructions and Data. . . . . . . . . . . . . . . . . . . . . . . . . 11-22
11.6.10 Interfacing with SSE/SSE2 Procedures and Functions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-23
11.6.10.1 Passing Parameters in XMM Registers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-23
11.6.10.2 Saving XMM Register State on a Procedure or Function Call. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-23
11.6.10.3 Caller-Save Recommendation for Procedure and Function Calls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-24
11.6.11 Updating Existing MMX Technology Routines Using 128-Bit SIMD Integer Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-24
11.6.12 Branching on Arithmetic Operations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-24
11.6.13 Cacheability Hint Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-24
11.6.14 Effect of Instruction Prefixes on the SSE/SSE2 Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-25
CONTENTS
xii Vol. 1
PAGE
C H AP T E R 1 2
P R O G R AMMI N G WI T H S S E 3 , S S S E 3 , S S E 4 AN D AE S N I
12.1 PROGRAMMING ENVIRONMENT AND DATA TYPES. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-1
12.1.1 SSE3, SSSE3, SSE4 in 64-Bit Mode and Compatibility Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-1
12.1.2 Compatibility of SSE3/SSSE3 with MMX Technology, the x87 FPU Environment, and SSE/SSE2 Extensions . . . . . . . . . 12-1
12.1.3 Horizontal and Asymmetric Processing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-1
12.2 OVERVIEW OF SSE3 INSTRUCTIONS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-2
12.3 SSE3 INSTRUCTIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-2
12.3.1 x87 FPU Instruction for Integer Conversion. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-3
12.3.2 SIMD Integer Instruction for Specialized 128-bit Unaligned Data Load . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-3
12.3.3 SIMD Floating-Point Instructions That Enhance LOAD/MOVE/DUPLICATE Performance. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-3
12.3.4 SIMD Floating-Point Instructions Provide Packed Addition/Subtraction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-4
12.3.5 SIMD Floating-Point Instructions Provide Horizontal Addition/Subtraction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-4
12.3.6 Two Thread Synchronization Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-5
12.4 WRITING APPLICATIONS WITH SSE3 EXTENSIONS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-5
12.4.1 Guidelines for Using SSE3 Extensions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-5
12.4.2 Checking for SSE3 Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-5
12.4.3 Enable FTZ and DAZ for SIMD Floating-Point Computation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-6
12.4.4 Programming SSE3 with SSE/SSE2 Extensions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-6
12.5 OVERVIEW OF SSSE3 INSTRUCTIONS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-6
12.6 SSSE3 INSTRUCTIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-6
12.6.1 Horizontal Addition/Subtraction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-7
12.6.2 Packed Absolute Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-7
12.6.3 Multiply and Add Packed Signed and Unsigned Bytes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-8
12.6.4 Packed Multiply High with Round and Scale . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-8
12.6.5 Packed Shuffle Bytes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-8
12.6.6 Packed Sign . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-8
12.6.7 Packed Align Right. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-8
12.7 WRITING APPLICATIONS WITH SSSE3 EXTENSIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-9
12.7.1 Guidelines for Using SSSE3 Extensions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-9
12.7.2 Checking for SSSE3 Support. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-9
12.8 SSE3/SSSE3 AND SSE4 EXCEPTIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-9
12.8.1 Device Not Available (DNA) Exceptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-9
12.8.2 Numeric Error flag and IGNNE#. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-9
12.8.3 Emulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12-10
12.8.4 IEEE 754 Compliance of SSE4.1 Floating-Point Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12-10
12.9 SSE4 OVERVIEW. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-10
12.10 SSE4.1 INSTRUCTION SET. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-11
12.10.1 Dword Multiply Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12-11
12.10.2 Floating-Point Dot Product Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12-11
12.10.3 Streaming Load Hint Instruction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12-12
12.10.4 Packed Blending Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12-15
12.10.5 Packed Integer MIN/MAX Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12-15
12.10.6 Floating-Point Round Instructions with Selectable Rounding Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12-15
12.10.7 Insertion and Extractions from XMM Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12-16
12.10.8 Packed Integer Format Conversions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12-16
12.10.9 Improved Sums of Absolute Differences (SAD) for 4-Byte Blocks. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12-17
12.10.10 Horizontal Search. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12-17
12.10.11 Packed Test . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12-18
12.10.12 Packed Qword Equality Comparisons. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12-18
12.10.13 Dword Packing With Unsigned Saturation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12-18
12.11 SSE4.2 INSTRUCTION SET. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-18
12.11.1 String and Text Processing Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12-18
12.11.1.1 Memory Operand Alignment. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12-19
12.11.2 Packed Comparison SIMD Integer Instruction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12-19
12.11.3 Application-Targeted Accelerator Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12-19
12.12 WRITING APPLICATIONS WITH SSE4 EXTENSIONS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-20
12.12.1 Guidelines for Using SSE4 Extensions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12-20
12.12.2 Checking for SSE4.1 Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12-20
Vol. 1 xiii
C O N T E N T S
PAGE
12.12.3 Checking for SSE4.2 Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-20
12.13 AESNI OVERVIEW. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-20
12.13.1 Little-Endian Architecture and Big-Endian Specification (FIPS 197) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-21
12.13.1.1 AES Data Structure in Intel 64 Architecture. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-21
12.13.2 AES Transformations and Functions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-22
12.13.3 PCLMULQDQ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-25
12.13.4 Checking for AESNI Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-26
C H AP T E R 1 3
P R O G R AMMI N G WI T H AV X, F MA AN D AV X2
13.1 INTEL AVX OVERVIEW. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-1
13.1.1 256-Bit Wide SIMD Register Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-1
13.1.2 Instruction Syntax Enhancements. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-2
13.1.3 VEX Prefix Instruction Encoding Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-2
13.2 FUNCTIONAL OVERVIEW. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-3
13.2.1 256-bit Floating-Point Arithmetic Processing Enhancements. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-9
13.2.2 256-bit Non-Arithmetic Instruction Enhancements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-9
13.2.3 Arithmetic Primitives for 128-bit Vector and Scalar processing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-11
13.2.4 Non-Arithmetic Primitives for 128-bit Vector and Scalar Processing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-13
13.3 DETECTION OF AVX INSTRUCTIONS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-15
13.3.1 Detection of VEX-Encoded AES and VPCLMULQDQ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-17
13.4 HALF-PRECISION FLOATING-POINT CONVERSION. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-18
13.4.1 Detection of F16C Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-20
13.5 FUSED-MULTIPLY-ADD (FMA) EXTENSIONS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-21
13.5.1 FMA Instruction Operand Order and Arithmetic Behavior . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-22
13.5.2 Fused-Multiply-ADD (FMA) Numeric Behavior. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-22
13.5.3 Detection of FMA. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-25
13.6 OVERVIEW OF AVX2. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-26
13.6.1 AVX2 and 256-bit Vector Integer Processing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-26
13.7 PROMOTED VECTOR INTEGER INSTRUCTIONS IN AVX2. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-26
13.7.1 Detection of AVX2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-33
13.8 ACCESSING YMM REGISTERS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-34
13.9 MEMORY ALIGNMENT. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-34
13.10 SIMD FLOATING-POINT EXCEPTIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-36
13.11 EMULATION. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-36
13.12 WRITING AVX FLOATING-POINT EXCEPTION HANDLERS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-36
13.13 GENERAL PURPOSE INSTRUCTION SET ENHANCEMENTS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-36
C H AP T E R 1 4
P R O G R AMMI N G WI T H I N T E L T R AN S AC T I O N AL S YN C H R O N I Z AT I O N E XT E N S I O N S
14.1 OVERVIEW . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-1
14.2 INTELTRANSACTIONAL SYNCHRONIZATION EXTENSIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-1
14.2.1 HLE Software Interface. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-2
14.2.2 RTM Software Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-3
14.3 INTELTSX APPLICATION PROGRAMMING MODEL. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-3
14.3.1 Detection of Transactional Synchronization Support. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-3
14.3.1.1 Detection of HLE Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-3
14.3.1.2 Detection of RTM Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-3
14.3.1.3 Detection of XTEST Instruction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-3
14.3.2 Querying Transactional Execution Status . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-4
14.3.3 Requirements for HLE Locks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-4
14.3.4 Transactional Nesting . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-4
14.3.4.1 HLE Nesting and Elision. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-4
14.3.4.2 RTM Nesting . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-5
14.3.4.3 Nesting HLE and RTM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-5
14.3.5 RTM Abort Status Definition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-5
14.3.6 RTM Memory Ordering. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-5
14.3.7 RTM-Enabled Debugger Support. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-6
14.3.8 Programming Considerations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-6
CONTENTS
xiv Vol. 1
PAGE
14.3.8.1 Instruction Based Considerations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-6
14.3.8.2 Runtime Considerations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-7
C H AP T E R 1 5
I N P U T /O U T P U T
15.1 I/O PORT ADDRESSING. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-1
15.2 I/O PORT HARDWARE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-1
15.3 I/O ADDRESS SPACE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-1
15.3.1 Memory-Mapped I/O . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-2
15.4 I/O INSTRUCTIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-3
15.5 PROTECTED-MODE I/O . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-3
15.5.1 I/O Privilege Level . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-3
15.5.2 I/O Permission Bit Map. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-4
15.6 ORDERING I/O . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-5
C H AP T E R 1 6
P R O C E S S O R I D E N T I F I C AT I O N AN D FE AT U R E D E T E R MI N AT I O N
16.1 USING THE CPUID INSTRUCTION. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-1
16.1.1 Notes on Where to Start . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-1
16.1.2 Identification of Earlier IA-32 Processors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-1
AP P E N D I X A
E F LAG S C R O S S -R E F E R E N C E
A.1 EFLAGS AND INSTRUCTIONS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-1
AP P E N D I X B
E F LAG S C O N D I T I O N C O D E S
B.1 CONDITION CODES. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-1
AP P E N D I X C
F LO AT I N G -P O I N T E XC E P T I O N S S U MMAR Y
C.1 OVERVIEW . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C-1
C.2 X87 FPU INSTRUCTIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C-1
C.3 SSE INSTRUCTIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C-3
C.4 SSE2 INSTRUCTIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C-5
C.5 SSE3 INSTRUCTIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C-7
C.6 SSSE3 INSTRUCTIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C-7
C.7 SSE4 INSTRUCTIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C-8
AP P E N D I X D
G U I D E LI N E S F O R WR I T I N G X8 7 F P U E XC E P T I O N H AN D LE R S
D.1 MS-DOS COMPATIBILITY SUB-MODE FOR HANDLING X87 FPU EXCEPTIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-1
D.2 IMPLEMENTATION OF THE MS-DOS* COMPATIBILITY SUB-MODE IN THE INTEL486, PENTIUM, AND P6 PROCESSOR
FAMILY, AND PENTIUM4 PROCESSORS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-2
D.2.1 MS-DOS* Compatibility Sub-mode in the Intel486and PentiumProcessors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .D-2
D.2.1.1 Basic Rules: When FERR# Is Generated . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .D-3
D.2.1.2 Recommended External Hardware to Support the MS-DOS* Compatibility Sub-mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . .D-4
D.2.1.3 No-Wait x87 FPU Instructions Can Get x87 FPU Interrupt in Window. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .D-5
D.2.2 MS-DOS* Compatibility Sub-mode in the P6 Family and Pentium4 Processors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .D-7
D.3 RECOMMENDED PROTOCOL FOR MS-DOS* COMPATIBILITY HANDLERS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-7
D.3.1 Floating-Point Exceptions and Their Defaults. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .D-8
D.3.2 Two Options for Handling Numeric Exceptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .D-8
D.3.2.1 Automatic Exception Handling: Using Masked Exceptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .D-8
D.3.2.2 Software Exception Handling. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .D-9
D.3.3 Synchronization Required for Use of x87 FPU Exception Handlers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-10
D.3.3.1 Exception Synchronization: What, Why, and When . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-10
D.3.3.2 Exception Synchronization Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-11
D.3.3.3 Proper Exception Synchronization. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-11
Vol. 1 xv
C O N T E N T S
PAGE
D.3.4 x87 FPU Exception Handling Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-12
D.3.5 Need for Storing State of IGNNE# Circuit If Using x87 FPU and SMM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-15
D.3.6 Considerations When x87 FPU Shared Between Tasks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-15
D.3.6.1 Speculatively Deferring x87 FPU Saves, General Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-16
D.3.6.2 Tracking x87 FPU Ownership . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-16
D.3.6.3 Interaction of x87 FPU State Saves and Floating-Point Exception Association . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-17
D.3.6.4 Interrupt Routing From the Kernel . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-18
D.3.6.5 Special Considerations for Operating Systems that Support Streaming SIMD Extensions. . . . . . . . . . . . . . . . . . . . . . . . D-19
D.4 DIFFERENCES FOR HANDLERS USING NATIVE MODE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-19
D.4.1 Origin with the Intel 286 and Intel 287, and Intel386 andIntel 387 Processors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-19
D.4.2 Changes with Intel486, Pentium and Pentium Pro Processors with CR0.NE[bit 5] =1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-20
D.4.3 Considerations When x87 FPU Shared Between Tasks Using Native Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-20
AP P E N D I X E
G U I D E LI N E S F O R WR I T I N G S I MD F LO AT I N G -P O I N T E XC E P T I O N H AN D LE R S
E.1 TWO OPTIONS FOR HANDLING FLOATING-POINT EXCEPTIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . E-1
E.2 SOFTWARE EXCEPTION HANDLING. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . E-1
E.3 EXCEPTION SYNCHRONIZATION. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . E-3
E.4 SIMD FLOATING-POINT EXCEPTIONS AND THE IEEE STANDARD 754 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . E-3
E.4.1 Floating-Point Emulation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .E-3
E.4.2 SSE/SSE2/SSE3 Response To Floating-Point Exceptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .E-4
E.4.2.1 Numeric Exceptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .E-5
E.4.2.2 Results of Operations with NaN Operands or a NaN Result for SSE/SSE2/SSE3 Numeric Instructions. . . . . . . . . . . . . .E-5
E.4.2.3 Condition Codes, Exception Flags, and Response for Masked and Unmasked Numeric Exceptions. . . . . . . . . . . . . . . . . .E-9
E.4.3 Example SIMD Floating-Point Emulation Implementation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .E-15
CONTENTS
xvi Vol. 1
PAGE
FIGURES
Figure 1-1. Bit and Byte Order. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-4
Figure 1-2. Syntax for CPUID, CR, and MSR Data Presentation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-6
Figure 2-1. The P6 Processor Microarchitecture with Advanced Transfer Cache Enhancement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-7
Figure 2-2. The Intel NetBurst Microarchitecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-9
Figure 2-3. The Intel Core Microarchitecture Pipeline Functionality . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-11
Figure 2-4. SIMD Extensions, Register Layouts, and Data Types. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-15
Figure 2-5. Comparison of an IA-32 Processor Supporting Hyper-Threading Technology and a Traditional Dual Processor
System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-16
Figure 2-6. Intel 64 and IA-32 Processors that Support Dual-Core . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-18
Figure 2-7. Intel 64 Processors that Support Quad-Core . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-19
Figure 2-8. Intel Core i7 Processor. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-19
Figure 3-1. IA-32 Basic Execution Environment for Non-64-bit Modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-3
Figure 3-2. 64-Bit Mode Execution Environment. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-5
Figure 3-3. Three Memory Management Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-7
Figure 3-4. General System and Application Programming Registers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-10
Figure 3-5. Alternate General-Purpose Register Names. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-11
Figure 3-6. Use of Segment Registers for Flat Memory Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-13
Figure 3-7. Use of Segment Registers in Segmented Memory Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-13
Figure 3-8. EFLAGS Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-15
Figure 3-9. Memory Operand Address. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-20
Figure 3-10. Memory Operand Address in 64-Bit Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-20
Figure 3-11. Offset (or Effective Address) Computation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-22
Figure 4-1. Fundamental Data Types. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-1
Figure 4-2. Bytes, Words, Doublewords, Quadwords, and Double Quadwords in Memory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-2
Figure 4-3. Numeric Data Types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-3
Figure 4-4. Pointer Data Types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-6
Figure 4-5. Pointers in 64-Bit Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-7
Figure 4-6. Bit Field Data Type . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-7
Figure 4-7. 64-Bit Packed SIMD Data Types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-8
Figure 4-8. 128-Bit Packed SIMD Data Types. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-9
Figure 4-9. BCD Data Types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-10
Figure 4-10. Binary Real Number System. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-12
Figure 4-11. Binary Floating-Point Format. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-12
Figure 4-12. Real Numbers and NaNs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-14
Figure 6-1. Stack Structure. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-2
Figure 6-2. Stack on Near and Far Calls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-5
Figure 6-3. Protection Rings. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-6
Figure 6-4. Stack Switch on a Call to a Different Privilege Level . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-7
Figure 6-5. Stack Usage on Transfers to Interrupt and Exception Handling Routines. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6-11
Figure 6-6. Nested Procedures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6-15
Figure 6-7. Stack Frame After Entering the MAIN Procedure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6-16
Figure 6-8. Stack Frame After Entering Procedure A. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6-16
Figure 6-9. Stack Frame After Entering Procedure B. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6-17
Figure 6-10. Stack Frame After Entering Procedure C. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6-18
Figure 7-1. Operation of the PUSH Instruction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-5
Figure 7-2. Operation of the PUSHA Instruction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-6
Figure 7-3. Operation of the POP Instruction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-6
Figure 7-4. Operation of the POPA Instruction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-7
Figure 7-5. Sign Extension . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-7
Figure 7-6. SHL/SAL Instruction Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .7-11
Figure 7-7. SHR Instruction Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .7-11
Figure 7-8. SAR Instruction Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .7-12
Figure 7-9. SHLD and SHRD Instruction Operations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .7-12
Figure 7-10. ROL, ROR, RCL, and RCR Instruction Operations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .7-13
Figure 7-11. Flags Affected by the PUSHF, POPF, PUSHFD, and POPFD Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .7-21
Figure 8-1. x87 FPU Execution Environment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-2
Figure 8-2. x87 FPU Data Register Stack. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-2
Vol. 1 xvii
C O N T E N T S
PAGE
Figure 8-3. Example x87 FPU Dot Product Computation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-3
Figure 8-4. x87 FPU Status Word. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-4
Figure 8-5. Moving the Condition Codes to the EFLAGS Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-6
Figure 8-6. x87 FPU Control Word. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-7
Figure 8-7. x87 FPU Tag Word . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-8
Figure 8-8. Contents of x87 FPU Opcode Registers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-10
Figure 8-9. Protected Mode x87 FPU State Image in Memory, 32-Bit Format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-11
Figure 8-10. Real Mode x87 FPU State Image in Memory, 32-Bit Format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-11
Figure 8-11. Protected Mode x87 FPU State Image in Memory, 16-Bit Format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-12
Figure 8-12. Real Mode x87 FPU State Image in Memory, 16-Bit Format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-12
Figure 8-13. x87 FPU Data Type Formats. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-13
Figure 9-1. MMX Technology Execution Environment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-2
Figure 9-2. MMX Register Set . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-3
Figure 9-3. Data Types Introduced with the MMX Technology. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-3
Figure 9-4. SIMD Execution Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-4
Figure 10-1. SSE Execution Environment. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-2
Figure 10-2. XMM Registers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-3
Figure 10-3. MXCSR Control/Status Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-4
Figure 10-4. 128-Bit Packed Single-Precision Floating-Point Data Type. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-6
Figure 10-5. Packed Single-Precision Floating-Point Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-7
Figure 10-6. Scalar Single-Precision Floating-Point Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-7
Figure 10-7. SHUFPS Instruction, Packed Shuffle Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-10
Figure 10-8. UNPCKHPS Instruction, High Unpack and Interleave Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-10
Figure 10-9. UNPCKLPS Instruction, Low Unpack and Interleave Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-10
Figure 11-1. Steaming SIMD Extensions 2 Execution Environment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-2
Figure 11-2. Data Types Introduced with the SSE2 Extensions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-4
Figure 11-3. Packed Double-Precision Floating-Point Operations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-5
Figure 11-4. Scalar Double-Precision Floating-Point Operations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-5
Figure 11-5. SHUFPD Instruction, Packed Shuffle Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-8
Figure 11-6. UNPCKHPD Instruction, High Unpack and Interleave Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-8
Figure 11-7. UNPCKLPD Instruction, Low Unpack and Interleave Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-8
Figure 11-8. SSE and SSE2 Conversion Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-9
Figure 11-9. Example Masked Response for Packed Operations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-17
Figure 12-1. Asymmetric Processing in ADDSUBPD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-2
Figure 12-2. Horizontal Data Movement in HADDPD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-2
Figure 12-3. Horizontal Data Movement in PHADDD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-7
Figure 12-4. MPSADBW Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12-17
Figure 12-5. AES State Flow. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12-21
Figure 13-1. 256-Bit Wide SIMD Register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-2
Figure 13-2. General Procedural Flow of Application Detection of AVX. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .13-15
Figure 13-3. General Procedural Flow of Application Detection of Float-16. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .13-20
Figure 13-4. Immediate Byte for FMA instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .13-23
Figure 15-1. Memory-Mapped I/O. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-2
Figure 15-2. I/O Permission Bit Map. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-4
Figure D-1. Recommended Circuit for MS-DOS Compatibility x87 FPU Exception Handling. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-4
Figure D-2. Behavior of Signals During x87 FPU Exception Handling. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-5
Figure D-3. Timing of Receipt of External Interrupt . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-6
Figure D-4. Arithmetic Example Using Infinity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-9
Figure D-5. General Program Flow for DNA Exception Handler . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-17
Figure D-6. Program Flow for a Numeric Exception Dispatch Routine . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-18
Figure E-1. Control Flow for Handling Unmasked Floating-Point Exceptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .E-4
CONTENTS
xviii Vol. 1
PAGE
TABLES
Table 2-1. Key Features of Most Recent IA-32 Processors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-21
Table 2-2. Key Features of Most Recent Intel 64 Processors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-21
Table 2-3. Key Features of Previous Generations of IA-32 Processors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-27
Table 3-1. Instruction Pointer Sizes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-9
Table 3-2. Addressable General Purpose Registers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-12
Table 3-3. Effective Operand- and Address-Size Attributes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-18
Table 3-4. Effective Operand- and Address-Size Attributes in 64-Bit Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-18
Table 3-5. Default Segment Selection Rules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-21
Table 4-1. Signed Integer Encodings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-4
Table 4-2. Length, Precision, and Range of Floating-Point Data Types. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-5
Table 4-3. Floating-Point Number and NaN Encodings. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-5
Table 4-4. Packed Decimal Integer Encodings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-10
Table 4-5. Real and Floating-Point Number Notation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-12
Table 4-6. Denormalization Process. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-15
Table 4-7. Rules for Handling NaNs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-16
Table 4-8. Rounding Modes and Encoding of Rounding Control (RC) Field. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-18
Table 4-10. Masked Responses to Numeric Overflow. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-21
Table 4-11. Numeric Underflow (Normalized) Thresholds. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-21
Table 4-9. Numeric Overflow Thresholds. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-21
Table 5-1. Instruction Groups in Intel 64 and IA-32 Processors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-1
Table 5-2. Recent Instruction Set Extensions in Intel 64 and IA-32 Processors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-2
Table 6-1. Exceptions and Interrupts. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-10
Table 7-1. Move Instruction Operations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .7-3
Table 7-2. Conditional Move Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .7-4
Table 7-3. Bit Test and Modify Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-14
Table 7-4. Conditional Jump Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-16
Table 8-1. Condition Code Interpretation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-5
Table 8-2. Precision Control Field (PC). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-8
Table 8-3. Unsupported Double Extended-Precision Floating-Point Encodings and Pseudo-Denormals . . . . . . . . . . . . . . . . . . . . . 8-14
Table 8-5. Floating-Point Conditional Move Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-16
Table 8-4. Data Transfer Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-16
Table 8-6. Setting of x87 FPU Condition Code Flags for Floating-Point Number Comparisons. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-19
Table 8-7. Setting of EFLAGS Status Flags for Floating-Point Number Comparisons. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-19
Table 8-8. TEST Instruction Constants for Conditional Branching . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-20
Table 8-9. Arithmetic and Non-arithmetic Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-24
Table 8-10. Invalid Arithmetic Operations and the Masked Responses to Them. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-26
Table 8-11. Divide-By-Zero Conditions and the Masked Responses to Them . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-28
Table 9-1. Data Range Limits for Saturation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .9-5
Table 9-2. MMX Instruction Set Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .9-6
Table 9-3. Effect of Prefixes on MMX Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-11
Table 10-1. PREFETCHh Instructions Caching Hints. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-13
Table 11-1. Masked Responses of SSE/SSE2/SSE3 Instructions to Invalid Arithmetic Operations . . . . . . . . . . . . . . . . . . . . . . . . . .11-14
Table 11-2. SSE and SSE2 State Following a Power-up/Reset or INIT. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-20
Table 11-3. Effect of Prefixes on SSE, SSE2, and SSE3 Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-26
Table 12-1. SIMD numeric exceptions signaled by SSE4.1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12-10
Table 12-2. Enhanced 32-bit SIMD Multiply Supported by SSE4.1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12-11
Table 12-3. Blend Field Size and Control Modes Supported by SSE4.1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12-15
Table 12-4. Enhanced SIMD Integer MIN/MAX Instructions Supported by SSE4.1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12-15
Table 12-5. New SIMD Integer conversions supported by SSE4.1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12-16
Table 12-6. New SIMD Integer Conversions Supported by SSE4.1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12-17
Table 12-7. Enhanced SIMD Pack support by SSE4.1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12-18
Table 12-8. Byte and 32-bit Word Representation of a 128-bit State . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12-22
Table 12-9. Matrix Representation of a 128-bit State. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12-22
Table 12-10. Little Endian Representation of a 128-bit State . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12-22
Table 12-11. Little Endian Representation of a 4x4 Byte Matrix . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12-22
Table 12-12. The ShiftRows Transformation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12-23
Table 12-13. Look-up Table Associated with S-Box Transformation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12-24
Vol. 1 xix
C O N T E N T S
PAGE
Table 12-15. Look-up Table Associated with InvS-Box Transformation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-25
Table 12-14. The InvShiftRows Transformation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-25
Table 13-1. Promoted SSE/SSE2/SSE3/SSSE3/SSE4 Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-3
Table 13-2. Promoted 256-Bit and 128-bit Arithmetic AVX Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-9
Table 13-3. Promoted 256-bit and 128-bit Data Movement AVX Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-9
Table 13-4. 256-bit AVX Instruction Enhancement. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-10
Table 13-5. Promotion of Legacy SIMD ISA to 128-bit Arithmetic AVX instruction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-11
Table 13-6. 128-bit AVX Instruction Enhancement. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-13
Table 13-7. Promotion of Legacy SIMD ISA to 128-bit Non-Arithmetic AVX instruction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-14
Table 13-8. Immediate Byte Encoding for 16-bit Floating-Point Conversion Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-18
Table 13-9. Non-Numerical Behavior for VCVTPH2PS, VCVTPS2PH. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-18
Table 13-10. Invalid Operation for VCVTPH2PS, VCVTPS2PH. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-18
Table 13-12. Underflow Condition for VCVTPS2PH. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-19
Table 13-13. Overflow Condition for VCVTPS2PH. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-19
Table 13-14. Inexact Condition for VCVTPS2PH. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-19
Table 13-11. Denormal Condition Summary. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-19
Table 13-15. FMA Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-21
Table 13-16. Rounding Behavior of Zero Result in FMA Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-24
Table 13-17. FMA Numeric Behavior . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-24
Table 13-18. Promoted Vector Integer SIMD Instructions in AVX2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-27
Table 13-19. VEX-Only SIMD Instructions in AVX and AVX2. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-30
Table 13-20. New Primitive in AVX2 Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-32
Table 13-21. Alignment Faulting Conditions when Memory Access is Not Aligned . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-35
Table 13-22. Instructions Requiring Explicitly Aligned Memory. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-35
Table 13-23. Instructions Not Requiring Explicit Memory Alignment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-35
Table 14-1. RTM Abort Status Definition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-5
Table 15-1. I/O Instruction Serialization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-5
Table A-1. Codes Describing Flags. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .A-1
Table A-2. EFLAGS Cross-Reference . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .A-1
Table B-1. EFLAGS Condition Codes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .B-1
Table C-1. x87 FPU and SIMD Floating-Point Exceptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .C-1
Table C-2. Exceptions Generated with x87 FPU Floating-Point Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .C-1
Table C-3. Exceptions Generated with SSE Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .C-3
Table C-4. Exceptions Generated with SSE2 Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .C-5
Table C-5. Exceptions Generated with SSE3 Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .C-7
Table C-6. Exceptions Generated with SSE4 Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .C-8
Table E-1. ADDPS, ADDSS, SUBPS, SUBSS, MULPS, MULSS, DIVPS, DIVSS, ADDPD, ADDSD, SUBPD, SUBSD, MULPD, MULSD,
DIVPD, DIVSD, ADDSUBPS, ADDSUBPD, HADDPS, HADDPD, HSUBPS, HSUBPD. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .E-5
Table E-2. CMPPS.EQ, CMPSS.EQ, CMPPS.ORD, CMPSS.ORD, CMPPD.EQ, CMPSD.EQ, CMPPD.ORD, CMPSD.ORD . . . . . . . . . . . . . . . . .E-6
Table E-3. CMPPS.NEQ, CMPSS.NEQ, CMPPS.UNORD, CMPSS.UNORD, CMPPD.NEQ, CMPSD.NEQ, CMPPD.UNORD, CMPSD.UNORDE-6
Table E-4. CMPPS.LT, CMPSS.LT, CMPPS.LE, CMPSS.LE, CMPPD.LT, CMPSD.LT, CMPPD.LE, CMPSD.LE. . . . . . . . . . . . . . . . . . . . . . . . . .E-6
Table E-5. CMPPS.NLT, CMPSS.NLT, CMPPS.NLE, CMPSS.NLE, CMPPD.NLT, CMPSD.NLT, CMPPD.NLE, CMPSD.NLE. . . . . . . . . . . . . .E-7
Table E-6. COMISS, COMISD. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .E-7
Table E-7. UCOMISS, UCOMISD. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .E-7
Table E-8. CVTPS2PI, CVTSS2SI, CVTTPS2PI, CVTTSS2SI, CVTPD2PI, CVTSD2SI, CVTTPD2PI, CVTTSD2SI, CVTPS2DQ,
CVTTPS2DQ, CVTPD2DQ, CVTTPD2DQ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .E-7
Table E-9. MAXPS, MAXSS, MINPS, MINSS, MAXPD, MAXSD, MINPD, MINSD. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .E-8
Table E-10. SQRTPS, SQRTSS, SQRTPD, SQRTSD. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .E-8
Table E-11. CVTPS2PD, CVTSS2SD. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .E-8
Table E-12. CVTPD2PS, CVTSD2SS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .E-8
Table E-13. #I - Invalid Operations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .E-9
Table E-14. #Z - Divide-by-Zero. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .E-11
Table E-15. #D - Denormal Operand. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .E-12
Table E-16. #O - Numeric Overflow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .E-13
Table E-17. #U - Numeric Underflow. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .E-14
Table E-18. #P - Inexact Result (Precision) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .E-15
Vol. 1 1-1
C H AP T E R 1
AB O U T T H I S MAN U AL
The Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1: Basic Architecture (order number
253665) is part of a set that describes the architecture and programming environment of Intel

64 and IA-32
architecture processors. Other volumes in this set are:
The Intel 64 and IA-32 Architectures Software Developers Manual, Volumes 2A, 2B & 2C: Instruction Set
Reference (order numbers 253666, 253667 and 326018).
The Intel 64 and IA-32 Architectures Software Developers Manual, Volumes 3A, 3B & 3C: System
Programming Guide (order numbers 253668, 253669 and 326019).
The Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1, describes the basic architecture
and programming environment of Intel 64 and IA-32 processors. The Intel 64 and IA-32 Architectures Software
Developers Manual, Volumes 2A, 2B & 2C, describe the instruction set of the processor and the opcode structure.
These volumes apply to application programmers and to programmers who write operating systems or executives.
The Intel 64 and IA-32 Architectures Software Developers Manual, Volumes 3A, 3B & 3C, describe the oper-
ating-system support environment of Intel 64 and IA-32 processors. These volumes target operating-system and
BIOS designers. In addition, the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3B,
addresses the programming environment for classes of software that host operating systems.
1 .1 I N T E L

6 4 AN D I A-3 2 P R O C E S S O R S C O VE R E D I N T H I S MAN U AL
This manual set includes information pertaining primarily to the most recent Intel 64 and IA-32 processors, which
include:
Pentium

processors
P6 family processors
Pentium

4 processors
Pentium

M processors
Intel

Xeon

processors
Pentium

D processors
Pentium

processor Extreme Editions


64-bit Intel

Xeon

processors
Intel

Core Duo processor


Intel

Core Solo processor


Dual-Core Intel

Xeon

processor LV
Intel

Core2 Duo processor


Intel

Core2 Quad processor Q6000 series


Intel

Xeon

processor 3000, 3200 series


Intel

Xeon

processor 5000 series


Intel

Xeon

processor 5100, 5300 series


Intel

Core2 Extreme processor X7000 and X6800 series


Intel

Core2 Extreme processor QX6000 series


Intel

Xeon

processor 7100 series


Intel

Pentium

Dual-Core processor
Intel

Xeon

processor 7200, 7300 series


Intel

Xeon

processor 5200, 5400, 7400 series


1-2 Vol. 1
ABOUT THIS MANUAL
Intel

Core2 Extreme processor QX9000 and X9000 series


Intel

Core2 Quad processor Q9000 series


Intel

Core2 Duo processor E8000, T9000 series


Intel

Atom processor family


Intel

Core i7 processor
Intel

Core

i5 processor
Intel

Xeon

processor E7-8800/4800/2800 product families


Intel

Xeon

processor E5 family
Intel

Xeon

processor E3-1200 family


Intel

Core i7-3930K processor


2nd generation Intel

Core i7-2xxx, Intel

Core i5-2xxx, Intel

Core i3-2xxx processor series


Intel

Xeon

processor E3-1200 v2 product family


3rd generation Intel

Core processors
Intel

Xeon

processor E3-1200 v3 product family


4th generation Intel

Core processors
P6 family processors are IA-32 processors based on the P6 family microarchitecture. This includes the Pentium


Pro, Pentium

II, Pentium

III, and Pentium

III Xeon

processors.
The Pentium

4, Pentium

D, and Pentium

processor Extreme Editions are based on the Intel NetBurst



microar-
chitecture. Most early Intel

Xeon

processors are based on the Intel NetBurst

microarchitecture. Intel Xeon
processor 5000, 7100 series are based on the Intel NetBurst

microarchitecture.
The Intel

Core Duo, Intel

Core Solo and dual-core Intel



Xeon

processor LV are based on an improved


Pentium

M processor microarchitecture.
The Intel

Xeon

processor 3000, 3200, 5100, 5300, 7200 and 7300 series, Intel

Pentium

dual-core, Intel


Core2 Duo, Intel

Core2 Quad, and Intel

Core2 Extreme processors are based on Intel



Core microarchi-
tecture.
The Intel

Xeon

processor 5200, 5400, 7400 series, Intel

Core2 Quad processor Q9000 series, and Intel


Core2 Extreme processor QX9000, X9000 series, Intel

Core2 processor E8000 series are based on Enhanced


Intel

Core microarchitecture.
The Intel

Atom processor family is based on the Intel

Atom microarchitecture and supports Intel 64 archi-


tecture.
The Intel

Core i7 processor and Intel

Xeon

processor 3400, 5500, 7500 series are based on 45 nm Intel


microarchitecture code name Nehalem. Intel

microarchitecture code name Westmere is a 32nm version of Intel


microarchitecture code name Nehalem. Intel

Xeon

processor 5600 series, Intel Xeon processor E7 and various


Intel Core i7, i5, i3 processors are based on Intel

microarchitecture code name Westmere. These processors


support Intel 64 architecture.
The Intel

Xeon

processor E5 family, Intel

Xeon

processor E3-1200 family, Intel

Xeon

processor E7-
8800/4800/2800 product families, Intel

Core
TM
i7-3930K processor, and 2nd generation Intel

Core i7-2xxx,
Intel

Core i5-2xxx, Intel

Core i3-2xxx processor series are based on the Intel

microarchitecture code
name Sandy Bridge and support Intel 64 architecture.
The Intel

Xeon

processor E3-1200 v2 product family and the 3rd generation Intel

Core processors are based


on the Intel

microarchitecture code name Ivy Bridge and support Intel 64 architecture.


The Intel

Xeon

processor E3-1200 v3 product family and 4th Generation Intel

Core processors are based on


the Intel

microarchitecture code name Haswell and support Intel 64 architecture.


P6 family, Pentium

M, Intel

Core Solo, Intel

Core Duo processors, dual-core Intel



Xeon

processor LV,
and early generations of Pentium 4 and Intel Xeon processors support IA-32 architecture. The Intel

Atom
processor Z5xx series support IA-32 architecture.
The Intel

Xeon

processor 3000, 3200, 5000, 5100, 5200, 5300, 5400, 7100, 7200, 7300, 7400 series, Intel


Core2 Duo, Intel

Core2 Extreme processors, Intel Core 2 Quad processors, Pentium

D processors, Pentium


Vol. 1 1-3
ABOUT THIS MANUAL
Dual-Core processor, newer generations of Pentium 4 and Intel Xeon processor family support Intel

64 architec-
ture.
IA-32 architecture is the instruction set architecture and programming environment for Intel's 32-bit microproces-
sors. Intel

64 architecture is the instruction set architecture and programming environment which is the superset
of Intels 32-bit and 64-bit architectures. It is compatible with the IA-32 architecture.
1 .2 O VE R V I E W O F VO LU ME 1 : B AS I C AR C H I T E C T U R E
A description of this manuals content follows:
Chapter 1 About This Manual. Gives an overview of all five volumes of the Intel 64 and IA-32 Architectures
Software Developers Manual. It also describes the notational conventions in these manuals and lists related Intel
manuals and documentation of interest to programmers and hardware designers.
Chapter 2 Intel

64 and IA-32 Architectures. Introduces the Intel 64 and IA-32 architectures along with
the families of Intel processors that are based on these architectures. It also gives an overview of the common
features found in these processors and brief history of the Intel 64 and IA-32 architectures.
Chapter 3 Basic Execution Environment. Introduces the models of memory organization and describes the
register set used by applications.
Chapter 4 Data Types. Describes the data types and addressing modes recognized by the processor; provides
an overview of real numbers and floating-point formats and of floating-point exceptions.
Chapter 5 Instruction Set Summary. Lists all Intel 64 and IA-32 instructions, divided into technology groups.
Chapter 6 Procedure Calls, Interrupts, and Exceptions. Describes the procedure stack and mechanisms
provided for making procedure calls and for servicing interrupts and exceptions.
Chapter 7 Programming with General-Purpose Instructions. Describes basic load and store, program
control, arithmetic, and string instructions that operate on basic data types, general-purpose and segment regis-
ters; also describes system instructions that are executed in protected mode.
Chapter 8 Programming with the x87 FPU. Describes the x87 floating-point unit (FPU), including floating-
point registers and data types; gives an overview of the floating-point instruction set and describes the processor's
floating-point exception conditions.
Chapter 9 Programming with Intel

MMX Technology. Describes Intel MMX technology, including MMX


registers and data types; also provides an overview of the MMX instruction set.
Chapter 10 Programming with Streaming SIMD Extensions (SSE). Describes SSE extensions, including
XMM registers, the MXCSR register, and packed single-precision floating-point data types; provides an overview of
the SSE instruction set and gives guidelines for writing code that accesses the SSE extensions.
Chapter 11 Programming with Streaming SIMD Extensions 2 (SSE2). Describes SSE2 extensions,
including XMM registers and packed double-precision floating-point data types; provides an overview of the SSE2
instruction set and gives guidelines for writing code that accesses SSE2 extensions. This chapter also describes
SIMD floating-point exceptions that can be generated with SSE and SSE2 instructions. It also provides general
guidelines for incorporating support for SSE and SSE2 extensions into operating system and applications code.
Chapter 12 Programming with SSE3, SSSE3 and SSE4. Provides an overview of the SSE3 instruction set,
Supplemental SSE3, SSE4, and guidelines for writing code that accesses these extensions.
Chapter 13 Programming with AVX, FMA and AVX2. Provides an overview of the Intel

AVX instruction set,


FMA and Intel AVX2 extensions and gives guidelines for writing code that accesses these extensions.
Chapter 14 Intel Transactional Synchronization Extensions. Describes the instruction extensions that
support lock elision techniques to improve the performance of multi-threaded software with contended locks.
Chapter 15 Input/Output. Describes the processors I/O mechanism, including I/O port addressing, I/O
instructions, and I/O protection mechanisms.
Chapter 16 Processor Identification and Feature Determination. Describes how to determine the CPU
type and features available in the processor.
1-4 Vol. 1
ABOUT THIS MANUAL
Appendix A EFLAGS Cross-Reference. Summarizes how the IA-32 instructions affect the flags in the EFLAGS
register.
Appendix B EFLAGS Condition Codes. Summarizes how conditional jump, move, and byte set on condition
code instructions use condition code flags (OF, CF, ZF, SF, and PF) in the EFLAGS register.
Appendix C Floating-Point Exceptions Summary. Summarizes exceptions raised by the x87 FPU floating-
point and SSE/SSE2/SSE3 floating-point instructions.
Appendix D Guidelines for Writing x87 FPU Exception Handlers. Describes how to design and write MS-
DOS* compatible exception handling facilities for FPU exceptions (includes software and hardware requirements
and assembly-language code examples). This appendix also describes general techniques for writing robust FPU
exception handlers.
Appendix E Guidelines for Writing SIMD Floating-Point Exception Handlers. Gives guidelines for writing
exception handlers for exceptions generated by SSE/SSE2/SSE3 floating-point instructions.
1 .3 N O T AT I O N AL C O N VE N T I O N S
This manual uses specific notation for data-structure formats, for symbolic representation of instructions, and for
hexadecimal and binary numbers. This notation is described below.
1 .3 .1 B it a n d B y t e O rd e r
In illustrations of data structures in memory, smaller addresses appear toward the bottom of the figure; addresses
increase toward the top. Bit positions are numbered from right to left. The numerical value of a set bit is equal to
two raised to the power of the bit position. Intel 64 and IA-32 processors are little endian machines; this means
the bytes of a word are numbered starting from the least significant byte. See Figure 1-1.
1 .3 .2 R e se rv e d B it s a n d S o ft w a re C o m p a t ib ilit y
In many register and memory layout descriptions, certain bits are marked as reserved. When bits are marked as
reserved, it is essential for compatibility with future processors that software treat these bits as having a future,
though unknown, effect. The behavior of reserved bits should be regarded as not only undefined, but unpredict-
able.
Software should follow these guidelines in dealing with reserved bits:
Do not depend on the states of any reserved bits when testing the values of registers that contain such bits.
Mask out the reserved bits before testing.
Do not depend on the states of any reserved bits when storing to memory or to a register.
F ig u re 1 -1 . B it a n d B y t e O rd e r
Byte 3
D a t a S t ru ct u re
Byte 1 Byte 2 Byte 0
Lowest
Bit offset
28
24
20
16
12
8
4
0
Address
Byte Offset
Highest
Address
32 24 23 16 15 8 7 0
Vol. 1 1-5
ABOUT THIS MANUAL
Do not depend on the ability to retain information written into any reserved bits.
When loading a register, always load the reserved bits with the values indicated in the documentation, if any,
or reload them with values previously read from the same register.
N O T E
Avoid any software dependence upon the state of reserved bits in Intel 64 and IA-32 registers.
Depending upon the values of reserved register bits will make software dependent upon the
unspecified manner in which the processor handles these bits. Programs that depend upon
reserved values risk incompatibility with future processors.
1 .3 .2 .1 I n st ru ct io n O p e ra n d s
When instructions are represented symbolically, a subset of the IA-32 assembly language is used. In this subset,
an instruction has the following format:
label: mnemonic argument1, argument2, argument3
where:
A label is an identifier which is followed by a colon.
A mnemonic is a reserved name for a class of instruction opcodes which have the same function.
The operands argument1, argument2, and argument3 are optional. There may be from zero to three
operands, depending on the opcode. When present, they take the form of either literals or identifiers for data
items. Operand identifiers are either reserved names of registers or are assumed to be assigned to data items
declared in another part of the program (which may not be shown in the example).
When two operands are present in an arithmetic or logical instruction, the right operand is the source and the left
operand is the destination.
For example:
LOADREG: MOV EAX, SUBTOTAL
In this example, LOADREG is a label, MOV is the mnemonic identifier of an opcode, EAX is the destination operand,
and SUBTOTAL is the source operand. Some assembly languages put the source and destination in reverse order.
1 .3 .3 H e x a d e cim a l a n d B in a ry N u m b e rs
Base 16 (hexadecimal) numbers are represented by a string of hexadecimal digits followed by the character H (for
example, 0F82EH). A hexadecimal digit is a character from the following set: 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D,
E, and F.
Base 2 (binary) numbers are represented by a string of 1s and 0s, sometimes followed by the character B (for
example, 1010B). The B designation is only used in situations where confusion as to the type of number might
arise.
1 .3 .4 S e g m e n t e d Ad d re ssin g
The processor uses byte addressing. This means memory is organized and accessed as a sequence of bytes.
Whether one or more bytes are being accessed, a byte address is used to locate the byte or bytes memory. The
range of memory that can be addressed is called an address space.
The processor also supports segmented addressing. This is a form of addressing where a program may have many
independent address spaces, called segments. For example, a program can keep its code (instructions) and stack
in separate segments. Code addresses would always refer to the code space, and stack addresses would always
refer to the stack space. The following notation is used to specify a byte address within a segment:
Segment-register:Byte-address
1-6 Vol. 1
ABOUT THIS MANUAL
For example, the following segment address identifies the byte at address FF79H in the segment pointed by the DS
register:
DS:FF79H
The following segment address identifies an instruction address in the code segment. The CS register points to the
code segment and the EIP register contains the address of the instruction.
CS:EIP
1 .3 .5 A N e w S y n t a x fo r C P U I D , C R , a n d MS R Va lu e s
Obtain feature flags, status, and system information by using the CPUID instruction, by checking control register
bits, and by reading model-specific registers. We are moving toward a new syntax to represent this information.
See Figure 1-2.
1 .3 .6 E x ce p t io n s
An exception is an event that typically occurs when an instruction causes an error. For example, an attempt to
divide by zero generates an exception. However, some exceptions, such as breakpoints, occur under other condi-
tions. Some types of exceptions may provide error codes. An error code reports additional information about the
error. An example of the notation used to show an exception and error code is shown below:
F ig u re 1 -2 . S y n t a x fo r C P U I D , C R , a n d MS R D a t a P re se n t a t io n
ControI Register VaIues
ModeI-Specific Register VaIues
nput values for EAX & ECX registers;
f only one value, EAX is implied.
CPUD.01H:ECX.SSE [bit 25] = 1
Value (or range) of output
CPUID Input and Output
Output register and feature flag or field
name with bit position(s)
CR4.OSFXSR[bit 9] = 1
Feature flag or field name
with bit position(s)
Value (or range) of output
Example CR name
Feature flag or field name with bit position(s)
A32_MSC_ENABLES.ENABLEFOPCODE[bit 2] = 1
Value (or range) of output
Example MSR name
OM17732
Vol. 1 1-7
ABOUT THIS MANUAL
#PF(fault code)
This example refers to a page-fault exception under conditions where an error code naming a type of fault is
reported. Under some conditions, exceptions that produce error codes may not be able to report an accurate code.
In this case, the error code is zero, as shown below for a general-protection exception:
#GP(0)
1 .4 R E LAT E D LI T E R AT U R E
Literature related to Intel 64 and IA-32 processors is listed on-line at:
http://www.intel.com/content/www/us/en/processors/architectures-software-developer-manuals.html.html
Some of the documents listed at this web site can be viewed on-line; others can be ordered. The literature available
is listed by Intel processor and then by the following literature types: applications notes, data sheets, manuals,
papers, and specification updates.
See also:
The data sheet for a particular Intel 64 or IA-32 processor
The specification update for a particular Intel 64 or IA-32 processor
Intel

C++ Compiler documentation and online help:


http://software.intel.com/en-us/articles/intel-compilers/
Intel

Fortran Compiler documentation and online help:


http://software.intel.com/en-us/articles/intel-compilers/
Intel

VTune Performance Analyzer documentation and online help:


http://www.intel.com/cd/software/products/asmo-na/eng/index.htm
Intel

64 and IA-32 Architectures Software Developers Manual (in three or five volumes):
http://www.intel.com/content/www/us/en/processors/architectures-software-developer-manuals.html.html
Intel

64 and IA-32 Architectures Optimization Reference Manual:


http://www.intel.com/content/www/us/en/architecture-and-technology/64-ia-32-architectures-optimization-
manual.html
Intel

Processor Identification with the CPUID Instruction, AP-485:


http://www.intel.com/Assets/PDF/appnote/241618.pdf
Intel 64 Architecture x2APIC Specification:
http://www.intel.com/content/www/us/en/architecture-and-technology/64-architecture-x2apic-specifi-
cation.html
Intel 64 Architecture Processor Topology Enumeration:
http://softwarecommunity.intel.com/articles/eng/3887.htm
Intel

Trusted Execution Technology Measured Launched Environment Programming Guide:


http://www.intel.com/content/www/us/en/software-developers/intel-txt-software-development-guide.html
Intel

SSE4 Programming Reference: http://edc.intel.com/Link.aspx?id=1630&wapkw=intel sse4


programming reference
Developing Multi-threaded Applications: A Platform Consistent Approach:
http://cache-www.intel.com/cd/00/00/05/15/51534_developing_multithreaded_applications.pdf
Using Spin-Loops on Intel

Pentium

4 Processor and Intel

Xeon

Processor:
http://software.intel.com/en-us/articles/ap949-using-spin-loops-on-intel-pentiumr-4-processor-and-intel-
xeonr-processor/
Performance Monitoring Unit Sharing Guide
http://software.intel.com/file/30388
More relevant links are:
Software network link:
1-8 Vol. 1
ABOUT THIS MANUAL
http://softwarecommunity.intel.com/isn/home/
Developer centers:
http://www.intel.com/cd/ids/developer/asmo-na/eng/dc/index.htm
Processor support general link:
http://www.intel.com/support/processors/
Software products and packages:
http://www.intel.com/cd/software/products/asmo-na/eng/index.htm
Intel 64 and IA-32 processor manuals (printed or PDF downloads):
http://www.intel.com/content/www/us/en/processors/architectures-software-developer-manuals.html.html
Intel

Multi-Core Technology:
http://software.intel.com/partner/multicore
Intel

Hyper-Threading Technology (Intel



HT Technology):
http://www.intel.com/technology/platform-technology/hyper-threading/index.htm
Vol. 1 2-1
C H AP T E R 2
I N T E L

6 4 AN D I A-3 2 AR C H I T E C T U R E S
The exponential growth of computing power and ownership has made the computer one of the most important
forces shaping business and society. Intel 64 and IA-32 architectures have been at the forefront of the computer
revolution and is today the preferred computer architecture, as measured by computers in use and the total
computing power available in the world.
2 .1 B R I E F H I S T O R Y O F I N T E L

6 4 AN D I A-3 2 AR C H I T E C T U R E
The following sections provide a summary of the major technical evolutions from IA-32 to Intel 64 architecture:
starting from the Intel 8086 processor to the latest Intel Core 2 Duo, Core 2 Quad and Intel Xeon processor
5300 and 7300 series. Object code created for processors released as early as 1978 still executes on the latest
processors in the Intel 64 and IA-32 architecture families.
2 .1 .1 1 6 -b it P ro ce sso rs a n d S e g m e n t a t io n (1 9 7 8 )
The IA-32 architecture family was preceded by 16-bit processors, the 8086 and 8088. The 8086 has 16-bit regis-
ters and a 16-bit external data bus, with 20-bit addressing giving a 1-MByte address space. The 8088 is similar to
the 8086 except it has an 8-bit external data bus.
The 8086/8088 introduced segmentation to the IA-32 architecture. With segmentation, a 16-bit segment register
contains a pointer to a memory segment of up to 64 KBytes. Using four segment registers at a time, 8086/8088
processors are able to address up to 256 KBytes without switching between segments. The 20-bit addresses that
can be formed using a segment register and an additional 16-bit pointer provide a total address range of 1 MByte.
2 .1 .2 T h e I n t e l

2 8 6 P ro ce sso r (1 9 8 2 )
The Intel 286 processor introduced protected mode operation into the IA-32 architecture. Protected mode uses the
segment register content as selectors or pointers into descriptor tables. Descriptors provide 24-bit base addresses
with a physical memory size of up to 16 MBytes, support for virtual memory management on a segment swapping
basis, and a number of protection mechanisms. These mechanisms include:
Segment limit checking
Read-only and execute-only segment options
Four privilege levels
2 .1 .3 T h e I n t e l3 8 6

P ro ce sso r (1 9 8 5 )
The Intel386 processor was the first 32-bit processor in the IA-32 architecture family. It introduced 32-bit registers
for use both to hold operands and for addressing. The lower half of each 32-bit Intel386 register retains the prop-
erties of the 16-bit registers of earlier generations, permitting backward compatibility. The processor also provides
a virtual-8086 mode that allows for even greater efficiency when executing programs created for 8086/8088
processors.
In addition, the Intel386 processor has support for:
A 32-bit address bus that supports up to 4-GBytes of physical memory
A segmented-memory model and a flat memory model
Paging, with a fixed 4-KByte page size providing a method for virtual memory management
Support for parallel stages
2-2 Vol. 1
INTEL

64 AND IA-32 ARCHITECTURES


2 .1 .4 T h e I n t e l4 8 6

P ro ce sso r (1 9 8 9 )
The Intel486

processor added more parallel execution capability by expanding the Intel386 processors instruc-
tion decode and execution units into five pipelined stages. Each stage operates in parallel with the others on up to
five instructions in different stages of execution.
In addition, the processor added:
An 8-KByte on-chip first-level cache that increased the percent of instructions that could execute at the scalar
rate of one per clock
An integrated x87 FPU
Power saving and system management capabilities
2 .1 .5 T h e I n t e l

P e n t iu m

P ro ce sso r (1 9 9 3 )
The introduction of the Intel Pentium processor added a second execution pipeline to achieve superscalar perfor-
mance (two pipelines, known as u and v, together can execute two instructions per clock). The on-chip first-level
cache doubled, with 8 KBytes devoted to code and another 8 KBytes devoted to data. The data cache uses the MESI
protocol to support more efficient write-back cache in addition to the write-through cache previously used by the
Intel486 processor. Branch prediction with an on-chip branch table was added to increase performance in looping
constructs.
In addition, the processor added:
Extensions to make the virtual-8086 mode more efficient and allow for 4-MByte as well as 4-KByte pages
Internal data paths of 128 and 256 bits add speed to internal data transfers
Burstable external data bus was increased to 64 bits
An APIC to support systems with multiple processors
A dual processor mode to support glueless two processor systems
A subsequent stepping of the Pentium family introduced Intel MMX technology (the Pentium Processor with MMX
technology). Intel MMX technology uses the single-instruction, multiple-data (SIMD) execution model to perform
parallel computations on packed integer data contained in 64-bit registers.
See Section 2.2.7, SIMD Instructions.
2 .1 .6 T h e P 6 Fa m ily o f P ro ce sso rs (1 9 9 5 -1 9 9 9 )
The P6 family of processors was based on a superscalar microarchitecture that set new performance standards;
see also Section 2.2.1, P6 Family Microarchitecture. One of the goals in the design of the P6 family microarchitec-
ture was to exceed the performance of the Pentium processor significantly while using the same 0.6-micrometer,
four-layer, metal BICMOS manufacturing process. Members of this family include the following:
The Intel Pentium Pro processor is three-way superscalar. Using parallel processing techniques, the
processor is able on average to decode, dispatch, and complete execution of (retire) three instructions per
clock cycle. The Pentium Pro introduced the dynamic execution (micro-data flow analysis, out-of-order
execution, superior branch prediction, and speculative execution) in a superscalar implementation. The
processor was further enhanced by its caches. It has the same two on-chip 8-KByte 1st-Level caches as the
Pentium processor and an additional 256-KByte Level 2 cache in the same package as the processor.
The Intel Pentium II processor added Intel MMX technology to the P6 family processors along with new
packaging and several hardware enhancements. The processor core is packaged in the single edge contact
cartridge (SECC). The Level l data and instruction caches were enlarged to 16 KBytes each, and Level 2 cache
sizes of 256 KBytes, 512 KBytes, and 1 MByte are supported. A half-clock speed backside bus connects the
Level 2 cache to the processor. Multiple low-power states such as AutoHALT, Stop-Grant, Sleep, and Deep Sleep
are supported to conserve power when idling.
The Pentium II Xeon processor combined the premium characteristics of previous generations of Intel
processors. This includes: 4-way, 8-way (and up) scalability and a 2 MByte 2nd-Level cache running on a full-
clock speed backside bus.
Vol. 1 2-3
INTEL

64 AND IA-32 ARCHITECTURES


The Intel Celeron processor family focused on the value PC market segment. Its introduction offers an
integrated 128 KBytes of Level 2 cache and a plastic pin grid array (P.P.G.A.) form factor to lower system design
cost.
The Intel Pentium III processor introduced the Streaming SIMD Extensions (SSE) to the IA-32 architecture.
SSE extensions expand the SIMD execution model introduced with the Intel MMX technology by providing a
new set of 128-bit registers and the ability to perform SIMD operations on packed single-precision floating-
point values. See Section 2.2.7, SIMD Instructions.
The Pentium III Xeon processor extended the performance levels of the IA-32 processors with the
enhancement of a full-speed, on-die, and Advanced Transfer Cache.
2 .1 .7 T h e I n t e l

P e n t iu m

4 P ro ce sso r Fa m ily (2 0 0 0 -2 0 0 6 )
The Intel Pentium 4 processor family is based on Intel NetBurst microarchitecture; see Section 2.2.2, Intel
NetBurst

Microarchitecture.
The Intel Pentium 4 processor introduced Streaming SIMD Extensions 2 (SSE2); see Section 2.2.7, SIMD Instruc-
tions. The Intel Pentium 4 processor 3.40 GHz, supporting Hyper-Threading Technology introduced Streaming
SIMD Extensions 3 (SSE3); see Section 2.2.7, SIMD Instructions.
Intel 64 architecture was introduced in the Intel Pentium 4 Processor Extreme Edition supporting Hyper-Threading
Technology and in the Intel Pentium 4 Processor 6xx and 5xx sequences.
Intel

Virtualization Technology (Intel

VT) was introduced in the Intel Pentium 4 processor 672 and 662.
2 .1 .8 T h e I n t e l

Xe o n

P ro ce sso r (2 0 0 1 - 2 0 0 7 )
Intel Xeon processors (with exception for dual-core Intel Xeon processor LV, Intel Xeon processor 5100 series) are
based on the Intel NetBurst microarchitecture; see Section 2.2.2, Intel NetBurst

Microarchitecture. As a family,
this group of IA-32 processors (more recently Intel 64 processors) is designed for use in multi-processor server
systems and high-performance workstations.
The Intel Xeon processor MP introduced support for Intel

Hyper-Threading Technology; see Section 2.2.8, Intel
Hyper-Threading Technology.
The 64-bit Intel Xeon processor 3.60 GHz (with an 800 MHz System Bus) was used to introduce Intel 64 architec-
ture. The Dual-Core Intel Xeon processor includes dual core technology. The Intel Xeon processor 70xx series
includes Intel Virtualization Technology.
The Intel Xeon processor 5100 series introduces power-efficient, high performance Intel Core microarchitecture.
This processor is based on Intel 64 architecture; it includes Intel Virtualization Technology and dual-core tech-
nology. The Intel Xeon processor 3000 series are also based on Intel Core microarchitecture. The Intel Xeon
processor 5300 series introduces four processor cores in a physical package, they are also based on Intel Core
microarchitecture.
2 .1 .9 T h e I n t e l

P e n t iu m

M P ro ce sso r (2 0 0 3 -C u rre n t )
The Intel Pentium M processor family is a high performance, low power mobile processor family with microarchi-
tectural enhancements over previous generations of IA-32 Intel mobile processors. This family is designed for
extending battery life and seamless integration with platform innovations that enable new usage models (such as
extended mobility, ultra thin form-factors, and integrated wireless networking).
Its enhanced microarchitecture includes:
Support for Intel Architecture with Dynamic Execution
A high performance, low-power core manufactured using Intels advanced process technology with copper
interconnect
On-die, primary 32-KByte instruction cache and 32-KByte write-back data cache
On-die, second-level cache (up to 2 MByte) with Advanced Transfer Cache Architecture
2-4 Vol. 1
INTEL

64 AND IA-32 ARCHITECTURES


Advanced Branch Prediction and Data Prefetch Logic
Support for MMX technology, Streaming SIMD instructions, and the SSE2 instruction set
A 400 or 533 MHz, Source-Synchronous Processor System Bus
Advanced power management using Enhanced Intel SpeedStep

technology
2 .1 .1 0 T h e I n t e l

P e n t iu m

P ro ce sso r E x t re m e E d it io n (2 0 0 5 -2 0 0 7 )
The Intel Pentium processor Extreme Edition introduced dual-core technology. This technology provides advanced
hardware multi-threading support. The processor is based on Intel NetBurst microarchitecture and supports SSE,
SSE2, SSE3, Hyper-Threading Technology, and Intel 64 architecture.
See also:
Section 2.2.2, Intel NetBurst

Microarchitecture
Section 2.2.3, Intel

Core

Microarchitecture
Section 2.2.7, SIMD Instructions
Section 2.2.8, Intel Hyper-Threading Technology
Section 2.2.9, Multi-Core Technology
Section 2.2.10, Intel

64 Architecture
2 .1 .1 1 T h e I n t e l

C o re

D u o a n d I n t e l

C o re

S o lo P ro ce sso rs (2 0 0 6 -2 0 0 7 )
The Intel Core Duo processor offers power-efficient, dual-core performance with a low-power design that extends
battery life. This family and the single-core Intel Core Solo processor offer microarchitectural enhancements over
Pentium M processor family.
Its enhanced microarchitecture includes:
Intel

Smart Cache which allows for efficient data sharing between two processor cores
Improved decoding and SIMD execution
Intel

Dynamic Power Coordination and Enhanced Intel

Deeper Sleep to reduce power consumption


Intel

Advanced Thermal Manager which features digital thermal sensor interfaces


Support for power-optimized 667 MHz bus
The dual-core Intel Xeon processor LV is based on the same microarchitecture as Intel Core Duo processor, and
supports IA-32 architecture.
2 .1 .1 2 T h e I n t e l

Xe o n

P ro ce sso r 5 1 0 0 , 5 3 0 0 S e rie s a n d I n t e l

C o re

2 P ro ce sso r Fa m ily
(2 0 0 6 -C u rre n t )
The Intel Xeon processor 3000, 3200, 5100, 5300, and 7300 series, Intel Pentium Dual-Core, Intel Core 2 Extreme,
Intel Core 2 Quad processors, and Intel Core 2 Duo processor family support Intel 64 architecture; they are based
on the high-performance, power-efficient Intel

Core microarchitecture built on 65 nm process technology. The


Intel Core microarchitecture includes the following innovative features:
Intel

Wide Dynamic Execution to increase performance and execution throughput


Intel

Intelligent Power Capability to reduce power consumption


Intel

Advanced Smart Cache which allows for efficient data sharing between two processor cores
Intel

Smart Memory Access to increase data bandwidth and hide latency of memory accesses
Intel

Advanced Digital Media Boost which improves application performance using multiple generations of
Streaming SIMD extensions
The Intel Xeon processor 5300 series, Intel Core 2 Extreme processor QX6800 series, and Intel Core 2 Quad
processors support Intel quad-core technology.
Vol. 1 2-5
INTEL

64 AND IA-32 ARCHITECTURES


2 .1 .1 3 T h e I n t e l

Xe o n

P ro ce sso r 5 2 0 0 , 5 4 0 0 , 7 4 0 0 S e rie s a n d I n t e l

C o re

2 P ro ce sso r
Fa m ily (2 0 0 7 -C u rre n t )
The Intel Xeon processor 5200, 5400, and 7400 series, Intel Core 2 Quad processor Q9000 Series, Intel Core 2 Duo
processor E8000 series support Intel 64 architecture; they are based on the Enhanced Intel

Core microarchitec-
ture using 45 nm process technology. The Enhanced Intel Core microarchitecture provides the following improved
features:
A radix-16 divider, faster OS primitives further increases the performance of Intel

Wide Dynamic Execution.


Improves Intel

Advanced Smart Cache with Up to 50% larger level-two cache and up to 50% increase in way-
set associativity.
A 128-bit shuffler engine significantly improves the performance of Intel

Advanced Digital Media Boost and


SSE4.
Intel Xeon processor 5400 series and Intel Core 2 Quad processor Q9000 Series support Intel quad-core tech-
nology. Intel Xeon processor 7400 series offers up to six processor cores and an L3 cache up to 16 MBytes.
2 .1 .1 4 T h e I n t e l

At o m

P ro ce sso r Fa m ily (2 0 0 8 -C u rre n t )


The Intel

Atom
TM
processors are built on 45 nm process technology. They are based on a new microarchitecture,
Intel

Atom
TM
microarchitecture, which is optimized for ultra low power devices. The Intel

Atom
TM
microarchitec-
ture features two in-order execution pipelines that minimize power consumption, increase battery life, and enable
ultra-small form factors. It provides the following features:
Enhanced Intel

SpeedStep

Technology
Intel

Hyper-Threading Technology
Deep Power Down Technology with Dynamic Cache Sizing
Support for new instructions up to and including Supplemental Streaming SIMD Extensions 3 (SSSE3).
Support for Intel

Virtualization Technology
Support for Intel

64 Architecture (excluding Intel Atom processor Z5xx Series)


2 .1 .1 5 T h e I n t e l

C o re

i7 P ro ce sso r Fa m ily (2 0 0 8 -C u rre n t )


The Intel Core i7 processor 900 series support Intel 64 architecture; they are based on Intel

microarchitecture
code name Nehalem using 45 nm process technology. The Intel Core i7 processor and Intel Xeon processor 5500
series include the following innovative features:
Intel

Turbo Boost Technology converts thermal headroom into higher performance.


Intel

HyperThreading Technology in conjunction with Quadcore to provide four cores and eight threads.
Dedicated power control unit to reduce active and idle power consumption.
Integrated memory controller on the processor supporting three channel of DDR3 memory.
8 MB inclusive Intel

Smart Cache.
Intel

QuickPath interconnect (QPI) providing point-to-point link to chipset.


Support for SSE4.2 and SSE4.1 instruction sets.
Second generation Intel Virtualization Technology.
2 .1 .1 6 T h e I n t e l

Xe o n

P ro ce sso r 7 5 0 0 S e rie s (2 0 1 0 )
The Intel Xeon processor 7500 and 6500 series are based on Intel microarchitecture code name Nehalem using 45
nm process technology. They support the same features described in Section 2.1.15, plus the following innovative
features:
Up to eight cores per physical processor package.
Up to 24 MB inclusive Intel

Smart Cache.
2-6 Vol. 1
INTEL

64 AND IA-32 ARCHITECTURES


Provides Intel

Scalable Memory Interconnect (Intel

SMI) channels with Intel

7500 Scalable Memory Buffer


to connect to system memory.
Advanced RAS supporting software recoverable machine check architecture.
2 .1 .1 7 2 0 1 0 I n t e l

C o re

P ro ce sso r Fa m ily (2 0 1 0 )
2010 Intel Core processor family spans Intel Core i7, i5 and i3 processors. They are based on Intel

microarchitec-
ture code name Westmere using 32 nm process technology. The innovative features can include:
Deliver smart performance using Intel Hyper-Threading Technology plus Intel Turbo Boost Technology.
Enhanced Intel Smart Cache and integrated memory controller.
Intelligent power gating.
Repartitioned platform with on-die integration of 45nm integrated graphics.
Range of instruction set support up to AESNI, PCLMULQDQ, SSE4.2 and SSE4.1.
2 .1 .1 8 T h e I n t e l

Xe o n

P ro ce sso r 5 6 0 0 S e rie s (2 0 1 0 )
The Intel Xeon processor 5600 series are based on Intel microarchitecture code name Westmere using 32 nm
process technology. They support the same features described in Section 2.1.15, plus the following innovative
features:
Up to six cores per physical processor package.
Up to 12 MB enhanced Intel

Smart Cache.
Support for AESNI, PCLMULQDQ, SSE4.2 and SSE4.1 instruction sets.
Flexible Intel Virtualization Technologies across processor and I/O.
2 .1 .1 9 2 n d G e n e ra t io n I n t e l

C o re

P ro ce sso r Fa m ily (2 0 1 1 )
2nd Generation Intel Core processor family spans Intel Core i7, i5 and i3 processors based on Intel

microarchitec-
ture code name Sandy Bridge. They are built from 32 nm process technology and have innovative features
including:
Intel Turbo Boost Technology for Intel Core i5 and i7 processors
Intel Hyper-Threading Technology.
Enhanced Intel Smart Cache and integrated memory controller.
Processor graphics and built-in visual features like Intel

Quick Sync Video, Intel

Insider
TM
etc.
Range of instruction set support up to AVX, AESNI, PCLMULQDQ, SSE4.2 and SSE4.1.
2 .2 MO R E O N S P E C I F I C AD VAN C E S
The following sections provide more information on major innovations.
2 .2 .1 P 6 Fa m ily Micro a rch it e ct u re
The Pentium Pro processor introduced a new microarchitecture commonly referred to as P6 processor microarchi-
tecture. The P6 processor microarchitecture was later enhanced with an on-die, Level 2 cache, called Advanced
Transfer Cache.
The microarchitecture is a three-way superscalar, pipelined architecture. Three-way superscalar means that by
using parallel processing techniques, the processor is able on average to decode, dispatch, and complete execution
of (retire) three instructions per clock cycle. To handle this level of instruction throughput, the P6 processor family
uses a decoupled, 12-stage superpipeline that supports out-of-order instruction execution.
Vol. 1 2-7
INTEL

64 AND IA-32 ARCHITECTURES


Figure 2-1 shows a conceptual view of the P6 processor microarchitecture pipeline with the Advanced Transfer
Cache enhancement.
To ensure a steady supply of instructions and data for the instruction execution pipeline, the P6 processor microar-
chitecture incorporates two cache levels. The Level 1 cache provides an 8-KByte instruction cache and an 8-KByte
data cache, both closely coupled to the pipeline. The Level 2 cache provides 256-KByte, 512-KByte, or 1-MByte
static RAM that is coupled to the core processor through a full clock-speed 64-bit cache bus.
The centerpiece of the P6 processor microarchitecture is an out-of-order execution mechanism called dynamic
execution. Dynamic execution incorporates three data-processing concepts:
Deep branch prediction allows the processor to decode instructions beyond branches to keep the instruction
pipeline full. The P6 processor family implements highly optimized branch prediction algorithms to predict the
direction of the instruction.
Dynamic data flow analysis requires real-time analysis of the flow of data through the processor to
determine dependencies and to detect opportunities for out-of-order instruction execution. The out-of-order
execution core can monitor many instructions and execute these instructions in the order that best optimizes
the use of the processors multiple execution units, while maintaining the data integrity.
Speculative execution refers to the processors ability to execute instructions that lie beyond a conditional
branch that has not yet been resolved, and ultimately to commit the results in the order of the original
instruction stream. To make speculative execution possible, the P6 processor microarchitecture decouples the
dispatch and execution of instructions from the commitment of results. The processors out-of-order execution
core uses data-flow analysis to execute all available instructions in the instruction pool and store the results in
temporary registers. The retirement unit then linearly searches the instruction pool for completed instructions
that no longer have data dependencies with other instructions or unresolved branch predictions. When
completed instructions are found, the retirement unit commits the results of these instructions to memory
and/or the IA-32 registers (the processors eight general-purpose registers and eight x87 FPU data registers)
in the order they were originally issued and retires the instructions from the instruction pool.
F ig u re 2 -1 . T h e P 6 P ro ce sso r Micro a rch it e ct u re w it h Ad v a n ce d T ra n sfe r C a ch e E n h a n ce m e n t
Bus Unit
2nd Level Cache
On-die, 8-way
1st Level Cache
4-way, low latency
Fetch/
Decode
Execution
Instruction
Cache
Microcode
ROM
Execution
Out-of-Order
Core
Retirement
BTSs/Branch Prediction
System Bus
Branch History Update
Frequently used
Less frequently used
Front End
OM16520
2-8 Vol. 1
INTEL

64 AND IA-32 ARCHITECTURES


2 .2 .2 I n t e l N e t B u rst

Micro a rch it e ct u re
The Intel NetBurst microarchitecture provides:
The Rapid Execution Engine
Arithmetic Logic Units (ALUs) run at twice the processor frequency
Basic integer operations can dispatch in 1/2 processor clock tick
Hyper-Pipelined Technology
Deep pipeline to enable industry-leading clock rates for desktop PCs and servers
Frequency headroom and scalability to continue leadership into the future
Advanced Dynamic Execution
Deep, out-of-order, speculative execution engine
Up to 126 instructions in flight
Up to 48 loads and 24 stores in pipeline
1
Enhanced branch prediction capability
Reduces the misprediction penalty associated with deeper pipelines
Advanced branch prediction algorithm
4K-entry branch target array
New cache subsystem
First level caches
Advanced Execution Trace Cache stores decoded instructions
Execution Trace Cache removes decoder latency from main execution loops
Execution Trace Cache integrates path of program execution flow into a single line
Low latency data cache
Second level cache
Full-speed, unified 8-way Level 2 on-die Advance Transfer Cache
Bandwidth and performance increases with processor frequency
High-performance, quad-pumped bus interface to the Intel NetBurst microarchitecture system bus
Supports quad-pumped, scalable bus clock to achieve up to 4X effective speed
Capable of delivering up to 8.5 GBytes of bandwidth per second
Superscalar issue to enable parallelism
Expanded hardware registers with renaming to avoid register name space limitations
64-byte cache line size (transfers data up to two lines per sector)
Figure 2-2 is an overview of the Intel NetBurst microarchitecture. This microarchitecture pipeline is made up of
three sections: (1) the front end pipeline, (2) the out-of-order execution core, and (3) the retirement unit.
1. Intel 64 and IA-32 processors based on the Intel NetBurst microarchitecture at 90 nmprocess can handle more than 24 stores in
flight.
Vol. 1 2-9
INTEL

64 AND IA-32 ARCHITECTURES


2 .2 .2 .1 T h e F ro n t E n d P ip e lin e
The front end supplies instructions in program order to the out-of-order execution core. It performs a number of
functions:
Prefetches instructions that are likely to be executed
Fetches instructions that have not already been prefetched
Decodes instructions into micro-operations
Generates microcode for complex instructions and special-purpose code
Delivers decoded instructions from the execution trace cache
Predicts branches using highly advanced algorithm
The pipeline is designed to address common problems in high-speed, pipelined microprocessors. Two of these
problems contribute to major sources of delays:
time to decode instructions fetched from the target
wasted decode bandwidth due to branches or branch target in the middle of cache lines
The operation of the pipelines trace cache addresses these issues. Instructions are constantly being fetched and
decoded by the translation engine (part of the fetch/decode logic) and built into sequences of ops called traces.
At any time, multiple traces (representing prefetched branches) are being stored in the trace cache. The trace
cache is searched for the instruction that follows the active branch. If the instruction also appears as the first
instruction in a pre-fetched branch, the fetch and decode of instructions from the memory hierarchy ceases and the
pre-fetched branch becomes the new source of instructions (see Figure 2-2).
The trace cache and the translation engine have cooperating branch prediction hardware. Branch targets are
predicted based on their linear addresses using branch target buffers (BTBs) and fetched as soon as possible.
F ig u re 2 -2 . T h e I n t e l N e t B u rst Micro a rch it e ct u re
Fetch/Decode
Trace Cache
Microcode ROM
Execution
Out-Of-Order
Core
Retirement
1st Level Cache
4-way
2nd Level Cache
8-Way
BTBs/Branch Prediction
Bus Unit
System Bus
Frequently used paths
Less frequently used
paths
Front End
3rd Level Cache
Optional
Branch History Update
OM16521
2-10 Vol. 1
INTEL

64 AND IA-32 ARCHITECTURES


2 .2 .2 .2 O u t -O f -O rd e r E xe cu t io n C o re
The out-of-order execution cores ability to execute instructions out of order is a key factor in enabling parallelism.
This feature enables the processor to reorder instructions so that if one op is delayed, other ops may proceed
around it. The processor employs several buffers to smooth the flow of ops.
The core is designed to facilitate parallel execution. It can dispatch up to six ops per cycle (this exceeds trace
cache and retirement op bandwidth). Most pipelines can start executing a new op every cycle, so several instruc-
tions can be in flight at a time for each pipeline. A number of arithmetic logical unit (ALU) instructions can start at
two per cycle; many floating-point instructions can start once every two cycles.
2 .2 .2 .3 R e t ire m e n t U n it
The retirement unit receives the results of the executed ops from the out-of-order execution core and processes
the results so that the architectural state updates according to the original program order.
When a op completes and writes its result, it is retired. Up to three ops may be retired per cycle. The Reorder
Buffer (ROB) is the unit in the processor which buffers completed ops, updates the architectural state in order, and
manages the ordering of exceptions. The retirement section also keeps track of branches and sends updated
branch target information to the BTB. The BTB then purges pre-fetched traces that are no longer needed.
2 .2 .3 I n t e l

C o re

Micro a rch it e ct u re
Intel Core microarchitecture introduces the following features that enable high performance and power-efficient
performance for single-threaded as well as multi-threaded workloads:
Intel

Wide Dynamic Execution enable each processor core to fetch, dispatch, execute in high bandwidths
to support retirement of up to four instructions per cycle.
Fourteen-stage efficient pipeline
Three arithmetic logical units
Four decoders to decode up to five instruction per cycle
Macro-fusion and micro-fusion to improve front-end throughput
Peak issue rate of dispatching up to six micro-ops per cycle
Peak retirement bandwidth of up to 4 micro-ops per cycle
Advanced branch prediction
Stack pointer tracker to improve efficiency of executing function/procedure entries and exits
Intel

Advanced Smart Cache delivers higher bandwidth from the second level cache to the core, and
optimal performance and flexibility for single-threaded and multi-threaded applications.
Large second level cache up to 4 MB and 16-way associativity
Optimized for multicore and single-threaded execution environments
256 bit internal data path to improve bandwidth from L2 to first-level data cache
Intel

Smart Memory Access prefetches data from memory in response to data access patterns and reduces
cache-miss exposure of out-of-order execution.
Hardware prefetchers to reduce effective latency of second-level cache misses
Hardware prefetchers to reduce effective latency of first-level data cache misses
Memory disambiguation to improve efficiency of speculative execution execution engine
Intel

Advanced Digital Media Boost improves most 128-bit SIMD instruction with single-cycle throughput
and floating-point operations.
Single-cycle throughput of most 128-bit SIMD instructions
Up to eight floating-point operation per cycle
Three issue ports available to dispatching SIMD instructions for execution
Vol. 1 2-11
INTEL

64 AND IA-32 ARCHITECTURES


Intel Core 2 Extreme, Intel Core 2 Duo processors and Intel Xeon processor 5100 series implement two processor
cores based on the Intel Core microarchitecture, the functionality of the subsystems in each core are depicted in
Figure 2-3.
2 .2 .3 .1 T h e F ro n t E n d
The front end of Intel Core microarchitecture provides several enhancements to feed the Intel Wide Dynamic
Execution engine:
Instruction fetch unit prefetches instructions into an instruction queue to maintain steady supply of instruction
to the decode units.
Four-wide decode unit can decode 4 instructions per cycle or 5 instructions per cycle with Macrofusion.
Macrofusion fuses common sequence of two instructions as one decoded instruction (micro-ops) to increase
decoding throughput.
Microfusion fuses common sequence of two micro-ops as one micro-ops to improve retirement throughput.
Instruction queue provides caching of short loops to improve efficiency.
Stack pointer tracker improves efficiency of executing procedure/function entries and exits.
Branch prediction unit employs dedicated hardware to handle different types of branches for improved branch
prediction.
Advanced branch prediction algorithm directs instruction fetch unit to fetch instructions likely in the architec-
tural code path for decoding.
2 .2 .3 .2 E xe cu t io n C o re
The execution core of the Intel Core microarchitecture is superscalar and can process instructions out of order to
increase the overall rate of instructions executed per cycle (IPC). The execution core employs the following feature
to improve execution throughput and efficiency:
F ig u re 2 -3 . T h e I n t e l C o re Micro a rch it e ct u re P ip e lin e F u n ct io n a lit y
Decode
ALU
Branch
MMX/SSE/FP
Move
Load
Shared L2 Cache
Up to 10.7 GB/s
FSB
Retirement Unit
(Re-Order Buffer)
L1D Cache and DTLB
Instruction Fetch and PreDecode
Instruction Queue
Rename/Alloc
ALU
FAdd
MMX/SSE
ALU
FMul
MMX/SSE
Scheduler
Micro-
code
ROM
Store
2-12 Vol. 1
INTEL

64 AND IA-32 ARCHITECTURES


Up to six micro-ops can be dispatched to execute per cycle
Up to four instructions can be retired per cycle
Three full arithmetic logical units
SIMD instructions can be dispatched through three issue ports
Most SIMD instructions have 1-cycle throughput (including 128-bit SIMD instructions)
Up to eight floating-point operation per cycle
Many long-latency computation operation are pipelined in hardware to increase overall throughput
Reduced exposure to data access delays using Intel Smart Memory Access
2 .2 .4 I n t e l

At o m

Micro a rch it e ct u re
Intel Atom microarchitecture maximizes power-efficient performance for single-threaded and multi-threaded
workloads by providing:
Advanced Micro-Ops Execution
Single-micro-op instruction execution from decode to retirement, including instructions with register-only,
load, and store semantics.
Sixteen-stage, in-order pipeline optimized for throughput and reduced power consumption.
Dual pipelines to enable decode, issue, execution and retirement of two instructions per cycle.
Advanced stack pointer to improve efficiency of executing function entry/returns.
Intel

Smart Cache
Second level cache is 512 KB and 8-way associativity.
Optimized for multi-threaded and single-threaded execution environments
256 bit internal data path between L2 and L1 data cache improves high bandwidth.
Efficient Memory Access
Efficient hardware prefetchers to L1 and L2, speculatively loading data likely to be requested by processor
to reduce cache miss impact.
Intel

Digital Media Boost


Two issue ports for dispatching SIMD instructions to execution units.
Single-cycle throughput for most 128-bit integer SIMD instructions
Up to six floating-point operations per cycle
Up to two 128-bit SIMD integer operations per cycle
Safe Instruction Recognition (SIR) to allow long-latency floating-point operations to retire out of order with
respect to integer instructions.
2 .2 .5 I n t e l

Micro a rch it e ct u re C o d e N a m e N e h a le m
Intel microarchitecture code name Nehalem provides the foundation for many innovative features of Intel Core i7
processors. It builds on the success of 45nm Intel Core microarchitecture and provides the following feature
enhancements:
Enhanced processor core
Improved branch prediction and recovery from misprediction.
Enhanced loop streaming to improve front end performance and reduce power consumption.
Deeper buffering in out-of-order engine to extract parallelism.
Enhanced execution units to provide acceleration in CRC, string/text processing and data shuffling.
Vol. 1 2-13
INTEL

64 AND IA-32 ARCHITECTURES


Smart Memory Access
Integrated memory controller provides low-latency access to system memory and scalable memory
bandwidth
New cache hierarchy organization with shared, inclusive L3 to reduce snoop traffic
Two level TLBs and increased TLB size.
Fast unaligned memory access.
HyperThreading Technology
Provides two hardware threads (logical processors) per core.
Takes advantage of 4-wide execution engine, large L3, and massive memory bandwidth.
Dedicated Power management Innovations
Integrated microcontroller with optimized embedded firmware to manage power consumption.
Embedded real-time sensors for temperature, current, and power.
Integrated power gate to turn off/on per-core power consumption
Versatility to reduce power consumption of memory, link subsystems.
2 .2 .6 I n t e l

Micro a rch it e ct u re C o d e N a m e S a n d y B rid g e


Intel

microarchitecture code name Sandy Bridge builds on the successes of Intel

Core microarchitecture and


Intel microarchitecture code name Nehalem. It offers the following innovative features:
Intel Advanced Vector Extensions (Intel AVX)
256-bit floating-point instruction set extensions to the 128-bit Intel Streaming SIMD Extensions, providing
up to 2X performance benefits relative to 128-bit code.
Non-destructive destination encoding offers more flexible coding techniques.
Supports flexible migration and co-existence between 256-bit AVX code, 128-bit AVX code and legacy 128-
bit SSE code.
Enhanced front-end and execution engine
New decoded Icache component that improves front-end bandwidth and reduces branch misprediction
penalty.
Advanced branch prediction.
Additional macro-fusion support.
Larger dynamic execution window.
Multi-precision integer arithmetic enhancements (ADC/SBB, MUL/IMUL).
LEA bandwidth improvement.
Reduction of general execution stalls (read ports, writeback conflicts, bypass latency, partial stalls).
Fast floating-point exception handling.
XSAVE/XRSTORE performance improvements and XSAVEOPT new instruction.
Cache hierarchy improvements for wider data path
Doubling of bandwidth enabled by two symmetric ports for memory operation.
Simultaneous handling of more in-flight loads and stores enabled by increased buffers.
Internal bandwidth of two loads and one store each cycle.
Improved prefetching.
High bandwidth low latency LLC architecture.
High bandwidth ring architecture of on-die interconnect.
2-14 Vol. 1
INTEL

64 AND IA-32 ARCHITECTURES


For additional information on Intel

Advanced Vector Extensions (AVX), see Section 5.13, Intel Advanced Vector
Extensions (Intel AVX) and Chapter 13, Programming with AVX, FMA and AVX2 in Intel 64 and IA-32 Archi-
tectures Software Developers Manual, Volume 1.
2 .2 .7 S I MD I n st ru ct io n s
Beginning with the Pentium II and Pentium with Intel MMX technology processor families, six extensions have been
introduced into the Intel 64 and IA-32 architectures to perform single-instruction multiple-data (SIMD) operations.
These extensions include the MMX technology, SSE extensions, SSE2 extensions, SSE3 extensions, Supplemental
Streaming SIMD Extensions 3, and SSE4. Each of these extensions provides a group of instructions that perform
SIMD operations on packed integer and/or packed floating-point data elements.
SIMD integer operations can use the 64-bit MMX or the 128-bit XMM registers. SIMD floating-point operations use
128-bit XMM registers. Figure 2-4 shows a summary of the various SIMD extensions (MMX technology, SSE, SSE2,
SSE3, SSSE3, and SSE4), the data types they operate on, and how the data types are packed into MMX and XMM
registers.
The Intel MMX technology was introduced in the Pentium II and Pentium with MMX technology processor families.
MMX instructions perform SIMD operations on packed byte, word, or doubleword integers located in MMX registers.
These instructions are useful in applications that operate on integer arrays and streams of integer data that lend
themselves to SIMD processing.
SSE extensions were introduced in the Pentium III processor family. SSE instructions operate on packed single-
precision floating-point values contained in XMM registers and on packed integers contained in MMX registers.
Several SSE instructions provide state management, cache control, and memory ordering operations. Other SSE
instructions are targeted at applications that operate on arrays of single-precision floating-point data elements (3-
D geometry, 3-D rendering, and video encoding and decoding applications).
SSE2 extensions were introduced in Pentium 4 and Intel Xeon processors. SSE2 instructions operate on packed
double-precision floating-point values contained in XMM registers and on packed integers contained in MMX and
XMM registers. SSE2 integer instructions extend IA-32 SIMD operations by adding new 128-bit SIMD integer oper-
ations and by expanding existing 64-bit SIMD integer operations to 128-bit XMM capability. SSE2 instructions also
provide new cache control and memory ordering operations.
SSE3 extensions were introduced with the Pentium 4 processor supporting Hyper-Threading Technology (built on
90 nm process technology). SSE3 offers 13 instructions that accelerate performance of Streaming SIMD Exten-
sions technology, Streaming SIMD Extensions 2 technology, and x87-FP math capabilities.
SSSE3 extensions were introduced with the Intel Xeon processor 5100 series and Intel Core 2 processor family.
SSSE3 offer 32 instructions to accelerate processing of SIMD integer data.
SSE4 extensions offer 54 instructions. 47 of them are referred to as SSE4.1 instructions. SSE4.1 are introduced
with Intel Xeon processor 5400 series and Intel Core 2 Extreme processor QX9650. The other 7 SSE4 instructions
are referred to as SSE4.2 instructions.
AESNI and PCLMULQDQ introduce 7 new instructions. Six of them are primitives for accelerating algorithms based
on AES encryption/decryption standard, referred to as AESNI.
The PCLMULQDQ instruction accelerates general-purpose block encryption, which can perform carry-less multipli-
cation for two binary numbers up to 64-bit wide.
Intel 64 architecture allows four generations of 128-bit SIMD extensions to access up to 16 XMM registers. IA-32
architecture provides 8 XMM registers.
Intel

Advanced Vector Extensions offers comprehensive architectural enhancements over previous generations of
Streaming SIMD Extensions. Intel AVX introduces the following architectural enhancements:
Support for 256-bit wide vectors and SIMD register set.
256-bit floating-point instruction set enhancement with up to 2X performance gain relative to 128-bit
Streaming SIMD extensions.
Instruction syntax support for generalized three-operand syntax to improve instruction programming flexibility
and efficient encoding of new instruction extensions.
Vol. 1 2-15
INTEL

64 AND IA-32 ARCHITECTURES


Enhancement of legacy 128-bit SIMD instruction extensions to support three operand syntax and to simplify
compiler vectorization of high-level language expressions.
Support flexible deployment of 256-bit AVX code, 128-bit AVX code, legacy 128-bit code and scalar code.
In addition to performance considerations, programmers should also be cognizant of the implications of VEX-
encoded AVX instructions with the expectations of system software components that manage the processor state
components enabled by XCR0. For additional information see Section 2.3.10.1, Vector Length Transition and
Programming Considerations in Intel 64 and IA-32 Architectures Software Developers Manual, Volume 2A.
See also:
Section 5.4, MMX Instructions, and Chapter 9, Programming with Intel MMX Technology
Section 5.5, SSE Instructions, and Chapter 10, Programming with Streaming SIMD Extensions (SSE)
Section 5.6, SSE2 Instructions, and Chapter 11, Programming with Streaming SIMD Extensions 2 (SSE2)
Section 5.7, SSE3 Instructions, Section 5.8, Supplemental Streaming SIMD Extensions 3 (SSSE3) Instruc-
tions, Section 5.9, SSE4 Instructions, and Chapter 12, Programming with SSE3, SSSE3, SSE4 and AESNI
F ig u re 2 -4 . S I MD E x t e n sio n s, R e g ist e r La y o u t s, a n d D a t a T y p e s
4 Packed Word Integers
8 Packed Byte Integers
2 Packed Doubleword Integers
MMX Registers
Quadword
MMX Technology - SSSE3
D a t a T y p e R e g ist e r La y o u t S I MD E x t e n sio n
SSE - AVX
4 Packed Single-Precision
Floating-Point Values
2 Packed Double-Precision
Floating-Point Values
8 Packed Word Integers
16 Packed Byte Integers
4 Packed Doubleword
2 Quadword Integers
Double Quadword
Integers
XMM Registers
8 Packed SP FP Values
4 Packed DP FP Values
YMM Registers
AVX
2 128-bit Data
2-16 Vol. 1
INTEL

64 AND IA-32 ARCHITECTURES


2 .2 .8 I n t e l

H y p e r-T h re a d in g Te ch n o lo g y
Intel Hyper-Threading Technology (Intel HT Technology) was developed to improve the performance of IA-32
processors when executing multi-threaded operating system and application code or single-threaded applications
under multi-tasking environments. The technology enables a single physical processor to execute two or more
separate code streams (threads) concurrently using shared execution resources.
Intel HT Technology is one form of hardware multi-threading capability in IA-32 processor families. It differs from
multi-processor capability using separate physically distinct packages with each physical processor package mated
with a physical socket. Intel HT Technology provides hardware multi-threading capability with a single physical
package by using shared execution resources in a processor core.
Architecturally, an IA-32 processor that supports Intel HT Technology consists of two or more logical processors,
each of which has its own IA-32 architectural state. Each logical processor consists of a full set of IA-32 data regis-
ters, segment registers, control registers, debug registers, and most of the MSRs. Each also has its own advanced
programmable interrupt controller (APIC).
Figure 2-5 shows a comparison of a processor that supports Intel HT Technology (implemented with two logical
processors) and a traditional dual processor system.
Unlike a traditional MP system configuration that uses two or more separate physical IA-32 processors, the logical
processors in an IA-32 processor supporting Intel HT Technology share the core resources of the physical
processor. This includes the execution engine and the system bus interface. After power up and initialization, each
logical processor can be independently directed to execute a specified thread, interrupted, or halted.
Intel HT Technology leverages the process and thread-level parallelism found in contemporary operating systems
and high-performance applications by providing two or more logical processors on a single chip. This configuration
allows two or more threads
1
to be executed simultaneously on each a physical processor. Each logical processor
executes instructions from an application thread using the resources in the processor core. The core executes these
threads concurrently, using out-of-order instruction scheduling to maximize the use of execution units during each
clock cycle.
2 .2 .8 .1 S o m e I m p le m e n t a t io n N o t e s
All Intel HT Technology configurations require:
A processor that supports Intel HT Technology
F ig u re 2 -5 . C o m p a riso n o f a n I A-3 2 P ro ce sso r S u p p o rt in g H y p e r-T h re a d in g Te ch n o lo g y a n d a T ra d it io n a l D u a l
P ro ce sso r S y st e m
1. In the remainder of this document, the termthread will be used as a general termfor the terms process and thread.
Processor Core Processor Core Processor Core
AS AS AS AS
Traditional Multiple Processor (MP) System
IA-32 Processor Supporting
Hyper-Threading Technology
AS = IA-32 Architectural State
IA-32 processor IA-32 processor
Two logical
processors that share
a single core
Each processor is a
separate physical
package
IA-32 processor
OM16522
Vol. 1 2-17
INTEL

64 AND IA-32 ARCHITECTURES


A chipset and BIOS that utilize the technology
Operating system optimizations
See http://www.intel.com/products/ht/hyperthreading_more.htm for information.
At the firmware (BIOS) level, the basic procedures to initialize the logical processors in a processor supporting Intel
HT Technology are the same as those for a traditional DP or MP platform. The mechanisms that are described in the
Multiprocessor Specification, Version 1.4 to power-up and initialize physical processors in an MP system also apply
to logical processors in a processor that supports Intel HT Technology.
An operating system designed to run on a traditional DP or MP platform may use CPUID to determine the presence
of hardware multi-threading support feature and the number of logical processors they provide.
Although existing operating system and application code should run correctly on a processor that supports Intel HT
Technology, some code modifications are recommended to get the optimum benefit. These modifications are
discussed in Chapter 7, Multiple-Processor Management, Intel 64 and IA-32 Architectures Software Devel-
opers Manual, Volume 3A.
2 .2 .9 Mu lt i-C o re Te ch n o lo g y
Multi-core technology is another form of hardware multi-threading capability in IA-32 processor families. Multi-
core technology enhances hardware multi-threading capability by providing two or more execution cores in a phys-
ical package.
The Intel Pentium processor Extreme Edition is the first member in the IA-32 processor family to introduce multi-
core technology. The processor provides hardware multi-threading support with both two processor cores and Intel
Hyper-Threading Technology. This means that the Intel Pentium processor Extreme Edition provides four logical
processors in a physical package (two logical processors for each processor core). The Dual-Core Intel Xeon
processor features multi-core, Intel Hyper-Threading Technology and supports multi-processor platforms.
The Intel Pentium D processor also features multi-core technology. This processor provides hardware multi-
threading support with two processor cores but does not offer Intel Hyper-Threading Technology. This means that
the Intel Pentium D processor provides two logical processors in a physical package, with each logical processor
owning the complete execution resources of a processor core.
The Intel Core 2 processor family, Intel Xeon processor 3000 series, Intel Xeon processor 5100 series, and Intel
Core Duo processor offer power-efficient multi-core technology. The processor contains two cores that share a
smart second level cache. The Level 2 cache enables efficient data sharing between two cores to reduce memory
traffic to the system bus.
2-18 Vol. 1
INTEL

64 AND IA-32 ARCHITECTURES


The Pentium dual-core processor is based on the same technology as the Intel Core 2 Duo processor family.
The Intel Xeon processor 7300, 5300 and 3200 series, Intel Core 2 Extreme Quad-Core processor, and Intel Core 2
Quad processors support Intel quad-core technology. The Quad-core Intel Xeon processors and the Quad-Core
Intel Core 2 processor family are also in Figure 2-7.
F ig u re 2 -6 . I n t e l 6 4 a n d I A-3 2 P ro ce sso rs t h a t S u p p o rt D u a l-C o re
Architectual
State
System Bus
Execution Engine
Local APIC Local APIC
Execution Engine
Architectual
State
Bus Interface Bus Interface
Local APIC Local APIC
Architectual
State
Architectual
State
Pentium Processor Extreme Edition
System Bus
Architectual State
Execution Engine
Local APIC Local APIC
Execution Engine
Architectual State
Bus Interface
Intel Core Duo Processor
Intel Core 2 Duo Processor
Intel Pentium dual-core Processor
Second Level Cache
Architectual State
System Bus
Execution Engine
Local APIC Local APIC
Execution Engine
Architectual State
Bus Interface Bus Interface
Pentium D Processor
OM19809
Vol. 1 2-19
INTEL

64 AND IA-32 ARCHITECTURES


Intel Core i7 processors support Intel quad-core technology, Intel HyperThreading Technology, provides Intel
QuickPath interconnect link to the chipset and have integrated memory controller supporting three channel to
DDR3 memory.
F ig u re 2 -7. I n t e l 6 4 P ro ce sso rs t h a t S u p p o rt Q u a d -C o re
F ig u re 2 -8 . I n t e l C o re i7 P ro ce sso r
System Bus
Intel Core 2 Extreme Quad-core Processor
Intel Core 2 Quad Processor
Intel Xeon Processor 3200 Series
Intel Xeon Processor 5300 Series
Architectual State
Execution Engine
Local APIC Local APIC
Execution Engine
Architectual State
Bus Interface
Second Level Cache
Architectual State
Execution Engine
Local APIC Local APIC
Execution Engine
Architectual State
Bus Interface
Second Level Cache
OM19810
Chipset
Intel Core i7 Processor
QPI
Logical
Proces
sor
L1 and L2
Execution Engine Execution Engine
L1 and L2 L1 and L2
Execution Engine Execution Engine
L1 and L2
QuickPath Interconnect (QPI) Interface, Integrated Memory Controller
Third Level Cache
OM19810b
Logical
Proces
sor
Logical
Proces
sor
Logical
Proces
sor
Logical
Proces
sor
Logical
Proces
sor
Logical
Proces
sor
Logical
Proces
sor
DDR3
IMC
2-20 Vol. 1
INTEL

64 AND IA-32 ARCHITECTURES


2 .2 .1 0 I n t e l

6 4 Arch it e ct u re
Intel 64 architecture increases the linear address space for software to 64 bits and supports physical address space
up to 40 bits. The technology also introduces a new operating mode referred to as IA-32e mode.
IA-32e mode operates in one of two sub-modes: (1) compatibility mode enables a 64-bit operating system to run
most legacy 32-bit software unmodified, (2) 64-bit mode enables a 64-bit operating system to run applications
written to access 64-bit address space.
In the 64-bit mode, applications may access:
64-bit flat linear addressing
8 additional general-purpose registers (GPRs)
8 additional registers for streaming SIMD extensions (SSE, SSE2, SSE3 and SSSE3)
64-bit-wide GPRs and instruction pointers
uniform byte-register addressing
fast interrupt-prioritization mechanism
a new instruction-pointer relative-addressing mode
An Intel 64 architecture processor supports existing IA-32 software because it is able to run all non-64-bit legacy
modes supported by IA-32 architecture. Most existing IA-32 applications also run in compatibility mode.
2 .2 .1 1 I n t e l

Virt u a liza t io n Te ch n o lo g y (I n t e l

V T )
Intel

Virtualization Technology for Intel 64 and IA-32 architectures provide extensions that support virtualization.
The extensions are referred to as Virtual Machine Extensions (VMX). An Intel 64 or IA-32 platform with VMX can
function as multiple virtual systems (or virtual machines). Each virtual machine can run operating systems and
applications in separate partitions.
VMX also provides programming interface for a new layer of system software (called the Virtual Machine Monitor
(VMM)) used to manage the operation of virtual machines. Information on VMX and on the programming of VMMs
is in Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3B. Chapter 5, VMX Instruction
Reference, in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 2B, provides informa-
tion on VMX instructions.
Intel Core i7 processor provides the following enhancements to Intel Virtualization Technology:
Virtual processor ID (VPID) to reduce the cost of VMM managing transitions.
Extended page table (EPT) to reduce the number of transitions for VMM to manage memory virtualization.
Reduced latency of VM transitions.
2 .3 I N T E L

6 4 AN D I A-3 2 P R O C E S S O R G E N E R AT I O N S
In the mid-1960s, Intel cofounder and Chairman Emeritus Gordon Moore had this observation: ... the number of
transistors that would be incorporated on a silicon die would double every 18 months for the next several years.
Over the past three and half decades, this prediction known as Moore's Law has continued to hold true.
The computing power and the complexity (or roughly, the number of transistors per processor) of Intel architecture
processors has grown in close relation to Moore's law. By taking advantage of new process technology and new
microarchitecture designs, each new generation of IA-32 processors has demonstrated frequency-scaling head-
room and new performance levels over the previous generation processors.
Vol. 1 2-21
INTEL

64 AND IA-32 ARCHITECTURES


The key features of the Intel Pentium 4 processor, Intel Xeon processor, Intel Xeon processor MP, Pentium III
processor, and Pentium III Xeon processor with advanced transfer cache are shown in Table 2-1. Older generation
IA-32 processors, which do not employ on-die Level 2 cache, are shown in Table 2-2.
Ta b le 2 -1 . K e y Fe a t u re s o f Mo st R e ce n t I A-3 2 P ro ce sso rs
I n t e l
P ro ce sso r
D a t e
I n t ro -
d u ce d
Micro -a rch it e ct u re To p -B in C lo ck
F re -q u e n cy a t
I n t ro -
d u ct io n
T ra n -
sist o rs
R e g ist e r
S ize s
1
N O T E S :
1. The register size and external data bus size are given in bits.
S y st e m
B u s B a n d -
w id t h
Ma x .
E x t e rn .
Ad d r.
S p a ce
O n -D ie
C a ch e s
2
2. First level cache is denoted using the abbreviation L1, 2nd level cache is denoted as L2. The size
of L1 includes the first-level data cache and the instruction cache where applicable, but
does not include the trace cache.
Intel Pentium
M
Processor
755
3
3. Intel processor numbers are not a measure of performance. Processor numbers differentiate
features within each processor family, not across different processor families.
See http://www.intel.com/products/processor_number for details.
2004 Intel Pentium M
Processor
2.00 GHz 140 M GP: 32
FPU: 80
MMX: 64
XMM: 128
3.2 GB/s 4 GB L1: 64 KB
L2: 2 MB
Intel Core Duo
Processor
T2600
3
2006 Improved Intel
Pentium M
Processor
Microarchitecture;
Dual Core;
Intel Smart Cache,
Advanced Thermal
Manager
2.16 GHz 152M GP: 32
FPU: 80
MMX: 64
XMM: 128
5.3 GB/s 4 GB L1: 64 KB
L2: 2 MB
(2MB Total)
Intel Atom
Processor
Z5xx series
2008 Intel Atom
Microarchitecture;
Intel Virtualization
Technology.
1.86 GHz -
800 MHz
47M GP: 32
FPU: 80
MMX: 64
XMM: 128
Up to 4.2
GB/s
4 GB L1: 56 KB
4
L2: 512KB
4. In Intel Atom Processor, the size of L1 instruction cache is 32 KBytes, L1 data cache is 24 KBytes.
Ta b le 2 -2 . K e y Fe a t u re s o f Mo st R e ce n t I n t e l 6 4 P ro ce sso rs
I n t e l
P ro ce sso r
D a t e
I n t ro -
d u ce d
Micro -a rch it e c-
t u re
To p -B in F re -
q u e n cy a t
I n t ro -
d u ct io n
T ra n -
sisto rs
R e g ist e r
S ize s
S y st e m
B u s/Q P I
Lin k
S p e e d
Ma x .
E x t e rn .
Ad d r.
S p a ce
O n -D ie
C a ch e s
64-bit Intel
Xeon
Processor
with 800 MHz
SystemBus
2004 Intel NetBurst
Microarchitecture;
Intel Hyper-
Threading
Technology; Intel
64 Architecture
3.60 GHz 125 M GP: 32, 64
FPU: 80
MMX: 64
XMM: 128
6.4 GB/s 64 GB 12K op
Execution
Trace Cache;
16 KB L1;
1 MB L2
64-bit Intel
Xeon
Processor MP
with 8MB L3
2005 Intel NetBurst
Microarchitecture;
Intel Hyper-
Threading
Technology; Intel
64 Architecture
3.33 GHz 675M GP: 32, 64
FPU: 80
MMX: 64
XMM: 128
5.3 GB/s
1
1024 GB
(1 TB)
12K op
Execution
Trace Cache;
16 KB L1;
1 MB L2,
8 MB L3
2-22 Vol. 1
INTEL

64 AND IA-32 ARCHITECTURES


Intel Pentium
4
Processor
Extreme
Edition
Supporting
Hyper-
Threading
Technology
2005 Intel NetBurst
Microarchitecture;
Intel Hyper-
Threading
Technology; Intel
64 Architecture
3.73 GHz 164 M GP: 32, 64
FPU: 80
MMX: 64
XMM: 128
8.5 GB/s 64 GB 12K op
Execution
Trace Cache;
16 KB L1;
2 MB L2
Intel Pentium
Processor
Extreme
Edition 840
2005 Intel NetBurst
Microarchitecture;
Intel Hyper-
Threading
Technology; Intel
64 Architecture;
Dual-core
2
3.20 GHz 230 M GP: 32, 64
FPU: 80
MMX: 64
XMM: 128
6.4 GB/s 64 GB 12K op
Execution
Trace Cache;
16 KB L1;
1MB L2
(2MB Total)
Dual-Core Intel
Xeon
Processor
7041
2005 Intel NetBurst
Microarchitecture;
Intel Hyper-
Threading
Technology; Intel
64 Architecture;
Dual-core
3
3.00 GHz 321M GP: 32, 64
FPU: 80
MMX: 64
XMM: 128
6.4 GB/s 64 GB 12K op
Execution
Trace Cache;
16 KB L1;
2MB L2
(4MB Total)
Intel Pentium
4
Processor 672
2005 Intel NetBurst
Microarchitecture;
Intel Hyper-
Threading
Technology; Intel
64 Architecture;
Intel Virtualization
Technology.
3.80 GHz 164 M GP: 32, 64
FPU: 80
MMX: 64
XMM: 128
6.4 GB/s 64 GB 12K op
Execution
Trace Cache;
16 KB L1;
2MB L2
Intel Pentium
Processor
Extreme
Edition 955
2006 Intel NetBurst
Microarchitecture;
Intel 64
Architecture; Dual
Core;
Intel Virtualization
Technology.
3.46 GHz 376M GP: 32, 64
FPU: 80
MMX: 64
XMM: 128
8.5 GB/s 64 GB 12K op
Execution
Trace Cache;
16 KB L1;
2MB L2
(4MB Total)
Intel Core 2
Extreme
Processor
X6800
2006 Intel Core
Microarchitecture;
Dual Core;
Intel 64
Architecture;
Intel Virtualization
Technology.
2.93 GHz 291M GP: 32,64
FPU: 80
MMX: 64
XMM: 128
8.5 GB/s 64 GB L1: 64 KB
L2: 4MB
(4MB Total)
Ta b le 2 -2 . K e y Fe a t u re s o f Mo st R e ce n t I n t e l 6 4 P ro ce sso rs (C o n t d .)
I n t e l
P ro ce sso r
D a t e
I n t ro -
d u ce d
Micro -a rch it e c-
t u re
To p -B in F re -
q u e n cy a t
I n t ro -
d u ct io n
T ra n -
sisto rs
R e g ist e r
S ize s
S y st e m
B u s/Q P I
Lin k
S p e e d
Ma x .
E x t e rn .
Ad d r.
S p a ce
O n -D ie
C a ch e s
Vol. 1 2-23
INTEL

64 AND IA-32 ARCHITECTURES


Intel Xeon
Processor
5160
2006 Intel Core
Microarchitecture;
Dual Core;
Intel 64
Architecture;
Intel Virtualization
Technology.
3.00 GHz 291M GP: 32, 64
FPU: 80
MMX: 64
XMM: 128
10.6 GB/s 64 GB L1: 64 KB
L2: 4MB
(4MB Total)
Intel Xeon
Processor
7140
2006 Intel NetBurst
Microarchitecture;
Dual Core;
Intel 64
Architecture;
Intel Virtualization
Technology.
3.40 GHz 1.3 B GP: 32, 64
FPU: 80
MMX: 64
XMM: 128
12.8 GB/s 64 GB L1: 64 KB
L2: 1MB
(2MB Total)
L3: 16 MB
(16MB Total)
Intel Core 2
Extreme
Processor
QX6700
2006 Intel Core
Microarchitecture;
Quad Core;
Intel 64
Architecture;
Intel Virtualization
Technology.
2.66 GHz 582M GP: 32,64
FPU: 80
MMX: 64
XMM: 128
8.5 GB/s 64 GB L1: 64 KB
L2: 4MB
(4MB Total)
Quad-core
Intel Xeon
Processor
5355
2006 Intel Core
Microarchitecture;
Quad Core;
Intel 64
Architecture;
Intel Virtualization
Technology.
2.66 GHz 582 M GP: 32, 64
FPU: 80
MMX: 64
XMM: 128
10.6 GB/s 256 GB L1: 64 KB
L2: 4MB (8
MB Total)
Intel Core 2
Duo Processor
E6850
2007 Intel Core
Microarchitecture;
Dual Core;
Intel 64
Architecture;
Intel Virtualization
Technology;
Intel Trusted
Execution
Technology
3.00 GHz 291 M GP: 32, 64
FPU: 80
MMX: 64
XMM: 128
10.6 GB/s 64 GB L1: 64 KB
L2: 4MB
(4MB Total)
Intel Xeon
Processor
7350
2007 Intel Core
Microarchitecture;
Quad Core;
Intel 64
Architecture;
Intel Virtualization
Technology.
2.93 GHz 582 M GP: 32, 64
FPU: 80
MMX: 64
XMM: 128
8.5 GB/s 1024 GB L1: 64 KB
L2: 4MB
(8MB Total)
Ta b le 2 -2 . K e y F e a t u re s o f Mo st R e ce n t I n t e l 6 4 P ro ce sso rs (C o n t d .)
I n t e l
P ro ce sso r
D a t e
I n t ro -
d u ce d
Micro -a rch it e c-
t u re
To p -B in F re -
q u e n cy a t
I n t ro -
d u ct io n
T ra n -
sisto rs
R e g ist e r
S ize s
S y st e m
B u s/Q P I
Lin k
S p e e d
Ma x .
E x t e rn .
Ad d r.
S p a ce
O n -D ie
C a ch e s
2-24 Vol. 1
INTEL

64 AND IA-32 ARCHITECTURES


Intel Xeon
Processor
5472
2007 Enhanced Intel
Core
Microarchitecture;
Quad Core;
Intel 64
Architecture;
Intel Virtualization
Technology.
3.00 GHz 820 M GP: 32, 64
FPU: 80
MMX: 64
XMM: 128
12.8 GB/s 256 GB L1: 64 KB
L2: 6MB
(12MB Total)
Intel Atom
Processor
2008 Intel Atom
Microarchitecture;
Intel 64
Architecture;
Intel Virtualization
Technology.
2.0 - 1.60
GHz
47 M GP: 32, 64
FPU: 80
MMX: 64
XMM: 128
Up to 4.2
GB/s
Up to
64GB
L1: 56 KB
4
L2: 512KB
Intel Xeon
Processor
7460
2008 Enhanced Intel
Core
Microarchitecture;
Six Cores;
Intel 64
Architecture;
Intel Virtualization
Technology.
2.67 GHz 1.9 B GP: 32, 64
FPU: 80
MMX: 64
XMM: 128
8.5 GB/s 1024 GB L1: 64 KB
L2: 3MB
(9MB Total)
L3: 16MB
Intel Atom
Processor 330
2008 Intel Atom
Microarchitecture;
Intel 64
Architecture;
Dual core;
Intel Virtualization
Technology.
1.60 GHz 94 M GP: 32, 64
FPU: 80
MMX: 64
XMM: 128
Up to 4.2
GB/s
Up to
64GB
L1: 56 KB
5
L2: 512KB
(1MB Total)
Intel Core i7-
965
Processor
Extreme
Edition
2008 Intel
microarchitecture
code name
Nehalem;
Quadcore;
HyperThreading
Technology; Intel
QPI; Intel 64
Architecture;
Intel Virtualization
Technology.
3.20 GHz 731 M GP: 32, 64
FPU: 80
MMX: 64
XMM: 128
QPI: 6.4
GT/s;
Memory:
25 GB/s
64 GB L1: 64 KB
L2: 256KB
L3: 8MB
Ta b le 2 -2 . K e y Fe a t u re s o f Mo st R e ce n t I n t e l 6 4 P ro ce sso rs (C o n t d .)
I n t e l
P ro ce sso r
D a t e
I n t ro -
d u ce d
Micro -a rch it e c-
t u re
To p -B in F re -
q u e n cy a t
I n t ro -
d u ct io n
T ra n -
sisto rs
R e g ist e r
S ize s
S y st e m
B u s/Q P I
Lin k
S p e e d
Ma x .
E x t e rn .
Ad d r.
S p a ce
O n -D ie
C a ch e s
Vol. 1 2-25
INTEL

64 AND IA-32 ARCHITECTURES


Intel Core i7-
620M
Processor
2010 Intel Turbo Boost
Technology, Intel
microarchitecture
code name
Westmere;
Dualcore;
HyperThreading
Technology; Intel
64 Architecture;
Intel Virtualization
Technology.,
Integrated graphics
2.66 GHz 383 M GP: 32, 64
FPU: 80
MMX: 64
XMM: 128
64 GB L1: 64 KB
L2: 256KB
L3: 4MB
Intel Xeon-
Processor
5680
2010 Intel Turbo Boost
Technology, Intel
microarchitecture
code name
Westmere; Six core;
HyperThreading
Technology; Intel
64 Architecture;
Intel Virtualization
Technology.
3.33 GHz 1.1B GP: 32, 64
FPU: 80
MMX: 64
XMM: 128
QPI: 6.4
GT/s; 32
GB/s
1 TB L1: 64 KB
L2: 256KB
L3: 12MB
Intel Xeon-
Processor
7560
2010 Intel Turbo Boost
Technology, Intel
microarchitecture
code name
Nehalem; Eight
core;
HyperThreading
Technology; Intel
64 Architecture;
Intel Virtualization
Technology.
2.26 GHz 2.3B GP: 32, 64
FPU: 80
MMX: 64
XMM: 128
QPI: 6.4
GT/s;
Memory:
76 GB/s
16 TB L1: 64 KB
L2: 256KB
L3: 24MB
Intel Core i7-
2600K
Processor
2011 Intel Turbo Boost
Technology, Intel
microarchitecture
code name Sandy
Bridge; Four core;
HyperThreading
Technology; Intel
64 Architecture;
Intel Virtualization
Technology.,
Processor graphics,
Quicksync Video
3.40 GHz 995M GP: 32, 64
FPU: 80
MMX: 64
XMM: 128
YMM: 256
DMI: 5
GT/s;
Memory:
21 GB/s
64 GB L1: 64 KB
L2: 256KB
L3: 8MB
Ta b le 2 -2 . K e y F e a t u re s o f Mo st R e ce n t I n t e l 6 4 P ro ce sso rs (C o n t d .)
I n t e l
P ro ce sso r
D a t e
I n t ro -
d u ce d
Micro -a rch it e c-
t u re
To p -B in F re -
q u e n cy a t
I n t ro -
d u ct io n
T ra n -
sisto rs
R e g ist e r
S ize s
S y st e m
B u s/Q P I
Lin k
S p e e d
Ma x .
E x t e rn .
Ad d r.
S p a ce
O n -D ie
C a ch e s
2-26 Vol. 1
INTEL

64 AND IA-32 ARCHITECTURES


Intel Xeon-
Processor E3-
1280
2011 Intel Turbo Boost
Technology, Intel
microarchitecture
code name Sandy
Bridge; Four core;
HyperThreading
Technology; Intel
64 Architecture;
Intel Virtualization
Technology.
3.50 GHz GP: 32, 64
FPU: 80
MMX: 64
XMM: 128
YMM: 256
DMI: 5
GT/s;
Memory:
21 GB/s
1 TB L1: 64 KB
L2: 256KB
L3: 8MB
Intel Xeon-
Processor E7-
8870
2011 Intel Turbo Boost
Technology, Intel
microarchitecture
code name
Westmere; Ten
core;
HyperThreading
Technology; Intel
64 Architecture;
Intel Virtualization
Technology.
2.40 GHz 2.2B GP: 32, 64
FPU: 80
MMX: 64
XMM: 128
QPI: 6.4
GT/s;
Memory:
102 GB/s
16 TB L1: 64 KB
L2: 256KB
L3: 30MB
N O T E S :
1. The 64-bit Intel Xeon Processor MP with an 8-MByte L3 supports a multi-processor platform with a dual system bus; this creates a
platform bandwidth with 10.6 GBytes.
2. In Intel PentiumProcessor Extreme Edition 840, the size of on-die cache is listed for each core. The total size of L2 in the physical
package in 2 MBytes.
3. In Dual-Core Intel Xeon Processor 7041, the size of on-die cache is listed for each core. The total size of L2 in the physical package in
4 MBytes.
4. In Intel Atom Processor, the size of L1 instruction cache is 32 KBytes, L1 data cache is 24 KBytes.
5. In Intel Atom Processor, the size of L1 instruction cache is 32 KBytes, L1 data cache is 24 KBytes.
Ta b le 2 -2 . K e y Fe a t u re s o f Mo st R e ce n t I n t e l 6 4 P ro ce sso rs (C o n t d .)
I n t e l
P ro ce sso r
D a t e
I n t ro -
d u ce d
Micro -a rch it e c-
t u re
To p -B in F re -
q u e n cy a t
I n t ro -
d u ct io n
T ra n -
sisto rs
R e g ist e r
S ize s
S y st e m
B u s/Q P I
Lin k
S p e e d
Ma x .
E x t e rn .
Ad d r.
S p a ce
O n -D ie
C a ch e s
Vol. 1 2-27
INTEL

64 AND IA-32 ARCHITECTURES


Ta b le 2 -3 . K e y Fe a t u re s o f P re v io u s G e n e ra t io n s o f I A-3 2 P ro ce sso rs
I n t e l
P ro ce sso r
D a t e
I n t ro -
d u ce d
Ma x . C lo ck
F re q u e n cy /
Te ch n o lo g y a t
I n t ro d u ct io n
T ra n -
sisto rs
R e g ist e r
S ize s
1
E x t . D a t a
B u s S ize
2
Ma x .
E x t e rn .
Ad d r.
S p a ce
C a ch e s
8086 1978 8 MHz 29 K 16 GP 16 1 MB None
Intel 286 1982 12.5 MHz 134 K 16 GP 16 16 MB Note 3
Intel386 DX
Processor
1985 20 MHz 275 K 32 GP 32 4 GB Note 3
Intel486 DX
Processor
1989 25 MHz 1.2 M 32 GP
80 FPU
32 4 GB L1: 8 KB
Pentium Processor 1993 60 MHz 3.1 M 32 GP
80 FPU
64 4 GB L1:16 KB
Pentium Pro
Processor
1995 200 MHz 5.5 M 32 GP
80 FPU
64 64 GB L1: 16 KB
L2: 256 KB or
512 KB
Pentium II Processor 1997 266 MHz 7 M 32 GP
80 FPU
64 MMX
64 64 GB L1: 32 KB
L2: 256 KB or
512 KB
Pentium III Processor 1999 500 MHz 8.2 M 32 GP
80 FPU
64 MMX
128 XMM
64 64 GB L1: 32 KB
L2: 512 KB
Pentium III and
Pentium III Xeon
Processors
1999 700 MHz 28 M 32 GP
80 FPU
64 MMX
128 XMM
64 64 GB L1: 32 KB
L2: 256 KB
Pentium 4 Processor 2000 1.50 GHz, Intel
NetBurst
Microarchitecture
42 M 32 GP
80 FPU
64 MMX
128 XMM
64 64 GB 12K op
Execution
Trace Cache;
L1: 8KB
L2: 256 KB
Intel Xeon Processor 2001 1.70 GHz, Intel
NetBurst
Microarchitecture
42 M 32 GP
80 FPU
64 MMX
128 XMM
64 64 GB 12K op
Execution
Trace Cache;
L1: 8KB
L2: 512KB
Intel Xeon Processor 2002 2.20 GHz, Intel
NetBurst
Microarchitecture,
HyperThreading
Technology
55 M 32 GP
80 FPU
64 MMX
128 XMM
64 64 GB 12K op
Execution
Trace Cache;
L1: 8KB
L2: 512KB
Pentium M Processor 2003 1.60 GHz, Intel
NetBurst
Microarchitecture
77 M 32 GP
80 FPU
64 MMX
128 XMM
64 4 GB L1: 64KB
L2: 1 MB
2-28 Vol. 1
INTEL

64 AND IA-32 ARCHITECTURES


N O T E :
1. The register size and external data bus size are given in bits. Note also that each 32-bit general-purpose (GP) registers can be
addressed as an 8- or a 16-bit data registers in all of the processors.
2. Internal data paths are 2 to 4 times wider than the external data bus for each processor.
Intel Pentium 4
Processor
Supporting Hyper-
Threading
Technology at 90 nm
process
2004 3.40 GHz, Intel
NetBurst
Microarchitecture,
HyperThreading
Technology
125 M 32 GP
80 FPU
64 MMX
128 XMM
64 64 GB 12K op
Execution
Trace Cache;
L1: 16KB
L2: 1 MB
Ta b le 2 -3 . K e y Fe a t u re s o f P re v io u s G e n e ra t io n s o f I A-3 2 P ro ce sso rs (C o n t d .)
Vol. 1 3-1
C H AP T E R 3
B AS I C E XE C U T I O N E N V I R O N ME N T
This chapter describes the basic execution environment of an Intel 64 or IA-32 processor as seen by assembly-
language programmers. It describes how the processor executes instructions and how it stores and manipulates
data. The execution environment described here includes memory (the address space), general-purpose data
registers, segment registers, the flag register, and the instruction pointer register.
3 .1 MO D E S O F O P E R AT I O N
The IA-32 architecture supports three basic operating modes: protected mode, real-address mode, and system
management mode. The operating mode determines which instructions and architectural features are accessible:
Protected mode This mode is the native state of the processor. Among the capabilities of protected mode
is the ability to directly execute real-address mode 8086 software in a protected, multi-tasking environment.
This feature is called virtual-8086 mode, although it is not actually a processor mode. Virtual-8086 mode is
actually a protected mode attribute that can be enabled for any task.
Real-address mode This mode implements the programming environment of the Intel 8086 processor with
extensions (such as the ability to switch to protected or system management mode). The processor is placed in
real-address mode following power-up or a reset.
System management mode (SMM) This mode provides an operating system or executive with a
transparent mechanism for implementing platform-specific functions such as power management and system
security. The processor enters SMM when the external SMM interrupt pin (SMI#) is activated or an SMI is
received from the advanced programmable interrupt controller (APIC).
In SMM, the processor switches to a separate address space while saving the basic context of the currently
running program or task. SMM-specific code may then be executed transparently. Upon returning from SMM,
the processor is placed back into its state prior to the system management interrupt. SMM was introduced with
the Intel386

SL and Intel486

SL processors and became a standard IA-32 feature with the Pentium


processor family.
3 .1 .1 I n t e l

6 4 Arch it e ct u re
Intel 64 architecture adds IA-32e mode. IA-32e mode has two sub-modes.
These are:
Compatibility mode (sub-mode of IA-32e mode) Compatibility mode permits most legacy 16-bit and
32-bit applications to run without re-compilation under a 64-bit operating system. For brevity, the compatibility
sub-mode is referred to as compatibility mode in IA-32 architecture. The execution environment of compati-
bility mode is the same as described in Section 3.2. Compatibility mode also supports all of the privilege levels
that are supported in 64-bit and protected modes. Legacy applications that run in Virtual 8086 mode or use
hardware task management will not work in this mode.
Compatibility mode is enabled by the operating system (OS) on a code segment basis. This means that a single
64-bit OS can support 64-bit applications running in 64-bit mode and support legacy 32-bit applications (not
recompiled for 64-bits) running in compatibility mode.
Compatibility mode is similar to 32-bit protected mode. Applications access only the first 4 GByte of linear-
address space. Compatibility mode uses 16-bit and 32-bit address and operand sizes. Like protected mode, this
mode allows applications to access physical memory greater than 4 GByte using PAE (Physical Address Exten-
sions).
64-bit mode (sub-mode of IA-32e mode) This mode enables a 64-bit operating system to run applica-
tions written to access 64-bit linear address space. For brevity, the 64-bit sub-mode is referred to as 64-bit
mode in IA-32 architecture.
3-2 Vol. 1
BASIC EXECUTION ENVIRONMENT
64-bit mode extends the number of general purpose registers and SIMD extension registers from 8 to 16.
General purpose registers are widened to 64 bits. The mode also introduces a new opcode prefix (REX) to
access the register extensions. See Section 3.2.1 for a detailed description.
64-bit mode is enabled by the operating system on a code-segment basis. Its default address size is 64 bits and
its default operand size is 32 bits. The default operand size can be overridden on an instruction-by-instruction
basis using a REX opcode prefix in conjunction with an operand size override prefix.
REX prefixes allow a 64-bit operand to be specified when operating in 64-bit mode. By using this mechanism,
many existing instructions have been promoted to allow the use of 64-bit registers and 64-bit addresses.
3 .2 O VE R V I E W O F T H E B AS I C E XE C U T I O N E N V I R O N ME N T
Any program or task running on an IA-32 processor is given a set of resources for executing instructions and for
storing code, data, and state information. These resources (described briefly in the following paragraphs and
shown in Figure 3-1) make up the basic execution environment for an IA-32 processor.
An Intel 64 processor supports the basic execution environment of an IA-32 processor, and a similar environment
under IA-32e mode that can execute 64-bit programs (64-bit sub-mode) and 32-bit programs (compatibility sub-
mode).
The basic execution environment is used jointly by the application programs and the operating system or executive
running on the processor.
Address space Any task or program running on an IA-32 processor can address a linear address space of
up to 4 GBytes (2
32
bytes) and a physical address space of up to 64 GBytes (2
36
bytes). See Section 3.3.6,
Extended Physical Addressing in Protected Mode, for more information about addressing an address space
greater than 4 GBytes.
Basic program execution registers The eight general-purpose registers, the six segment registers, the
EFLAGS register, and the EIP (instruction pointer) register comprise a basic execution environment in which to
execute a set of general-purpose instructions. These instructions perform basic integer arithmetic on byte,
word, and doubleword integers, handle program flow control, operate on bit and byte strings, and address
memory. See Section 3.4, Basic Program Execution Registers, for more information about these registers.
x87 FPU registers The eight x87 FPU data registers, the x87 FPU control register, the status register, the
x87 FPU instruction pointer register, the x87 FPU operand (data) pointer register, the x87 FPU tag register, and
the x87 FPU opcode register provide an execution environment for operating on single-precision, double-
precision, and double extended-precision floating-point values, word integers, doubleword integers, quadword
integers, and binary coded decimal (BCD) values. See Section 8.1, x87 FPU Execution Environment, for more
information about these registers.
MMX registers The eight MMX registers support execution of single-instruction, multiple-data (SIMD)
operations on 64-bit packed byte, word, and doubleword integers. See Section 9.2, The MMX Technology
Programming Environment, for more information about these registers.
XMM registers The eight XMM data registers and the MXCSR register support execution of SIMD operations
on 128-bit packed single-precision and double-precision floating-point values and on 128-bit packed byte,
word, doubleword, and quadword integers. See Section 10.2, SSE Programming Environment, for more
information about these registers.
Vol. 1 3-3
BASIC EXECUTION ENVIRONMENT
Stack To support procedure or subroutine calls and the passing of parameters between procedures or
subroutines, a stack and stack management resources are included in the execution environment. The stack
(not shown in Figure 3-1) is located in memory. See Section 6.2, Stacks, for more information about stack
structure.
In addition to the resources provided in the basic execution environment, the IA-32 architecture provides the
following resources as part of its system-level architecture. They provide extensive support for operating-system
and system-development software. Except for the I/O ports, the system resources are described in detail in the
Intel 64 and IA-32 Architectures Software Developers Manual, Volumes 3A & 3B.
I/O ports The IA-32 architecture supports a transfers of data to and from input/output (I/O) ports. See
Chapter 15, Input/Output, in this volume.
F ig u re 3 -1 . I A-3 2 B a sic E xe cu t io n E n v iro n m e n t fo r N o n -6 4 -b it Mo d e s
0
2^32 -1
Eight 32-bit
32-bits
32-bits
General-Purpose Registers
Segment Registers
EFLAGS Register
EIP (Instruction Pointer Register)
Ad d re ss S p a ce *
*The address space can be
Six 16-bit
Registers
Registers
Eight 80-bit
Registers
Floating-Point
Data Registers
Eight 64-bit
Registers
MMX Registers
flat or segmented. Using
XMM Registers
Eight 128-bit
Registers
16 bits Control Register
16 bits Status Register
48 bits FPU Instruction Pointer Register
48 bits FPU Data (Operand) Pointer Register
F P U R e g ist e rs
MMX R e g ist e rs
XMM R e g ist e rs
32-bits MXCSR Register
Opcode Register (11-bits)
B a sic P ro g ra m E xe cu t io n R e g ist e rs
16 bits Tag Register
the physical address
extension mechanism, a
physical address space of
2^36 - 1 can be addressed.
3-4 Vol. 1
BASIC EXECUTION ENVIRONMENT
Control registers The five control registers (CR0 through CR4) determine the operating mode of the
processor and the characteristics of the currently executing task. See Chapter 2, System Architecture
Overview, in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3A.
Memory management registers The GDTR, IDTR, task register, and LDTR specify the locations of data
structures used in protected mode memory management. See Chapter 2, System Architecture Overview, in
the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3A.
Debug registers The debug registers (DR0 through DR7) control and allow monitoring of the processors
debugging operations. See in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3B.
Memory type range registers (MTRRs) The MTRRs are used to assign memory types to regions of
memory. See the sections on MTRRs in the Intel 64 and IA-32 Architectures Software Developers Manual,
Volumes 3A & 3B.
Machine specific registers (MSRs) The processor provides a variety of machine specific registers that are
used to control and report on processor performance. Virtually all MSRs handle system related functions and
are not accessible to an application program. One exception to this rule is the time-stamp counter. The MSRs
are described in Chapter 35, Model-Specific Registers (MSRs), of the Intel 64 and IA-32 Architectures
Software Developers Manual, Volume 3C.
Machine check registers The machine check registers consist of a set of control, status, and error-
reporting MSRs that are used to detect and report on hardware (machine) errors. See Chapter 15, Machine-
Check Architecture, of the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3A.
Performance monitoring counters The performance monitoring counters allow processor performance
events to be monitored. See Chapter 23, Introduction to Virtual-Machine Extensions, in the Intel 64 and
IA-32 Architectures Software Developers Manual, Volume 3C.
The remainder of this chapter describes the organization of memory and the address space, the basic program
execution registers, and addressing modes. Refer to the following chapters in this volume for descriptions of the
other program execution resources shown in Figure 3-1:
x87 FPU registers See Chapter 8, Programming with the x87 FPU.
MMX Registers See Chapter 9, Programming with Intel MMX Technology.
XMM registers See Chapter 10, Programming with Streaming SIMD Extensions (SSE), Chapter 11,
Programming with Streaming SIMD Extensions 2 (SSE2), and Chapter 12, Programming with SSE3, SSSE3,
SSE4 and AESNI.
Stack implementation and procedure calls See Chapter 6, Procedure Calls, Interrupts, and Exceptions.
3 .2 .1 6 4 -B it Mo d e E xe cu t io n E n v iro n m e n t
The execution environment for 64-bit mode is similar to that described in Section 3.2. The following paragraphs
describe the differences that apply.
Address space A task or program running in 64-bit mode on an IA-32 processor can address linear address
space of up to 2
64
bytes (subject to the canonical addressing requirement described in Section 3.3.7.1) and
physical address space of up to 2
40
bytes. Software can query CPUID for the physical address size supported
by a processor.
Basic program execution registers The number of general-purpose registers (GPRs) available is 16. GPRs
are 64-bits wide and they support operations on byte, word, doubleword and quadword integers. Accessing
byte registers is done uniformly to the lowest 8 bits. The instruction pointer register becomes 64 bits. The
EFLAGS register is extended to 64 bits wide, and is referred to as the RFLAGS register. The upper 32 bits of
RFLAGS is reserved. The lower 32 bits of RFLAGS is the same as EFLAGS. See Figure 3-2.
XMM registers There are 16 XMM data registers for SIMD operations. See Section 10.2, SSE Programming
Environment, for more information about these registers.
Stack The stack pointer size is 64 bits. Stack size is not controlled by a bit in the SS descriptor (as it is in
non-64-bit modes) nor can the pointer size be overridden by an instruction prefix.
Control registers Control registers expand to 64 bits. A new control register (the task priority register: CR8
or TPR) has been added. See Chapter 2, Intel 64 and IA-32 Architectures, in this volume.
Vol. 1 3-5
BASIC EXECUTION ENVIRONMENT
Debug registers Debug registers expand to 64 bits. See Chapter 17, Debugging, Branch Profiles and
Time-Stamp Counter, in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3A.
Descriptor table registers The global descriptor table register (GDTR) and interrupt descriptor table
register (IDTR) expand to 10 bytes so that they can hold a full 64-bit base address. The local descriptor table
register (LDTR) and the task register (TR) also expand to hold a full 64-bit base address.
3 .3 ME MO R Y O R G AN I Z AT I O N
The memory that the processor addresses on its bus is called physical memory. Physical memory is organized as
a sequence of 8-bit bytes. Each byte is assigned a unique address, called a physical address. The physical
address space ranges from zero to a maximum of 2
36


1 (64 GBytes) if the processor does not support Intel
F ig u re 3 -2 . 6 4 -B it Mo d e E xe cu t io n E n v iro n m e n t
0
2^64 -1
Sixteen 64-bit
64-bits
64-bits
General-Purpose Registers
Segment Registers
RFLAGS Register
RIP (Instruction Pointer Register)
Ad d re ss S p a ce
Six 16-bit
Registers
Registers
Eight 80-bit
Registers
Floating-Point
Data Registers
Eight 64-bit
Registers
MMX Registers
XMM Registers
Sixteen 128-bit
Registers
16 bits Control Register
16 bits Status Register
64 bits FPU Instruction Pointer Register
64 bits FPU Data (Operand) Pointer Register
F P U R e g ist e rs
MMX R e g ist e rs
XMM R e g ist e rs
32-bits MXCSR Register
Opcode Register (11-bits)
B a sic P ro g ra m E xe cu t io n R e g ist e rs
16 bits Tag Register
3-6 Vol. 1
BASIC EXECUTION ENVIRONMENT
64 architecture. Intel 64 architecture introduces a changes in physical and linear address space; these are
described in Section 3.3.3, Section 3.3.4, and Section 3.3.7.
Virtually any operating system or executive designed to work with an IA-32 or Intel 64 processor will use the
processors memory management facilities to access memory. These facilities provide features such as segmenta-
tion and paging, which allow memory to be managed efficiently and reliably. Memory management is described in
detail in Chapter 3, Protected-Mode Memory Management, in the Intel 64 and IA-32 Architectures Software
Developers Manual, Volume 3A. The following paragraphs describe the basic methods of addressing memory when
memory management is used.
3 .3 .1 I A-3 2 Me m o ry Mo d e ls
When employing the processors memory management facilities, programs do not directly address physical
memory. Instead, they access memory using one of three memory models: flat, segmented, or real address mode:
Flat memory model Memory appears to a program as a single, continuous address space (Figure 3-3). This
space is called a linear address space. Code, data, and stacks are all contained in this address space. Linear
address space is byte addressable, with addresses running contiguously from 0 to 2
32
- 1 (if not in 64-bit
mode). An address for any byte in linear address space is called a linear address.
Segmented memory model Memory appears to a program as a group of independent address spaces
called segments. Code, data, and stacks are typically contained in separate segments. To address a byte in a
segment, a program issues a logical address. This consists of a segment selector and an offset (logical
addresses are often referred to as far pointers). The segment selector identifies the segment to be accessed
and the offset identifies a byte in the address space of the segment. Programs running on an IA-32 processor
can address up to 16,383 segments of different sizes and types, and each segment can be as large as 2
32

bytes.
Internally, all the segments that are defined for a system are mapped into the processors linear address space.
To access a memory location, the processor thus translates each logical address into a linear address. This
translation is transparent to the application program.
The primary reason for using segmented memory is to increase the reliability of programs and systems. For
example, placing a programs stack in a separate segment prevents the stack from growing into the code or
data space and overwriting instructions or data, respectively.
Real-address mode memory model This is the memory model for the Intel 8086 processor. It is
supported to provide compatibility with existing programs written to run on the Intel 8086 processor. The real-
address mode uses a specific implementation of segmented memory in which the linear address space for the
program and the operating system/executive consists of an array of segments of up to 64 KBytes in size each.
The maximum size of the linear address space in real-address mode is 2
20
bytes.
See also: Chapter 20, 8086 Emulation, Intel 64 and IA-32 Architectures Software Developers Manual,
Volume 3B.
Vol. 1 3-7
BASIC EXECUTION ENVIRONMENT
3 .3 .2 P a g in g a n d Virt u a l Me m o ry
With the flat or the segmented memory model, linear address space is mapped into the processors physical
address space either directly or through paging. When using direct mapping (paging disabled), each linear address
has a one-to-one correspondence with a physical address. Linear addresses are sent out on the processors
address lines without translation.
When using the IA-32 architectures paging mechanism (paging enabled), linear address space is divided into
pages which are mapped to virtual memory. The pages of virtual memory are then mapped as needed into physical
memory. When an operating system or executive uses paging, the paging mechanism is transparent to an applica-
tion program. All that the application sees is linear address space.
In addition, IA-32 architectures paging mechanism includes extensions that support:
Physical Address Extensions (PAE) to address physical address space greater than 4 GBytes.
Page Size Extensions (PSE) to map linear address to physical address in 4-MBytes pages.
See also: Chapter 3, Protected-Mode Memory Management, in the Intel 64 and IA-32 Architectures Software
Developers Manual, Volume 3A.
3 .3 .3 Me m o ry O rg a n iza t io n in 6 4 -B it Mo d e
Intel 64 architecture supports physical address space greater than 64 GBytes; the actual physical address size of
IA-32 processors is implementation specific. In 64-bit mode, there is architectural support for 64-bit linear address
space. However, processors supporting Intel 64 architecture may implement less than 64-bits (see Section
3.3.7.1). The linear address space is mapped into the processor physical address space through the PAE paging
mechanism.
F ig u re 3 -3 . T h re e Me m o ry Ma n a g e m e n t Mo d e ls
Linear Address
F la t Mo d e l
Linear
Address
Space*
Segment Selector
Offset
Segment Selector
S e g m e n t e d Mo d e l
R e a l-Ad d re ss Mo d e Mo d e l
Linear Address
Logical
Offset (effective address)
Space Divided
Into Equal
Sized Segments
Address
Logical
Address
Linear
Address
Space*
Segments
* The linear address space
can be paged when using the
flat or segmented model.
3-8 Vol. 1
BASIC EXECUTION ENVIRONMENT
3 .3 .4 Mo d e s o f O p e ra t io n v s. Me m o ry Mo d e l
When writing code for an IA-32 or Intel 64 processor, a programmer needs to know the operating mode the
processor is going to be in when executing the code and the memory model being used. The relationship between
operating modes and memory models is as follows:
Protected mode When in protected mode, the processor can use any of the memory models described in
this section. (The real-addressing mode memory model is ordinarily used only when the processor is in the
virtual-8086 mode.) The memory model used depends on the design of the operating system or executive.
When multitasking is implemented, individual tasks can use different memory models.
Real-address mode When in real-address mode, the processor only supports the real-address mode
memory model.
System management mode When in SMM, the processor switches to a separate address space, called the
system management RAM (SMRAM). The memory model used to address bytes in this address space is similar
to the real-address mode model. See Chapter 34, System Management Mode, in the Intel 64 and IA-32
Architectures Software Developers Manual, Volume 3C, for more information on the memory model used in
SMM.
Compatibility mode Software that needs to run in compatibility mode should observe the same memory
model as those targeted to run in 32-bit protected mode. The effect of segmentation is the same as it is in 32-
bit protected mode semantics.
64-bit mode Segmentation is generally (but not completely) disabled, creating a flat 64-bit linear-address
space. Specifically, the processor treats the segment base of CS, DS, ES, and SS as zero in 64-bit mode (this
makes a linear address equal an effective address). Segmented and real address modes are not available in 64-
bit mode.
3 .3 .5 3 2 -B it a n d 1 6 -B it Ad d re ss a n d O p e ra n d S ize s
IA-32 processors in protected mode can be configured for 32-bit or 16-bit address and operand sizes. With 32-bit
address and operand sizes, the maximum linear address or segment offset is FFFFFFFFH (2
32
-1); operand sizes are
typically 8 bits or 32 bits. With 16-bit address and operand sizes, the maximum linear address or segment offset is
FFFFH (2
16
-1); operand sizes are typically 8 bits or 16 bits.
When using 32-bit addressing, a logical address (or far pointer) consists of a 16-bit segment selector and a 32-bit
offset; when using 16-bit addressing, an address consists of a 16-bit segment selector and a 16-bit offset.
Instruction prefixes allow temporary overrides of the default address and/or operand sizes from within a program.
When operating in protected mode, the segment descriptor for the currently executing code segment defines the
default address and operand size. A segment descriptor is a system data structure not normally visible to applica-
tion code. Assembler directives allow the default addressing and operand size to be chosen for a program. The
assembler and other tools then set up the segment descriptor for the code segment appropriately.
When operating in real-address mode, the default addressing and operand size is 16 bits. An address-size override
can be used in real-address mode to enable 32-bit addressing. However, the maximum allowable 32-bit linear
address is still 000FFFFFH (2
20
-1).
3 .3 .6 E x t e n d e d P h y sica l Ad d re ssin g in P ro t e ct e d Mo d e
Beginning with P6 family processors, the IA-32 architecture supports addressing of up to 64 GBytes (2
36
bytes) of
physical memory. A program or task could not address locations in this address space directly. Instead, it addresses
individual linear address spaces of up to 4 GBytes that mapped to 64-GByte physical address space through a
virtual memory management mechanism. Using this mechanism, an operating system can enable a program to
switch 4-GByte linear address spaces within 64-GByte physical address space.
The use of extended physical addressing requires the processor to operate in protected mode and the operating
system to provide a virtual memory management system. See 36-Bit Physical Addressing Using the PAE Paging
Mechanism in Chapter 3, Protected-Mode Memory Management, of the Intel 64 and IA-32 Architectures Soft-
ware Developers Manual, Volume 3A.
Vol. 1 3-9
BASIC EXECUTION ENVIRONMENT
3 .3 .7 Ad d re ss C a lcu la t io n s in 6 4 -B it Mo d e
In most cases, 64-bit mode uses flat address space for code, data, and stacks. In 64-bit mode (if there is no
address-size override), the size of effective address calculations is 64 bits. An effective-address calculation uses a
64-bit base and index registers and sign-extend displacements to 64 bits.
In the flat address space of 64-bit mode, linear addresses are equal to effective addresses because the base
address is zero. In the event that FS or GS segments are used with a non-zero base, this rule does not hold. In 64-
bit mode, the effective address components are added and the effective address is truncated (See for example the
instruction LEA) before adding the full 64-bit segment base. The base is never truncated, regardless of addressing
mode in 64-bit mode.
The instruction pointer is extended to 64 bits to support 64-bit code offsets. The 64-bit instruction pointer is called
the RIP. Table 3-1 shows the relationship between RIP, EIP, and IP.
Ta b le 3 -1 . I n st ru ct io n P o in t e r S ize s
Generally, displacements and immediates in 64-bit mode are not extended to 64 bits. They are still limited to 32
bits and sign-extended during effective-address calculations. In 64-bit mode, however, support is provided for 64-
bit displacement and immediate forms of the MOV instruction.
All 16-bit and 32-bit address calculations are zero-extended in IA-32e mode to form 64-bit addresses. Address
calculations are first truncated to the effective address size of the current mode (64-bit mode or compatibility
mode), as overridden by any address-size prefix. The result is then zero-extended to the full 64-bit address width.
Because of this, 16-bit and 32-bit applications running in compatibility mode can access only the low 4 GBytes of
the 64-bit mode effective addresses. Likewise, a 32-bit address generated in 64-bit mode can access only the low
4 GBytes of the 64-bit mode effective addresses.
3 .3 .7.1 C a n o n ica l Ad d re ssin g
In 64-bit mode, an address is considered to be in canonical form if address bits 63 through to the most-significant
implemented bit by the microarchitecture are set to either all ones or all zeros.
Intel 64 architecture defines a 64-bit linear address. Implementations can support less. The first implementation
of IA-32 processors with Intel 64 architecture supports a 48-bit linear address. This means a canonical address
must have bits 63 through 48 set to zeros or ones (depending on whether bit 47 is a zero or one).
Although implementations may not use all 64 bits of the linear address, they should check bits 63 through the
most-significant implemented bit to see if the address is in canonical form. If a linear-memory reference is not in
canonical form, the implementation should generate an exception. In most cases, a general-protection exception
(#GP) is generated. However, in the case of explicit or implied stack references, a stack fault (#SS) is generated.
Instructions that have implied stack references, by default, use the SS segment register. These include PUSH/POP-
related instructions and instructions using RSP/RBP as base registers. In these cases, the canonical fault is #SF.
If an instruction uses base registers RSP/RBP and uses a segment override prefix to specify a non-SS segment, a
canonical fault generates a #GP (instead of an #SF). In 64-bit mode, only FS and GS segment-overrides are appli-
cable in this situation. Other segment override prefixes (CS, DS, ES and SS) are ignored. Note that this also means
that an SS segment-override applied to a non-stack register reference is ignored. Such a sequence still produces
a #GP for a canonical fault (and not an #SF).
3 .4 B AS I C P R O G R AM E XE C U T I O N R E G I S T E R S
IA-32 architecture provides 16 basic program execution registers for use in general system and application
programing (see Figure 3-4). These registers can be grouped as follows:
B it s 6 3 :3 2 B it s 3 1 :1 6 B it s 1 5 :0
16-bit instruction pointer Not Modified IP
32-bit instruction pointer Zero Extension EIP
64-bit instruction pointer RIP
3-10 Vol. 1
BASIC EXECUTION ENVIRONMENT
General-purpose registers. These eight registers are available for storing operands and pointers.
Segment registers. These registers hold up to six segment selectors.
EFLAGS (program status and control) register. The EFLAGS register report on the status of the program
being executed and allows limited (application-program level) control of the processor.
EIP (instruction pointer) register. The EIP register contains a 32-bit pointer to the next instruction to be
executed.
3 .4 .1 G e n e ra l-P u rp o se R e g ist e rs
The 32-bit general-purpose registers EAX, EBX, ECX, EDX, ESI, EDI, EBP, and ESP are provided for holding the
following items:
Operands for logical and arithmetic operations
Operands for address calculations
Memory pointers
Although all of these registers are available for general storage of operands, results, and pointers, caution should
be used when referencing the ESP register. The ESP register holds the stack pointer and as a general rule should
not be used for another purpose.
Many instructions assign specific registers to hold operands. For example, string instructions use the contents of
the ECX, ESI, and EDI registers as operands. When using a segmented memory model, some instructions assume
that pointers in certain registers are relative to specific segments. For instance, some instructions assume that a
pointer in the EBX register points to a memory location in the DS segment.
F ig u re 3 -4 . G e n e ra l S y st e m a n d Ap p lica t io n P ro g ra m m in g R e g ist e rs
0 31
EAX
EBX
ECX
EDX
ESI
EDI
EBP
ESP
Segment Registers
CS
DS
SS
ES
FS
GS
0 15
0 31
EFLAGS
EIP
31 0
General-Purpose Registers
ProgramStatus and Control Register
Instruction Pointer
Vol. 1 3-11
BASIC EXECUTION ENVIRONMENT
The special uses of general-purpose registers by instructions are described in Chapter 5, Instruction Set
Summary, in this volume. See also: Chapter 3 and Chapter 4 of Intel 64 and IA-32 Architectures Software
Developers Manual, Volumes 2A & 2B. The following is a summary of special uses:
EAX Accumulator for operands and results data
EBX Pointer to data in the DS segment
ECX Counter for string and loop operations
EDX I/O pointer
ESI Pointer to data in the segment pointed to by the DS register; source pointer for string operations
EDI Pointer to data (or destination) in the segment pointed to by the ES register; destination pointer for
string operations
ESP Stack pointer (in the SS segment)
EBP Pointer to data on the stack (in the SS segment)
As shown in Figure 3-5, the lower 16 bits of the general-purpose registers map directly to the register set found in
the 8086 and Intel 286 processors and can be referenced with the names AX, BX, CX, DX, BP, SI, DI, and SP. Each
of the lower two bytes of the EAX, EBX, ECX, and EDX registers can be referenced by the names AH, BH, CH, and
DH (high bytes) and AL, BL, CL, and DL (low bytes).
3 .4 .1 .1 G e n e ra l-P u rp o se R e g ist e rs in 6 4 -B it Mo d e
In 64-bit mode, there are 16 general purpose registers and the default operand size is 32 bits. However, general-
purpose registers are able to work with either 32-bit or 64-bit operands. If a 32-bit operand size is specified: EAX,
EBX, ECX, EDX, EDI, ESI, EBP, ESP, R8D - R15D are available. If a 64-bit operand size is specified: RAX, RBX, RCX,
RDX, RDI, RSI, RBP, RSP, R8-R15 are available. R8D-R15D/R8-R15 represent eight new general-purpose registers.
All of these registers can be accessed at the byte, word, dword, and qword level. REX prefixes are used to generate
64-bit operand sizes or to reference registers R8-R15.
Registers only available in 64-bit mode (R8-R15 and XMM8-XMM15) are preserved across transitions from 64-bit
mode into compatibility mode then back into 64-bit mode. However, values of R8-R15 and XMM8-XMM15 are unde-
fined after transitions from 64-bit mode through compatibility mode to legacy or real mode and then back through
compatibility mode to 64-bit mode.
F ig u re 3 -5 . Alt e rn a t e G e n e ra l-P u rp o se R e g ist e r N a m e s
0 7 15 31 16 8
AH AL
BH BL
CH CL
DH DL
BP
SI
DI
SP
1 6 -b it
AX
DX
CX
BX
3 2 -b it
EAX
EBX
ECX
EDX
EBP
ESI
ESP
G e n e ra l-P u rp o se R e g ist e rs
EDI
3-12 Vol. 1
BASIC EXECUTION ENVIRONMENT
In 64-bit mode, there are limitations on accessing byte registers. An instruction cannot reference legacy high-bytes
(for example: AH, BH, CH, DH) and one of the new byte registers at the same time (for example: the low byte of
the RAX register). However, instructions may reference legacy low-bytes (for example: AL, BL, CL or DL) and new
byte registers at the same time (for example: the low byte of the R8 register, or RBP). The architecture enforces
this limitation by changing high-byte references (AH, BH, CH, DH) to low byte references (BPL, SPL, DIL, SIL: the
low 8 bits for RBP, RSP, RDI and RSI) for instructions using a REX prefix.
When in 64-bit mode, operand size determines the number of valid bits in the destination general-purpose register:
64-bit operands generate a 64-bit result in the destination general-purpose register.
32-bit operands generate a 32-bit result, zero-extended to a 64-bit result in the destination general-purpose
register.
8-bit and 16-bit operands generate an 8-bit or 16-bit result. The upper 56 bits or 48 bits (respectively) of the
destination general-purpose register are not modified by the operation. If the result of an 8-bit or 16-bit
operation is intended for 64-bit address calculation, explicitly sign-extend the register to the full 64-bits.
Because the upper 32 bits of 64-bit general-purpose registers are undefined in 32-bit modes, the upper 32 bits of
any general-purpose register are not preserved when switching from 64-bit mode to a 32-bit mode (to protected
mode or compatibility mode). Software must not depend on these bits to maintain a value after a 64-bit to 32-bit
mode switch.
3 .4 .2 S e g m e n t R e g ist e rs
The segment registers (CS, DS, SS, ES, FS, and GS) hold 16-bit segment selectors. A segment selector is a special
pointer that identifies a segment in memory. To access a particular segment in memory, the segment selector for
that segment must be present in the appropriate segment register.
When writing application code, programmers generally create segment selectors with assembler directives and
symbols. The assembler and other tools then create the actual segment selector values associated with these
directives and symbols. If writing system code, programmers may need to create segment selectors directly. See
Chapter 3, Protected-Mode Memory Management, in the Intel 64 and IA-32 Architectures Software Developers
Manual, Volume 3A.
How segment registers are used depends on the type of memory management model that the operating system or
executive is using. When using the flat (unsegmented) memory model, segment registers are loaded with segment
selectors that point to overlapping segments, each of which begins at address 0 of the linear address space (see
Figure 3-6). These overlapping segments then comprise the linear address space for the program. Typically, two
overlapping segments are defined: one for code and another for data and stacks. The CS segment register points
to the code segment and all the other segment registers point to the data and stack segment.
When using the segmented memory model, each segment register is ordinarily loaded with a different segment
selector so that each segment register points to a different segment within the linear address space (see
Figure 3-7). At any time, a program can thus access up to six segments in the linear address space. To access a
segment not pointed to by one of the segment registers, a program must first load the segment selector for the
segment to be accessed into a segment register.
Ta b le 3 -2 . Ad d re ssa b le G e n e ra l P u rp o se R e g ist e rs
R e g ist e r T y p e Wit h o u t R E X Wit h R E X
Byte Registers AL, BL, CL, DL, AH, BH, CH, DH AL, BL, CL, DL, DIL, SIL, BPL, SPL, R8L - R15L
Word Registers AX, BX, CX, DX, DI, SI, BP, SP AX, BX, CX, DX, DI, SI, BP, SP, R8W - R15W
Doubleword Registers EAX, EBX, ECX, EDX, EDI, ESI, EBP, ESP EAX, EBX, ECX, EDX, EDI, ESI, EBP, ESP, R8D - R15D
Quadword Registers N.A. RAX, RBX, RCX, RDX, RDI, RSI, RBP, RSP, R8 - R15
Vol. 1 3-13
BASIC EXECUTION ENVIRONMENT
Each of the segment registers is associated with one of three types of storage: code, data, or stack. For example,
the CS register contains the segment selector for the code segment, where the instructions being executed are
stored. The processor fetches instructions from the code segment, using a logical address that consists of the
segment selector in the CS register and the contents of the EIP register. The EIP register contains the offset within
the code segment of the next instruction to be executed. The CS register cannot be loaded explicitly by an applica-
tion program. Instead, it is loaded implicitly by instructions or internal processor operations that change program
control (such as, procedure calls, interrupt handling, or task switching).
The DS, ES, FS, and GS registers point to four data segments. The availability of four data segments permits effi-
cient and secure access to different types of data structures. For example, four separate data segments might be
created: one for the data structures of the current module, another for the data exported from a higher-level
module, a third for a dynamically created data structure, and a fourth for data shared with another program. To
access additional data segments, the application program must load segment selectors for these segments into the
DS, ES, FS, and GS registers, as needed.
The SS register contains the segment selector for the stack segment, where the procedure stack is stored for the
program, task, or handler currently being executed. All stack operations use the SS register to find the stack
F ig u re 3 -6 . U se o f S e g m e n t R e g ist e rs fo r F la t Me m o ry Mo d e l
F ig u re 3 -7. U se o f S e g m e n t R e g ist e rs in S e g m e n t e d Me m o ry Mo d e l
S e g m e n t R e g ist e rs
CS
SS
DS
ES
FS
GS
Lin e a r Ad d re ss
S p a ce fo r P ro g ra m
The segment selector in
each segment register
points to an overlapping
Overlapping
Segments
of up to
4 GBytes
segment in the linear
address space.
Beginning at
Address 0
S e g m e n t R e g ist e rs
CS
DS
SS
ES
FS
GS
Code
Segment
Data
Segment
Stack
Segment
Data
Segment
Data
Segment
Data
Segment
All segments
are mapped
to the same
linear-address
space
3-14 Vol. 1
BASIC EXECUTION ENVIRONMENT
segment. Unlike the CS register, the SS register can be loaded explicitly, which permits application programs to set
up multiple stacks and switch among them.
See Section 3.3, Memory Organization, for an overview of how the segment registers are used in real-address
mode.
The four segment registers CS, DS, SS, and ES are the same as the segment registers found in the Intel 8086 and
Intel 286 processors and the FS and GS registers were introduced into the IA-32 Architecture with the Intel386
family of processors.
3 .4 .2 .1 S e g m e n t R e g ist e rs in 6 4 -B it Mo d e
In 64-bit mode: CS, DS, ES, SS are treated as if each segment base is 0, regardless of the value of the associated
segment descriptor base. This creates a flat address space for code, data, and stack. FS and GS are exceptions.
Both segment registers may be used as additional base registers in linear address calculations (in the addressing
of local data and certain operating system data structures).
Even though segmentation is generally disabled, segment register loads may cause the processor to perform
segment access assists. During these activities, enabled processors will still perform most of the legacy checks on
loaded values (even if the checks are not applicable in 64-bit mode). Such checks are needed because a segment
register loaded in 64-bit mode may be used by an application running in compatibility mode.
Limit checks for CS, DS, ES, SS, FS, and GS are disabled in 64-bit mode.
3 .4 .3 E F LAG S R e g ist e r
The 32-bit EFLAGS register contains a group of status flags, a control flag, and a group of system flags. Figure 3-8
defines the flags within this register. Following initialization of the processor (either by asserting the RESET pin or
the INIT pin), the state of the EFLAGS register is 00000002H. Bits 1, 3, 5, 15, and 22 through 31 of this register
are reserved. Software should not use or depend on the states of any of these bits.
Some of the flags in the EFLAGS register can be modified directly, using special-purpose instructions (described in
the following sections). There are no instructions that allow the whole register to be examined or modified directly.
The following instructions can be used to move groups of flags to and from the procedure stack or the EAX register:
LAHF, SAHF, PUSHF, PUSHFD, POPF, and POPFD. After the contents of the EFLAGS register have been transferred to
the procedure stack or EAX register, the flags can be examined and modified using the processors bit manipulation
instructions (BT, BTS, BTR, and BTC).
When suspending a task (using the processors multitasking facilities), the processor automatically saves the state
of the EFLAGS register in the task state segment (TSS) for the task being suspended. When binding itself to a new
task, the processor loads the EFLAGS register with data from the new tasks TSS.
When a call is made to an interrupt or exception handler procedure, the processor automatically saves the state of
the EFLAGS registers on the procedure stack. When an interrupt or exception is handled with a task switch, the
state of the EFLAGS register is saved in the TSS for the task being suspended.
Vol. 1 3-15
BASIC EXECUTION ENVIRONMENT
As the IA-32 Architecture has evolved, flags have been added to the EFLAGS register, but the function and place-
ment of existing flags have remained the same from one family of the IA-32 processors to the next. As a result,
code that accesses or modifies these flags for one family of IA-32 processors works as expected when run on later
families of processors.
3 .4 .3 .1 S t a t u s F la g s
The status flags (bits 0, 2, 4, 6, 7, and 11) of the EFLAGS register indicate the results of arithmetic instructions,
such as the ADD, SUB, MUL, and DIV instructions. The status flag functions are:
CF (bit 0) Carry flag Set if an arithmetic operation generates a carry or a borrow out of the most-
significant bit of the result; cleared otherwise. This flag indicates an overflow condition for
unsigned-integer arithmetic. It is also used in multiple-precision arithmetic.
PF (bit 2) Parity flag Set if the least-significant byte of the result contains an even number of 1 bits;
cleared otherwise.
AF (bit 4) Adjust flag Set if an arithmetic operation generates a carry or a borrow out of bit 3 of the
result; cleared otherwise. This flag is used in binary-coded decimal (BCD) arithmetic.
ZF (bit 6) Zero flag Set if the result is zero; cleared otherwise.
SF (bit 7) Sign flag Set equal to the most-significant bit of the result, which is the sign bit of a signed
integer. (0 indicates a positive value and 1 indicates a negative value.)
OF (bit 11) Overflow flag Set if the integer result is too large a positive number or too small a nega-
tive number (excluding the sign-bit) to fit in the destination operand; cleared otherwise. This
flag indicates an overflow condition for signed-integer (twos complement) arithmetic.
Of these status flags, only the CF flag can be modified directly, using the STC, CLC, and CMC instructions. Also the
bit instructions (BT, BTS, BTR, and BTC) copy a specified bit into the CF flag.
F ig u re 3 -8 . E F LAG S R e g ist e r
31 29 30 28 27 26 25 24 23 22 21 20 19 18 17 16
0
R
F
I
D
A
C
V
M
X Virtual-8086 Mode (VM)
X Resume Flag (RF)
X Nested Task (NT)
X I/O Privilege Level (IOPL)
S Overflow Flag (OF)
C Direction Flag (DF)
X Interrupt Enable Flag (IF)
X Alignment Check (AC)
X ID Flag (ID)
X Virtual Interrupt Pending (VIP)
15 13 14 12 11 10 9 8 7 6 5 4 3 2 1 0
0
C
F
A
F
P
F
1
D
F
I
F
T
F
S
F
Z
F
N
T
0 0 0 0 0 0 0 0 0 0 0
V
I
P
V
I
F
O
F
I
O
P
L
X Virtual Interrupt Flag (VIF)
X Trap Flag (TF)
S Sign Flag (SF)
S Zero Flag (ZF)
S Auxiliary Carry Flag (AF)
S Parity Flag (PF)
S Carry Flag (CF)
S Indicates a Status Flag
C Indicates a Control Flag
X Indicates a System Flag
Reserved bit positions. DO NOT USE.
Always set to values previously read.
3-16 Vol. 1
BASIC EXECUTION ENVIRONMENT
The status flags allow a single arithmetic operation to produce results for three different data types: unsigned inte-
gers, signed integers, and BCD integers. If the result of an arithmetic operation is treated as an unsigned integer,
the CF flag indicates an out-of-range condition (carry or a borrow); if treated as a signed integer (twos comple-
ment number), the OF flag indicates a carry or borrow; and if treated as a BCD digit, the AF flag indicates a carry
or borrow. The SF flag indicates the sign of a signed integer. The ZF flag indicates either a signed- or an unsigned-
integer zero.
When performing multiple-precision arithmetic on integers, the CF flag is used in conjunction with the add with
carry (ADC) and subtract with borrow (SBB) instructions to propagate a carry or borrow from one computation to
the next.
The condition instructions Jcc (jump on condition code cc), SETcc (byte set on condition code cc), LOOPcc, and
CMOVcc (conditional move) use one or more of the status flags as condition codes and test them for branch, set-
byte, or end-loop conditions.
3 .4 .3 .2 D F F la g
The direction flag (DF, located in bit 10 of the EFLAGS register) controls string instructions (MOVS, CMPS, SCAS,
LODS, and STOS). Setting the DF flag causes the string instructions to auto-decrement (to process strings from
high addresses to low addresses). Clearing the DF flag causes the string instructions to auto-increment
(process strings from low addresses to high addresses).
The STD and CLD instructions set and clear the DF flag, respectively.
3 .4 .3 .3 S y st e m F la g s a n d I O P L F ie ld
The system flags and IOPL field in the EFLAGS register control operating-system or executive operations. They
should not be modified by application programs. The functions of the system flags are as follows:
TF (bit 8) Trap flag Set to enable single-step mode for debugging; clear to disable single-step mode.
IF (bit 9) Interrupt enable flag Controls the response of the processor to maskable interrupt
requests. Set to respond to maskable interrupts; cleared to inhibit maskable interrupts.
IOPL (bits 12 and 13)
I/O privilege level field Indicates the I/O privilege level of the currently running program
or task. The current privilege level (CPL) of the currently running program or task must be less
than or equal to the I/O privilege level to access the I/O address space. This field can only be
modified by the POPF and IRET instructions when operating at a CPL of 0.
NT (bit 14) Nested task flag Controls the chaining of interrupted and called tasks. Set when the
current task is linked to the previously executed task; cleared when the current task is not
linked to another task.
RF (bit 16) Resume flag Controls the processors response to debug exceptions.
VM (bit 17) Virtual-8086 mode flag Set to enable virtual-8086 mode; clear to return to protected
mode without virtual-8086 mode semantics.
AC (bit 18) Alignment check flag Set this flag and the AM bit in the CR0 register to enable alignment
checking of memory references; clear the AC flag and/or the AM bit to disable alignment
checking.
VIF (bit 19) Virtual interrupt flag Virtual image of the IF flag. Used in conjunction with the VIP flag.
(To use this flag and the VIP flag the virtual mode extensions are enabled by setting the VME
flag in control register CR4.)
VIP (bit 20) Virtual interrupt pending flag Set to indicate that an interrupt is pending; clear when no
interrupt is pending. (Software sets and clears this flag; the processor only reads it.) Used in
conjunction with the VIF flag.
ID (bit 21) Identification flag The ability of a program to set or clear this flag indicates support for
the CPUID instruction.
For a detailed description of these flags: see Chapter 3, Protected-Mode Memory Management, in the Intel 64
and IA-32 Architectures Software Developers Manual, Volume 3A.
Vol. 1 3-17
BASIC EXECUTION ENVIRONMENT
3 .4 .3 .4 R F LAG S R e g ist e r in 6 4 -B it Mo d e
In 64-bit mode, EFLAGS is extended to 64 bits and called RFLAGS. The upper 32 bits of RFLAGS register is
reserved. The lower 32 bits of RFLAGS is the same as EFLAGS.
3 .5 I N S T R U C T I O N P O I N T E R
The instruction pointer (EIP) register contains the offset in the current code segment for the next instruction to be
executed. It is advanced from one instruction boundary to the next in straight-line code or it is moved ahead or
backwards by a number of instructions when executing JMP, Jcc, CALL, RET, and IRET instructions.
The EIP register cannot be accessed directly by software; it is controlled implicitly by control-transfer instructions
(such as JMP, Jcc, CALL, and RET), interrupts, and exceptions. The only way to read the EIP register is to execute
a CALL instruction and then read the value of the return instruction pointer from the procedure stack. The EIP
register can be loaded indirectly by modifying the value of a return instruction pointer on the procedure stack and
executing a return instruction (RET or IRET). See Section 6.2.4.2, Return Instruction Pointer.
All IA-32 processors prefetch instructions. Because of instruction prefetching, an instruction address read from the
bus during an instruction load does not match the value in the EIP register. Even though different processor gener-
ations use different prefetching mechanisms, the function of the EIP register to direct program flow remains fully
compatible with all software written to run on IA-32 processors.
3 .5 .1 I n st ru ct io n P o in t e r in 6 4 -B it Mo d e
In 64-bit mode, the RIP register becomes the instruction pointer. This register holds the 64-bit offset of the next
instruction to be executed. 64-bit mode also supports a technique called RIP-relative addressing. Using this tech-
nique, the effective address is determined by adding a displacement to the RIP of the next instruction.
3 .6 O P E R AN D -S I Z E AN D AD D R E S S -S I Z E AT T R I B U T E S
When the processor is executing in protected mode, every code segment has a default operand-size attribute and
address-size attribute. These attributes are selected with the D (default size) flag in the segment descriptor for the
code segment (see Chapter 3, Protected-Mode Memory Management, in the Intel 64 and IA-32 Architectures
Software Developers Manual, Volume 3A). When the D flag is set, the 32-bit operand-size and address-size
attributes are selected; when the flag is clear, the 16-bit size attributes are selected. When the processor is
executing in real-address mode, virtual-8086 mode, or SMM, the default operand-size and address-size attributes
are always 16 bits.
The operand-size attribute selects the size of operands. When the 16-bit operand-size attribute is in force, oper-
ands can generally be either 8 bits or 16 bits, and when the 32-bit operand-size attribute is in force, operands can
generally be 8 bits or 32 bits.
The address-size attribute selects the sizes of addresses used to address memory: 16 bits or 32 bits. When the 16-
bit address-size attribute is in force, segment offsets and displacements are 16 bits. This restriction limits the size
of a segment to 64 KBytes. When the 32-bit address-size attribute is in force, segment offsets and displacements
are 32 bits, allowing up to 4 GBytes to be addressed.
The default operand-size attribute and/or address-size attribute can be overridden for a particular instruction by
adding an operand-size and/or address-size prefix to an instruction. See Chapter 2, Instruction Format, in the
Intel 64 and IA-32 Architectures Software Developers Manual, Volume 2A. The effect of this prefix applies only
to the targeted instruction.
Table 3-4 shows effective operand size and address size (when executing in protected mode or compatibility mode)
depending on the settings of the D flag and the operand-size and address-size prefixes.
3-18 Vol. 1
BASIC EXECUTION ENVIRONMENT
3 .6 .1 O p e ra n d S ize a n d Ad d re ss S ize in 6 4 -B it Mo d e
In 64-bit mode, the default address size is 64 bits and the default operand size is 32 bits. Defaults can be over-
ridden using prefixes. Address-size and operand-size prefixes allow mixing of 32/64-bit data and 32/64-bit
addresses on an instruction-by-instruction basis. Table 3-4 shows valid combinations of the 66H instruction prefix
and the REX.W prefix that may be used to specify operand-size overrides in 64-bit mode. Note that 16-bit
addresses are not supported in 64-bit mode.
REX prefixes consist of 4-bit fields that form 16 different values. The W-bit field in the REX prefixes is referred to as
REX.W. If the REX.W field is properly set, the prefix specifies an operand size override to 64 bits. Note that software
can still use the operand-size 66H prefix to toggle to a 16-bit operand size. However, setting REX.W takes prece-
dence over the operand-size prefix (66H) when both are used.
In the case of SSE/SSE2/SSE3/SSSE3 SIMD instructions: the 66H, F2H, and F3H prefixes are mandatory for
opcode extensions. In such a case, there is no interaction between a valid REX.W prefix and a 66H opcode exten-
sion prefix.
See Chapter 2, Instruction Format, in the Intel 64 and IA-32 Architectures Software Developers Manual,
Volume 2A.
3 .7 O P E R AN D AD D R E S S I N G
IA-32 machine-instructions act on zero or more operands. Some operands are specified explicitly and others are
implicit. The data for a source operand can be located in:
the instruction itself (an immediate operand)
a register
a memory location
an I/O port
Ta b le 3 -3 . E f fe ct iv e O p e ra n d - a n d Ad d re ss-S ize At t rib u t e s
D F la g in C o d e S e g m e n t D e scrip to r 0 0 0 0 1 1 1 1
Operand-Size Prefix 66H N N Y Y N N Y Y
Address-Size Prefix 67H N Y N Y N Y N Y
Effective Operand Size 16 16 32 32 32 32 16 16
Effective Address Size 16 32 16 32 32 16 32 16
N O T E S :
Y: Yes - this instruction prefix is present.
N: No - this instruction prefix is not present.
Ta b le 3 -4 . E f fe ct iv e O p e ra n d - a n d Ad d re ss-S ize At t rib u t e s in 6 4 -B it Mo d e
L Flag in Code Segment Descriptor
1 1 1 1 1 1 1 1
REX.W Prefix 0 0 0 0 1 1 1 1
Operand-Size Prefix 66H N N Y Y N N Y Y
Address-Size Prefix 67H N Y N Y N Y N Y
Effective Operand Size 32 32 16 16 64 64 64 64
Effective Address Size 64 32 64 32 64 32 64 32
N O T E S :
Y: Yes - this instruction prefix is present.
N: No - this instruction prefix is not present.
Vol. 1 3-19
BASIC EXECUTION ENVIRONMENT
When an instruction returns data to a destination operand, it can be returned to:
a register
a memory location
an I/O port
3 .7.1 I m m e d ia t e O p e ra n d s
Some instructions use data encoded in the instruction itself as a source operand. These operands are called imme-
diate operands (or simply immediates). For example, the following ADD instruction adds an immediate value of 14
to the contents of the EAX register:
ADD EAX, 14
All arithmetic instructions (except the DIV and IDIV instructions) allow the source operand to be an immediate
value. The maximum value allowed for an immediate operand varies among instructions, but can never be greater
than the maximum value of an unsigned doubleword integer (2
32
).
3 .7.2 R e g ist e r O p e ra n d s
Source and destination operands can be any of the following registers, depending on the instruction being
executed:
32-bit general-purpose registers (EAX, EBX, ECX, EDX, ESI, EDI, ESP, or EBP)
16-bit general-purpose registers (AX, BX, CX, DX, SI, DI, SP, or BP)
8-bit general-purpose registers (AH, BH, CH, DH, AL, BL, CL, or DL)
segment registers (CS, DS, SS, ES, FS, and GS)
EFLAGS register
x87 FPU registers (ST0 through ST7, status word, control word, tag word, data operand pointer, and instruction
pointer)
MMX registers (MM0 through MM7)
XMM registers (XMM0 through XMM7) and the MXCSR register
control registers (CR0, CR2, CR3, and CR4) and system table pointer registers (GDTR, LDTR, IDTR, and task
register)
debug registers (DR0, DR1, DR2, DR3, DR6, and DR7)
MSR registers
Some instructions (such as the DIV and MUL instructions) use quadword operands contained in a pair of 32-bit
registers. Register pairs are represented with a colon separating them. For example, in the register pair EDX:EAX,
EDX contains the high order bits and EAX contains the low order bits of a quadword operand.
Several instructions (such as the PUSHFD and POPFD instructions) are provided to load and store the contents of
the EFLAGS register or to set or clear individual flags in this register. Other instructions (such as the Jcc instruc-
tions) use the state of the status flags in the EFLAGS register as condition codes for branching or other decision
making operations.
The processor contains a selection of system registers that are used to control memory management, interrupt and
exception handling, task management, processor management, and debugging activities. Some of these system
registers are accessible by an application program, the operating system, or the executive through a set of system
instructions. When accessing a system register with a system instruction, the register is generally an implied
operand of the instruction.
3-20 Vol. 1
BASIC EXECUTION ENVIRONMENT
3 .7.2 .1 R e g ist e r O p e ra n d s in 6 4 -B it Mo d e
Register operands in 64-bit mode can be any of the following:
64-bit general-purpose registers (RAX, RBX, RCX, RDX, RSI, RDI, RSP, RBP, or R8-R15)
32-bit general-purpose registers (EAX, EBX, ECX, EDX, ESI, EDI, ESP, EBP, or R8D-R15D)
16-bit general-purpose registers (AX, BX, CX, DX, SI, DI, SP, BP, or R8W-R15W)
8-bit general-purpose registers: AL, BL, CL, DL, SIL, DIL, SPL, BPL, and R8L-R15L are available using REX
prefixes; AL, BL, CL, DL, AH, BH, CH, DH are available without using REX prefixes.
Segment registers (CS, DS, SS, ES, FS, and GS)
RFLAGS register
x87 FPU registers (ST0 through ST7, status word, control word, tag word, data operand pointer, and instruction
pointer)
MMX registers (MM0 through MM7)
XMM registers (XMM0 through XMM15) and the MXCSR register
Control registers (CR0, CR2, CR3, CR4, and CR8) and system table pointer registers (GDTR, LDTR, IDTR, and
task register)
Debug registers (DR0, DR1, DR2, DR3, DR6, and DR7)
MSR registers
RDX:RAX register pair representing a 128-bit operand
3 .7.3 Me m o ry O p e ra n d s
Source and destination operands in memory are referenced by means of a segment selector and an offset (see
Figure 3-9). Segment selectors specify the segment containing the operand. Offsets specify the linear or effective
address of the operand. Offsets can be 32 bits (represented by the notation m16:32) or 16 bits (represented by the
notation m16:16).
3 .7.3 .1 Me m o ry O p e ra n d s in 6 4 -B it Mo d e
In 64-bit mode, a memory operand can be referenced by a segment selector and an offset. The offset can be 16
bits, 32 bits or 64 bits (see Figure 3-10).
3 .7.4 S p e cify in g a S e g m e n t S e le ct o r
The segment selector can be specified either implicitly or explicitly. The most common method of specifying a
segment selector is to load it in a segment register and then allow the processor to select the register implicitly,
depending on the type of operation being performed. The processor automatically chooses a segment according to
the rules given in Table 3-5.
F ig u re 3 -9 . Me m o ry O p e ra n d Ad d re ss
F ig u re 3 -1 0 . Me m o ry O p e ra n d Ad d re ss in 6 4 -B it Mo d e
Offset (or Linear Address)
0 15
Segment
31 0
Selector
Offset (or Linear Address)
0 15
Segment
63 0
Selector
Vol. 1 3-21
BASIC EXECUTION ENVIRONMENT
When storing data in memory or loading data from memory, the DS segment default can be overridden to allow
other segments to be accessed. Within an assembler, the segment override is generally handled with a colon :
operator. For example, the following MOV instruction moves a value from register EAX into the segment pointed to
by the ES register. The offset into the segment is contained in the EBX register:
MOV ES:[EBX], EAX;
At the machine level, a segment override is specified with a segment-override prefix, which is a byte placed at the
beginning of an instruction. The following default segment selections cannot be overridden:
Instruction fetches must be made from the code segment.
Destination strings in string instructions must be stored in the data segment pointed to by the ES register.
Push and pop operations must always reference the SS segment.
Some instructions require a segment selector to be specified explicitly. In these cases, the 16-bit segment selector
can be located in a memory location or in a 16-bit register. For example, the following MOV instruction moves a
segment selector located in register BX into segment register DS:
MOV DS, BX
Segment selectors can also be specified explicitly as part of a 48-bit far pointer in memory. Here, the first double-
word in memory contains the offset and the next word contains the segment selector.
3 .7.4 .1 S e g m e n t a t io n in 6 4 -B it Mo d e
In IA-32e mode, the effects of segmentation depend on whether the processor is running in compatibility mode or
64-bit mode. In compatibility mode, segmentation functions just as it does in legacy IA-32 mode, using the 16-bit
or 32-bit protected mode semantics described above.
In 64-bit mode, segmentation is generally (but not completely) disabled, creating a flat 64-bit linear-address
space. The processor treats the segment base of CS, DS, ES, SS as zero, creating a linear address that is equal to
the effective address. The exceptions are the FS and GS segments, whose segment registers (which hold the
segment base) can be used as additional base registers in some linear address calculations.
3 .7.5 S p e cify in g a n O ffse t
The offset part of a memory address can be specified directly as a static value (called a displacement) or through
an address computation made up of one or more of the following components:
Displacement An 8-, 16-, or 32-bit value.
Base The value in a general-purpose register.
Index The value in a general-purpose register.
Scale factor A value of 2, 4, or 8 that is multiplied by the index value.
Ta b le 3 -5 . D e f a u lt S e g m e n t S e le ct io n R u le s
R e fe re n ce T y p e R e g ist e r U se d S e g m e n t U se d D e fa u lt S e le ct io n R u le
Instructions CS Code Segment All instruction fetches.
Stack SS Stack Segment All stack pushes and pops.
Any memory reference which uses the ESP or EBP register as a base
register.
Local Data DS Data Segment All data references, except when relative to stack or string destination.
Destination Strings ES Data Segment
pointed to with the
ES register
Destination of string instructions.
3-22 Vol. 1
BASIC EXECUTION ENVIRONMENT
The offset which results from adding these components is called an effective address. Each of these components
can have either a positive or negative (2s complement) value, with the exception of the scaling factor. Figure 3-11
shows all the possible ways that these components can be combined to create an effective address in the selected
segment.
The uses of general-purpose registers as base or index components are restricted in the following manner:
The ESP register cannot be used as an index register.
When the ESP or EBP register is used as the base, the SS segment is the default segment. In all other cases,
the DS segment is the default segment.
The base, index, and displacement components can be used in any combination, and any of these components can
be NULL. A scale factor may be used only when an index also is used. Each possible combination is useful for data
structures commonly used by programmers in high-level languages and assembly language.
The following addressing modes suggest uses for common combinations of address components.
Displacement A displacement alone represents a direct (uncomputed) offset to the operand. Because the
displacement is encoded in the instruction, this form of an address is sometimes called an absolute or static
address. It is commonly used to access a statically allocated scalar operand.
Base A base alone represents an indirect offset to the operand. Since the value in the base register can
change, it can be used for dynamic storage of variables and data structures.
Base + Displacement A base register and a displacement can be used together for two distinct purposes:
As an index into an array when the element size is not 2, 4, or 8 bytesThe displacement component
encodes the static offset to the beginning of the array. The base register holds the results of a calculation to
determine the offset to a specific element within the array.
To access a field of a record: the base register holds the address of the beginning of the record, while the
displacement is a static offset to the field.
An important special case of this combination is access to parameters in a procedure activation record. A
procedure activation record is the stack frame created when a procedure is entered. Here, the EBP register is
the best choice for the base register, because it automatically selects the stack segment. This is a compact
encoding for this common function.
(Index Scale) + Displacement This address mode offers an efficient way to index into a static array
when the element size is 2, 4, or 8 bytes. The displacement locates the beginning of the array, the index
register holds the subscript of the desired array element, and the processor automatically converts the
subscript into an index by applying the scaling factor.
Base + Index + Displacement Using two registers together supports either a two-dimensional array (the
displacement holds the address of the beginning of the array) or one of several instances of an array of records
(the displacement is an offset to a field within the record).
Base + (Index Scale) + Displacement Using all the addressing components together allows efficient
indexing of a two-dimensional array when the elements of the array are 2, 4, or 8 bytes in size.
F ig u re 3 -1 1 . O f fse t (o r E f fe ct iv e Ad d re ss) C o m p u t a t io n
Offset =Base +(Index * Scale) +Displacement
Base
EAX
EBX
ECX
EDX
ESP
EBP
ESI
EDI
EAX
EBX
ECX
EDX
EBP
ESI
EDI
1
None
2
4
8
8-bit
16-bit
32-bit
Index Scale Displacement
*
+ +
Vol. 1 3-23
BASIC EXECUTION ENVIRONMENT
3 .7.5 .1 S p e cif y in g a n O f fse t in 6 4 -B it Mo d e
The offset part of a memory address in 64-bit mode can be specified directly as a static value or through an address
computation made up of one or more of the following components:
Displacement An 8-bit, 16-bit, or 32-bit value.
Base The value in a 32-bit (or 64-bit if REX.W is set) general-purpose register.
Index The value in a 32-bit (or 64-bit if REX.W is set) general-purpose register.
Scale factor A value of 2, 4, or 8 that is multiplied by the index value.
The base and index value can be specified in one of sixteen available general-purpose registers in most cases. See
Chapter 2, Instruction Format, in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume
2A.
The following unique combination of address components is also available.
RIP + Displacement In 64-bit mode, RIP-relative addressing uses a signed 32-bit displacement to
calculate the effective address of the next instruction by sign-extend the 32-bit value and add to the 64-bit
value in RIP.
3 .7.6 Asse m b le r a n d C o m p ile r Ad d re ssin g Mo d e s
At the machine-code level, the selected combination of displacement, base register, index register, and scale factor
is encoded in an instruction. All assemblers permit a programmer to use any of the allowable combinations of these
addressing components to address operands. High-level language compilers will select an appropriate combination
of these components based on the language construct a programmer defines.
3 .7.7 I /O P o rt Ad d re ssin g
The processor supports an I/O address space that contains up to 65,536 8-bit I/O ports. Ports that are 16-bit and
32-bit may also be defined in the I/O address space. An I/O port can be addressed with either an immediate
operand or a value in the DX register. See Chapter 15, Input/Output, for more information about I/O port
addressing.
3-24 Vol. 1
BASIC EXECUTION ENVIRONMENT
Vol. 1 4-1
C H AP T E R 4
D AT A T YP E S
This chapter introduces data types defined for the Intel 64 and IA-32 architectures. A section at the end of this
chapter describes the real-number and floating-point concepts used in x87 FPU, SSE, SSE2, SSE3, SSSE3, SSE4
and Intel AVX extensions.
4 .1 F U N D AME N T AL D AT A T YP E S
The fundamental data types are bytes, words, doublewords, quadwords, and double quadwords (see Figure 4-1).
A byte is eight bits, a word is 2 bytes (16 bits), a doubleword is 4 bytes (32 bits), a quadword is 8 bytes (64 bits),
and a double quadword is 16 bytes (128 bits). A subset of the IA-32 architecture instructions operates on these
fundamental data types without any additional operand typing.
The quadword data type was introduced into the IA-32 architecture in the Intel486 processor; the double quad-
word data type was introduced in the Pentium III processor with the SSE extensions.
Figure 4-2 shows the byte order of each of the fundamental data types when referenced as operands in memory.
The low byte (bits 0 through 7) of each data type occupies the lowest address in memory and that address is also
the address of the operand.
F ig u re 4 -1 . F u n d a m e n t a l D a t a T y p e s
0
63
Double
0
Word
31
0
Doubleword
15
0
Byte
7
7 8
Low Word High Word
Low Doubleword High Doubleword
15 16
31 32
N+1
N+2
N+4
Low
Byte
High
Byte
N
Low Quadword High Quadword
Quadword
N
N
N
N
N+8
0
Quadword
127 63 64
4-2 Vol. 1
DATA TYPES
4 .1 .1 Alig n m e n t o f Wo rd s, D o u b le w o rd s, Q u a d w o rd s, a n d D o u b le Q u a d w o rd s
Words, doublewords, and quadwords do not need to be aligned in memory on natural boundaries. The natural
boundaries for words, double words, and quadwords are even-numbered addresses, addresses evenly divisible by
four, and addresses evenly divisible by eight, respectively. However, to improve the performance of programs, data
structures (especially stacks) should be aligned on natural boundaries whenever possible. The reason for this is
that the processor requires two memory accesses to make an unaligned memory access; aligned accesses require
only one memory access. A word or doubleword operand that crosses a 4-byte boundary or a quadword operand
that crosses an 8-byte boundary is considered unaligned and requires two separate memory bus cycles for access.
Some instructions that operate on double quadwords require memory operands to be aligned on a natural
boundary. These instructions generate a general-protection exception (#GP) if an unaligned operand is specified.
A natural boundary for a double quadword is any address evenly divisible by 16. Other instructions that operate on
double quadwords permit unaligned access (without generating a general-protection exception). However, addi-
tional memory bus cycles are required to access unaligned data from memory.
4 .2 N U ME R I C D AT A T YP E S
Although bytes, words, and doublewords are fundamental data types, some instructions support additional inter-
pretations of these data types to allow operations to be performed on numeric data types (signed and unsigned
integers, and floating-point numbers). Single-precision (32-bit ) floating-point and double-precision (64-bit)
floating-point data types are supported across all generations of SSE extensions and Intel AVX extensions. Half-
precision (16-bit) floating-point data type is supported only with F16C extensions (VCVTPH2PS, VCVTPS2PH). See
Figure 4-3.
F ig u re 4 -2 . B y t e s, Wo rd s, D o u b le w o rd s, Q u a d w o rd s, a n d D o u b le Q u a d w o rd s in Me m o ry
EH
DH 7AH
CH FEH
BH 06H
AH 36H
9H 1FH
8H A4H
7H 23H
6H 0BH
5H
4H
3H 74H
2H CBH
1H 31H
0H
Quadword at Address 6H
Contains
Doubleword at Address AH
Contains 7AFE0636H
Word at Address BH
Contains FE06H
Byte at Address 9H
Contains 1FH
Word at Address 6H
Contains 230BH
Word at Address 1H
Contains CB31H
Word at Address 2H
Contains 74CBH
Double quadword at Address 0H
45H
67H
12H
Contains
12H
7AFE06361FA4230BH
4E127AFE06361FA4230B456774CB3112
4EH FH
Vol. 1 4-3
DATA TYPES
4 .2 .1 I n t e g e rs
The Intel 64 and IA-32 architectures define two types of integers: unsigned and signed. Unsigned integers are ordi-
nary binary values ranging from 0 to the maximum positive number that can be encoded in the selected operand
size. Signed integers are twos complement binary values that can be used to represent both positive and negative
integer values.
Some integer instructions (such as the ADD, SUB, PADDB, and PSUBB instructions) operate on either unsigned or
signed integer operands. Other integer instructions (such as IMUL, MUL, IDIV, DIV, FIADD, and FISUB) operate on
only one integer type.
The following sections describe the encodings and ranges of the two types of
integers.
4 .2 .1 .1 U n sig n e d I n t e g e rs
Unsigned integers are unsigned binary numbers contained in a byte, word, doubleword, and quadword. Their
values range from 0 to 255 for an unsigned byte integer, from 0 to 65,535 for an unsigned word integer, from 0
F ig u re 4 -3 . N u m e ric D a t a T y p e s
0
0
0 22
0
Double Extended Precision
63 62
0
Word Signed Integer
0
Byte Signed Integer
7 6
Sign
Sign
Doubleword Signed Integer
15 14
Sign
31 30
Sign
Quadword Signed Integer
0
0
Word Unsigned Integer
0
Byte Unsigned Integer
7
Doubleword Unsigned Integer
15
31
Quadword Unsigned Integer
63
0
0
23 30 31
51 52 62 63
64 63 62 79 78
Floating Point
Single Precision
Floating Point
Double Precision
Floating Point
Sign
Integer Bit
Sign
Sign
0
9 14 15
Half Precision
Floating Point
Sign
4-4 Vol. 1
DATA TYPES
to 2
32
1 for an unsigned doubleword integer, and from 0 to 2
64
1 for an unsigned quadword integer. Unsigned
integers are sometimes referred to as ordinals.
4 .2 .1 .2 S ig n e d I n t e g e rs
Signed integers are signed binary numbers held in a byte, word, doubleword, or quadword. All operations on signed
integers assume a two's complement representation. The sign bit is located in bit 7 in a byte integer, bit 15 in a
word integer, bit 31 in a doubleword integer, and bit 63 in a quadword integer (see the signed integer encodings in
Table 4-1).
The sign bit is set for negative integers and cleared for positive integers and zero. Integer values range from 128
to +127 for a byte integer, from 32,768 to +32,767 for a word integer, from 2
31
to +2
31
1 for a doubleword
integer, and from 2
63
to +2
63
1 for a quadword integer.
When storing integer values in memory, word integers are stored in 2 consecutive bytes; doubleword integers are
stored in 4 consecutive bytes; and quadword integers are stored in 8 consecutive bytes.
The integer indefinite is a special value that is sometimes returned by the x87 FPU when operating on integer
values. For more information, see Section 8.2.1, Indefinites.
4 .2 .2 F lo a t in g -P o in t D a t a T y p e s
The IA-32 architecture defines and operates on three floating-point data types: single-precision floating-point,
double-precision floating-point, and double-extended precision floating-point (see Figure 4-3). The data formats
for these data types correspond directly to formats specified in the IEEE Standard 754 for Binary Floating-Point
Arithmetic.
Half-precision (16-bit) floating-point data type is supported only for conversion operation with single-precision
floating data using F16C extensions (VCVTPH2PS, VCVTPS2PH).
Table 4-2 gives the length, precision, and approximate normalized range that can be represented by each of these
data types. Denormal values are also supported in each of these types.
Ta b le 4 -1 . S ig n e d I n t e g e r E n co d in g s
C la ss T w o s C o m p le m e n t E n co d in g
S ig n
Positive Largest 0 11..11
. .
. .
Smallest 0 00..01
Zero 0 00..00
Negative Smallest 1 11..11
. .
. .
Largest 1 00..00
Integer indefinite 1 00..00
Signed Byte Integer:
Signed Word Integer:
Signed Doubleword Integer:
Signed Quadword Integer:
7 bits
15 bits
31 bits
63 bits
Vol. 1 4-5
DATA TYPES
N O T E
Section 4.8, Real Numbers and Floating-Point Formats, gives an overview of the IEEE Standard
754 floating-point formats and defines the terms integer bit, QNaN, SNaN, and denormal value.
Table 4-3 shows the floating-point encodings for zeros, denormalized finite numbers, normalized finite numbers,
infinites, and NaNs for each of the three floating-point data types. It also gives the format for the QNaN floating-
point indefinite value. (See Section 4.8.3.7, QNaN Floating-Point Indefinite, for a discussion of the use of the
QNaN floating-point indefinite value.)
For the single-precision and double-precision formats, only the fraction part of the significand is encoded. The
integer is assumed to be 1 for all numbers except 0 and denormalized finite numbers. For the double extended-
precision format, the integer is contained in bit 63, and the most-significant fraction bit is bit 62. Here, the integer
is explicitly set to 1 for normalized numbers, infinities, and NaNs, and to 0 for zero and denormalized numbers.
Ta b le 4 -2 . Le n g t h , P re cisio n , a n d R a n g e o f F lo a t in g -P o in t D a t a T y p e s
D a t a T y p e Le n g t h P re cisio n
(B it s)
Ap p ro x im a t e N o rm a lize d R a n g e
B in a ry D e cim a l
Half Precision 16 11 2
14
to 2
15
3.1 10
5
to 6.50 10
4
Single Precision 32 24 2
126
to 2
127
1.18 10
38
to 3.40 10
38
Double Precision 64 53 2
1022
to 2
1023
2.23 10
308
to 1.79 10
308
Double Extended
Precision
80 64 2
16382
to 2
16383
3.37 10
4932
to 1.18 10
4932
Ta b le 4 -3 . F lo a t in g -P o in t N u m b e r a n d N a N E n co d in g s
C la ss S ig n B ia se d E x p o n e n t S ig n ifica n d
I n t e g e r
1
F ra ct io n
Positive + 0 11..11 1 00..00
+Normals 0
.
.
0
11..10
.
.
00..01
1
.
.
1
11..11
.
.
00..00
+Denormals 0
.
.
0
00..00
.
.
00..00
0
.
.
0
11.11
.
.
00..01
+Zero 0 00..00 0 00..00
Negative Zero 1 00..00 0 00..00
Denormals 1
.
.
1
00..00
.
.
00..00
0
.
.
0
00..01
.
.
11..11
Normals 1
.
.
1
00..01
.
.
11..10
1
.
.
1
00..00
.
.
11..11
- 1 11..11 1 00..00
4-6 Vol. 1
DATA TYPES
The exponent of each floating-point data type is encoded in biased format; see Section 4.8.2.2, Biased Exponent.
The biasing constant is 15 for the half-precision format, 127 for the single-precision format, 1023 for the double-
precision format, and 16,383 for the double extended-precision format.
When storing floating-point values in memory, half-precision values are stored in 2 consecutive bytes in memory;
single-precision values are stored in 4 consecutive bytes in memory; double-precision values are stored in 8
consecutive bytes; and double extended-precision values are stored in 10 consecutive bytes.
The single-precision and double-precision floating-point data types are operated on by x87 FPU, and
SSE/SSE2/SSE3/SSE4.1 and Intel AVX instructions. The double-extended-precision floating-point format is only
operated on by the x87 FPU. See Section 11.6.8, Compatibility of SIMD and x87 FPU Floating-Point Data Types,
for a discussion of the compatibility of single-precision and double-precision floating-point data types between the
x87 FPU and SSE/SSE2/SSE3 extensions.
4 .3 P O I N T E R D AT A T YP E S
Pointers are addresses of locations in memory.
In non-64-bit modes, the architecture defines two types of pointers: a near pointer and a far pointer. A near
pointer is a 32-bit (or 16-bit) offset (also called an effective address) within a segment. Near pointers are used
for all memory references in a flat memory model or for references in a segmented model where the identity of the
segment being accessed is implied.
A far pointer is a logical address, consisting of a 16-bit segment selector and a 32-bit (or 16-bit) offset. Far pointers
are used for memory references in a segmented memory model where the identity of a segment being accessed
must be specified explicitly. Near and far pointers with 32-bit offsets are shown in Figure 4-4.
NaNs SNaN X 11..11 1 0X..XX
2
QNaN X 11..11 1 1X..XX
QNaN Floating-
Point Indefinite
1 11..11 1 10..00
Half-Precision
Single-Precision:
Double-Precision:
Double Extended-Precision:
5Bits
8 Bits
11 Bits
15 Bits
10 Bits
23 Bits
52 Bits
63 Bits
N O T E S :
1. Integer bit is implied and not stored for single-precision and double-precision formats.
2. The fraction for SNaN encodings must be non-zero with the most-significant bit 0.
F ig u re 4 -4 . P o in t e r D a t a T y p e s
Ta b le 4 -3 . F lo a t in g -P o in t N u m b e r a n d N a N E n co d in g s (C o n t d .)
0 47
Far Pointer or Logical Address
Segment Selector
32 31
Offset
Near Pointer
0 31
Offset
Vol. 1 4-7
DATA TYPES
4 .3 .1 P o in t e r D a t a T y p e s in 6 4 -B it Mo d e
In 64-bit mode (a sub-mode of IA-32e mode), a near pointer is 64 bits. This equates to an effective address. Far
pointers in 64-bit mode can be one of three forms:
16-bit segment selector, 16-bit offset if the operand size is 32 bits
16-bit segment selector, 32-bit offset if the operand size is 32 bits
16-bit segment selector, 64-bit offset if the operand size is 64 bits
See Figure 4-5.
4 .4 B I T F I E LD D AT A T YP E
A bit field (see Figure 4-6) is a contiguous sequence of bits. It can begin at any bit position of any byte in memory
and can contain up to 32 bits.
F ig u re 4 -5 . P o in t e rs in 6 4 -B it Mo d e
F ig u re 4 -6 . B it F ie ld D a t a T y p e
16-bit Segment Selector 16-bit Offset
0 16 15 31
16-bit Segment Selector 32-bit Offset
0 32 31 47
16-bit Segment Selector 64-bit Offset
0
64 63 79
64-bit Offset
0 63
Near Pointer
Far Pointer with 64-bit Operand Size
Far Pointer with 32-bit Operand Size
Far Pointer with 32-bit Operand Size
Bit Field
Field Length
Least
Bit
Significant
4-8 Vol. 1
DATA TYPES
4 .5 S T R I N G D AT A T YP E S
Strings are continuous sequences of bits, bytes, words, or doublewords. A bit string can begin at any bit position
of any byte and can contain up to 2
32


1 bits. A byte string can contain bytes, words, or doublewords and can
range from zero to 2
32
1 bytes (4 GBytes).
4 .6 P AC K E D S I MD D AT A T YP E S
Intel 64 and IA-32 architectures define and operate on a set of 64-bit and 128-bit packed data type for use in SIMD
operations. These data types consist of fundamental data types (packed bytes, words, doublewords, and quad-
words) and numeric interpretations of fundamental types for use in packed integer and packed floating-point oper-
ations.
4 .6 .1 6 4 -B it S I MD P a cke d D a t a T y p e s
The 64-bit packed SIMD data types were introduced into the IA-32 architecture in the Intel MMX technology. They
are operated on in MMX registers. The fundamental 64-bit packed data types are packed bytes, packed words, and
packed doublewords (see Figure 4-7). When performing numeric SIMD operations on these data types, these data
types are interpreted as containing byte, word, or doubleword integer values.
4 .6 .2 1 2 8 -B it P a cke d S I MD D a t a T y p e s
The 128-bit packed SIMD data types were introduced into the IA-32 architecture in the SSE extensions and used
with SSE2, SSE3 and SSSE3 extensions. They are operated on primarily in the 128-bit XMM registers and memory.
The fundamental 128-bit packed data types are packed bytes, packed words, packed doublewords, and packed
quadwords (see Figure 4-8). When performing SIMD operations on these fundamental data types in XMM registers,
these data types are interpreted as containing packed or scalar single-precision floating-point or double-precision
floating-point values, or as containing packed byte, word, doubleword, or quadword integer values.
F ig u re 4 -7. 6 4 -B it P a cke d S I MD D a t a T y p e s
Packed Words
Packed Bytes
Packed Doublewords
0 63
0 63
0 63
Packed Word Integers
Packed Byte Integers
Packed Doubleword Integers
0 63
0 63
0 63
Fundamental 64-Bit Packed SIMD Data Types
64-Bit Packed Integer Data Types
Vol. 1 4-9
DATA TYPES
4 .7 B C D AN D P AC K E D B C D I N T E G E R S
Binary-coded decimal integers (BCD integers) are unsigned 4-bit integers with valid values ranging from 0 to 9. IA-
32 architecture defines operations on BCD integers located in one or more general-purpose registers or in one or
more x87 FPU registers (see Figure 4-9).
F ig u re 4 -8 . 1 2 8 -B it P a cke d S I MD D a t a T y p e s
0 127
Packed Words
Packed Bytes
Packed Doublewords
Packed Word Integers
Packed Byte Integers
Packed Doubleword Integers
Fundamental 128-Bit Packed SIMD Data Types
128-Bit Packed Floating-Point and Integer Data Types
Packed Quadwords
0 127
0 127
0 127
0 127
0 127
0 127
0 127
0 127
0 127
Packed Quadword Integers
Packed Single Precision
Floating Point
Packed Double Precision
Floating Point
4-10 Vol. 1
DATA TYPES
When operating on BCD integers in general-purpose registers, the BCD values can be unpacked (one BCD digit per
byte) or packed (two BCD digits per byte). The value of an unpacked BCD integer is the binary value of the low half-
byte (bits 0 through 3). The high half-byte (bits 4 through 7) can be any value during addition and subtraction, but
must be zero during multiplication and division. Packed BCD integers allow two BCD digits to be contained in one
byte. Here, the digit in the high half-byte is more significant than the digit in the low half-byte.
When operating on BCD integers in x87 FPU data registers, BCD values are packed in an 80-bit format and referred
to as decimal integers. In this format, the first 9 bytes hold 18 BCD digits, 2 digits per byte. The least-significant
digit is contained in the lower half-byte of byte 0 and the most-significant digit is contained in the upper half-byte
of byte 9. The most significant bit of byte 10 contains the sign bit (0 = positive and 1 = negative; bits 0 through 6
of byte 10 are dont care bits). Negative decimal integers are not stored in two's complement form; they are distin-
guished from positive decimal integers only by the sign bit. The range of decimal integers that can be encoded in
this format is 10
18
+ 1 to 10
18
1.
The decimal integer format exists in memory only. When a decimal integer is loaded in an x87 FPU data register, it
is automatically converted to the double-extended-precision floating-point format. All decimal integers are exactly
representable in double extended-precision format.
Table 4-4 gives the possible encodings of value in the decimal integer data type.
F ig u re 4 -9 . B C D D a t a T y p e s
Ta b le 4 -4 . P a cke d D e cim a l I n t e g e r E n co d in g s
Ma g n it u d e
C la ss S ig n d ig it d ig it d ig it d ig it ... d ig it
Positive
Largest
0 0000000 1001 1001 1001 1001 ... 1001
. . .
. . .
Smallest 0 0000000 0000 0000 0000 0000 ... 0001
Zero 0 0000000 0000 0000 0000 0000 ... 0000
Negative
Zero
1 0000000 0000 0000 0000 0000 ... 0000
Smallest 1 0000000 0000 0000 0000 0000 ... 0001
. . .
. . .
Largest 1 0000000 1001 1001 1001 1001 ... 1001
Packed BCD Integers
BCD BCD
0
BCD Integers
7
BCD X
3 4
0
80-Bit Packed BCD Decimal Integers
79
D0
4 Bits = 1 BCD Digit
Sign
D1 D2 D3 D4 D5 D6 D7 D8 D9 D10 D11 D12 D13 D14 D15 D16 D17
78 7271
X
0 7 3 4
Vol. 1 4-11
DATA TYPES
The packed BCD integer indefinite encoding (FFFFC000000000000000H) is stored by the FBSTP instruction in
response to a masked floating-point invalid-operation exception. Attempting to load this value with the FBLD
instruction produces an undefined result.
4 .8 R E AL N U MB E R S AN D F LO AT I N G -P O I N T FO R MAT S
This section describes how real numbers are represented in floating-point format in x87 FPU and
SSE/SSE2/SSE3/SSE4.1 and Intel AVX floating-point instructions. It also introduces terms such as normalized
numbers, denormalized numbers, biased exponents, signed zeros, and NaNs. Readers who are already familiar
with floating-point processing techniques and the IEEE Standard 754 for Binary Floating-Point Arithmetic may wish
to skip this section.
4 .8 .1 R e a l N u m b e r S y st e m
As shown in Figure 4-10, the real-number system comprises the continuum of real numbers from minus infinity (
) to plus infinity (+ ).
Because the size and number of registers that any computer can have is limited, only a subset of the real-number
continuum can be used in real-number (floating-point) calculations. As shown at the bottom of Figure 4-10, the
subset of real numbers that the IA-32 architecture supports represents an approximation of the real number
system. The range and precision of this real-number subset is determined by the IEEE Standard 754 floating-point
formats.
4 .8 .2 F lo a t in g -P o in t Fo rm a t
To increase the speed and efficiency of real-number computations, computers and microprocessors typically repre-
sent real numbers in a binary floating-point format. In this format, a real number has three parts: a sign, a signif-
icand, and an exponent (see Figure 4-11).
The sign is a binary value that indicates whether the number is positive (0) or negative (1). The significand has
two parts: a 1-bit binary integer (also referred to as the J-bit) and a binary fraction. The integer-bit is often not
represented, but instead is an implied value. The exponent is a binary integer that represents the base-2 power by
which the significand is multiplied.
Table 4-5 shows how the real number 178.125 (in ordinary decimal format) is stored in IEEE Standard 754 floating-
point format. The table lists a progression of real number notations that leads to the single-precision, 32-bit
floating-point format. In this format, the significand is normalized (see Section 4.8.2.1, Normalized Numbers)
and the exponent is biased (see Section 4.8.2.2, Biased Exponent). For the single-precision floating-point
format, the biasing constant is +127.
Packed BCD
Integer
Indefinite
1 1111111 1111 1111 1100 0000 ... 0000
1 byte 9 bytes
Ta b le 4 -4 . P a cke d D e cim a l I n t e g e r E n co d in g s (C o n t d .)
4-12 Vol. 1
DATA TYPES
F ig u re 4 -1 0 . B in a ry R e a l N u m b e r S y st e m
F ig u re 4 -1 1 . B in a ry F lo a t in g -P o in t Fo rm a t
Ta b le 4 -5 . R e a l a n d F lo a t in g -P o in t N u m b e r N o t a t io n
N o t a t io n Va lu e
Ordinary Decimal 178.125
Scientific Decimal 1.78125E
10
2
Scientific Binary 1.0110010001E
2
111
Scientific Binary
(Biased Exponent)
1.0110010001E
2
10000110
IEEE Single-Precision Format Sign Biased Exponent Normalized Significand
0 10000110 01100100010000000000000
1. (Implied)
Binary Real Number System
Subset of binary real numbers that can be represented with
IEEE single-precision (32-bit) floating-point format
+10
10.0000000000000000000000
1.11111111111111111111111
Precision 24 Binary Digits
Numbers within this range
cannot be represented.

-100 -10 -1 0 1 10 100

-100 -10 -1 0 1 10 100
Sign
Integer or J-Bit
Exponent Significand
Fraction
Vol. 1 4-13
DATA TYPES
4 .8 .2 .1 N o rm a lize d N u m b e rs
In most cases, floating-point numbers are encoded in normalized form. This means that except for zero, the signif-
icand is always made up of an integer of 1 and the following fraction:
1.fff...ff
For values less than 1, leading zeros are eliminated. (For each leading zero eliminated, the exponent is decre-
mented by one.)
Representing numbers in normalized form maximizes the number of significant digits that can be accommodated
in a significand of a given width. To summarize, a normalized real number consists of a normalized significand that
represents a real number between 1 and 2 and an exponent that specifies the numbers binary point.
4 .8 .2 .2 B ia se d E x p o n e n t
In the IA-32 architecture, the exponents of floating-point numbers are encoded in a biased form. This means that
a constant is added to the actual exponent so that the biased exponent is always a positive number. The value of
the biasing constant depends on the number of bits available for representing exponents in the floating-point
format being used. The biasing constant is chosen so that the smallest normalized number can be reciprocated
without overflow.
See Section 4.2.2, Floating-Point Data Types, for a list of the biasing constants that the IA-32 architecture uses
for the various sizes of floating-point data-types.
4 .8 .3 R e a l N u m b e r a n d N o n -n u m b e r E n co d in g s
A variety of real numbers and special values can be encoded in the IEEE Standard 754 floating-point format. These
numbers and values are generally divided into the following classes:
Signed zeros
Denormalized finite numbers
Normalized finite numbers
Signed infinities
NaNs
Indefinite numbers
(The term NaN stands for Not a Number.)
Figure 4-12 shows how the encodings for these numbers and non-numbers fit into the real number continuum. The
encodings shown here are for the IEEE single-precision floating-point format. The term S indicates the sign bit,
E the biased exponent, and Sig the significand. The exponent values are given in decimal. The integer bit is
shown for the significands, even though the integer bit is implied in single-precision floating-point format.
4-14 Vol. 1
DATA TYPES
An IA-32 processor can operate on and/or return any of these values, depending on the type of computation being
performed. The following sections describe these number and non-number classes.
4 .8 .3 .1 S ig n e d Z e ro s
Zero can be represented as a +0 or a 0 depending on the sign bit. Both encodings are equal in value. The sign of
a zero result depends on the operation being performed and the rounding mode being used. Signed zeros have
been provided to aid in implementing interval arithmetic. The sign of a zero may indicate the direction from which
underflow occurred, or it may indicate the sign of an that has been reciprocated.
4 .8 .3 .2 N o rm a lize d a n d D e n o rm a lize d F in it e N u m b e rs
Non-zero, finite numbers are divided into two classes: normalized and denormalized. The normalized finite
numbers comprise all the non-zero finite values that can be encoded in a normalized real number format between
zero and . In the single-precision floating-point format shown in Figure 4-12, this group of numbers includes all
the numbers with biased exponents ranging from 1 to 254
10
(unbiased, the exponent range is from 126
10
to
+127
10
).
When floating-point numbers become very close to zero, the normalized-number format can no longer be used to
represent the numbers. This is because the range of the exponent is not large enough to compensate for shifting
the binary point to the right to eliminate leading zeros.
When the biased exponent is zero, smaller numbers can only be represented by making the integer bit (and
perhaps other leading bits) of the significand zero. The numbers in this range are called denormalized (or tiny)
numbers. The use of leading zeros with denormalized numbers allows smaller numbers to be represented.
However, this denormalization causes a loss of precision (the number of significant bits in the fraction is reduced by
the leading zeros).
When performing normalized floating-point computations, an IA-32 processor normally operates on normalized
numbers and produces normalized numbers as results. Denormalized numbers represent an underflow condition.
The exact conditions are specified in Section 4.9.1.5, Numeric Underflow Exception (#U).
A denormalized number is computed through a technique called gradual underflow. Table 4-6 gives an example of
gradual underflow in the denormalization process. Here the single-precision format is being used, so the minimum
F ig u re 4 -1 2 . R e a l N u m b e rs a n d N a N s
1 0
S E Sig
1
0
1 0
Denormalized
Finite
NaN
1 1...254
Normalized
Finite
1 255
255 SNaN
255 QNaN
NOTES:
3. Sign bit ignored.
2. Fraction must be non-zero.
0 0
S E Sig
1
0 0
NaN
0 1...254
0 255
X
3
255 1.0XX...
2
255
1.1XX...
+ 0
+Denormalized
Finite
+Normalized
Finite
+
SNaN
QNaN X
3
X
3
X
3
Real Number and NaN Encodings For 32-Bit Floating-Point Format
Denormalized Finite
Normalized Finite 0 +
+ Denormalized Finite
+ Normalized Finite + 0
0.XXX...
2
0.000...
1.000...
1.XXX...
1.000...
0.000...
0.XXX...
2
1.XXX...
1.0XX...
2
1.1XX...
1. Integer bit of fraction implied for
single-precision floating-point format.
Vol. 1 4-15
DATA TYPES
exponent (unbiased) is 126
10
. The true result in this example requires an exponent of 129
10
in order to have a
normalized number. Since 129
10
is beyond the allowable exponent range, the result is denormalized by inserting
leading zeros until the minimum exponent of 126
10
is reached.
In the extreme case, all the significant bits are shifted out to the right by leading zeros, creating a zero result.
The Intel 64 and IA-32 architectures deal with denormal values in the following ways:
It avoids creating denormals by normalizing numbers whenever possible.
It provides the floating-point underflow exception to permit programmers to detect cases when denormals are
created.
It provides the floating-point denormal-operand exception to permit procedures or programs to detect when
denormals are being used as source operands for computations.
4 .8 .3 .3 S ig n e d I n fin it ie s
The two infinities, + and , represent the maximum positive and negative real numbers, respectively, that can
be represented in the floating-point format. Infinity is always represented by a significand of 1.00...00 (the integer
bit may be implied) and the maximum biased exponent allowed in the specified format (for example, 255
10
for the
single-precision format).
The signs of infinities are observed, and comparisons are possible. Infinities are always interpreted in the affine
sense; that is, is less than any finite number and + is greater than any finite number. Arithmetic on infinities
is always exact. Exceptions are generated only when the use of an infinity as a source operand constitutes an
invalid operation.
Whereas denormalized numbers may represent an underflow condition, the two numbers may represent the
result of an overflow condition. Here, the normalized result of a computation has a biased exponent greater than
the largest allowable exponent for the selected result format.
4 .8 .3 .4 N a N s
Since NaNs are non-numbers, they are not part of the real number line. In Figure 4-12, the encoding space for
NaNs in the floating-point formats is shown above the ends of the real number line. This space includes any value
with the maximum allowable biased exponent and a non-zero fraction (the sign bit is ignored for NaNs).
The IA-32 architecture defines two classes of NaNs: quiet NaNs (QNaNs) and signaling NaNs (SNaNs). A QNaN is a
NaN with the most significant fraction bit set; an SNaN is a NaN with the most significant fraction bit clear. QNaNs
are allowed to propagate through most arithmetic operations without signaling an exception. SNaNs generally
signal a floating-point invalid-operation exception whenever they appear as operands in arithmetic operations.
SNaNs are typically used to trap or invoke an exception handler. They must be inserted by software; that is, the
processor never generates an SNaN as a result of a floating-point operation.
Ta b le 4 -6 . D e n o rm a liza t io n P ro ce ss
O p e ra t io n S ig n E x p o n e n t * S ig n ifica n d
True Result 0 129 1.01011100000...00
Denormalize 0 128 0.10101110000...00
Denormalize 0 127 0.01010111000...00
Denormalize 0 126 0.00101011100...00
Denormal Result 0 126 0.00101011100...00
* Expressed as an unbiased, decimal number.
4-16 Vol. 1
DATA TYPES
4 .8 .3 .5 O p e ra t in g o n S N a N s a n d Q N a N s
When a floating-point operation is performed on an SNaN and/or a QNaN, the result of the operation is either a
QNaN delivered to the destination operand or the generation of a floating-point invalid operating exception,
depending on the following rules:
If one of the source operands is an SNaN and the floating-point invalid-operating exception is not masked (see
Section 4.9.1.1, Invalid Operation Exception (#I)), the a floating-point invalid-operation exception is signaled
and no result is stored in the destination operand.
If either or both of the source operands are NaNs and floating-point invalid-operation exception is masked, the
result is as shown in Table 4-7. When an SNaN is converted to a QNaN, the conversion is handled by setting the
most-significant fraction bit of the SNaN to 1. Also, when one of the source operands is an SNaN, the floating-
point invalid-operation exception flag it set. Note that for some combinations of source operands, the result is
different for x87 FPU operations and for SSE/SSE2/SSE3/SSE4.1 operations. Intel AVX follows the same
behavior as SSE/SSE2/SSE3/SSE4.1 in this respect.
When neither of the source operands is a NaN, but the operation generates a floating-point invalid-operation
exception (see Tables 8-10 and 11-1), the result is commonly an SNaN source operand converted to a QNaN or
the QNaN floating-point indefinite value.
Any exceptions to the behavior described in Table 4-7 are described in Section 8.5.1.2, Invalid Arithmetic Operand
Exception (#IA), and Section 11.5.2.1, Invalid Operation Exception (#I).
4 .8 .3 .6 U sin g S N a N s a n d Q N a N s in Ap p lica t io n s
Except for the rules given at the beginning of Section 4.8.3.4, NaNs, for encoding SNaNs and QNaNs, software is
free to use the bits in the significand of a NaN for any purpose. Both SNaNs and QNaNs can be encoded to carry and
store data, such as diagnostic information.
By unmasking the invalid operation exception, the programmer can use signaling NaNs to trap to the exception
handler. The generality of this approach and the large number of NaN values that are available provide the sophis-
ticated programmer with a tool that can be applied to a variety of special situations.
Ta b le 4 -7. R u le s fo r H a n d lin g N a N s
S o u rce O p e ra n d s R e su lt
1
SNaN and QNaN x87 FPU QNaN source operand.
SSE/SSE2/SSE3/SSE4.1/AVX First source operand (if this operand is an
SNaN, it is converted to a QNaN)
Two SNaNs x87 FPUSNaN source operand with the larger significand, converted into a
QNaN
SSE/SSE2/SSE3/SSE4.1/AVX First source operand converted to a QNaN
Two QNaNs x87 FPU QNaN source operand with the larger
significand
SSE/SSE2/SSE3/SSE4.1/AVX First source operand
SNaN and a floating-point value SNaN source operand, converted into a QNaN
QNaN and a floating-point value QNaN source operand
SNaN (for instructions that take only one operand) SNaN source operand, converted into a QNaN
QNaN (for instructions that take only one operand) QNaN source operand
N O T E :
1. For SSE/SSE2/SSE3/SSE4.1 instructions, the first operand is generally a source operand that becomes the destination operand. For
AVX instructions, the first source operand is usually the 2nd operand in a non-destructive source syntax. Within the Result column,
the x87 FPU notation also applies to the FISTTP instruction in SSE3; the SSE3 notation applies to the SIMD floating-point instruc-
tions.
Vol. 1 4-17
DATA TYPES
For example, a compiler can use signaling NaNs as references to uninitialized (real) array elements. The compiler
can preinitialize each array element with a signaling NaN whose significand contained the index (relative position)
of the element. Then, if an application program attempts to access an element that it had not initialized, it can use
the NaN placed there by the compiler. If the invalid operation exception is unmasked, an interrupt will occur, and
the exception handler will be invoked. The exception handler can determine which element has been accessed,
since the operand address field of the exception pointer will point to the NaN, and the NaN will contain the index
number of the array element.
Quiet NaNs are often used to speed up debugging. In its early testing phase, a program often contains multiple
errors. An exception handler can be written to save diagnostic information in memory whenever it was invoked.
After storing the diagnostic data, it can supply a quiet NaN as the result of the erroneous instruction, and that NaN
can point to its associated diagnostic area in memory. The program will then continue, creating a different NaN for
each error. When the program ends, the NaN results can be used to access the diagnostic data saved at the time
the errors occurred. Many errors can thus be diagnosed and corrected in one test run.
In embedded applications that use computed results in further computations, an undetected QNaN can invalidate
all subsequent results. Such applications should therefore periodically check for QNaNs and provide a recovery
mechanism to be used if a QNaN result is detected.
4 .8 .3 .7 Q N a N F lo a t in g -P o in t I n d e f in it e
For the floating-point data type encodings (single-precision, double-precision, and double-extended-precision),
one unique encoding (a QNaN) is reserved for representing the special value QNaN floating-point indefinite. The
x87 FPU and the SSE/SSE2/SSE3/SSE4.1/AVX extensions return these indefinite values as responses to some
masked floating-point exceptions. Table 4-3 shows the encoding used for the QNaN floating-point indefinite.
4 .8 .3 .8 H a lf -P re cisio n F lo a t in g -P o in t O p e ra t io n
Half-precision floating-point values are not used by the processor directly for arithmetic operations. Two instruc-
tions, VCVTPH2PS, VCVTPS2PH, provide conversion only between half-precision and single-precision floating-point
values.
The SIMD floating-point exception behavior of VCVTPH2PS and VCVTPS2PH are described in Section 13.4.1.
4 .8 .4 R o u n d in g
When performing floating-point operations, the processor produces an infinitely precise floating-point result in the
destination format (single-precision, double-precision, or double extended-precision floating-point) whenever
possible. However, because only a subset of the numbers in the real number continuum can be represented in IEEE
Standard 754 floating-point formats, it is often the case that an infinitely precise result cannot be encoded exactly
in the format of the destination operand.
For example, the following value (a) has a 24-bit fraction. The least-significant bit of this fraction (the underlined
bit) cannot be encoded exactly in the single-precision format (which has only a 23-bit fraction):
(a) 1.0001 0000 1000 0011 1001 0111E
2
101
To round this result (a), the processor first selects two representable fractions b and c that most closely bracket a
in value (b < a < c).
(b) 1.0001 0000 1000 0011 1001 011E
2
101
(c) 1.0001 0000 1000 0011 1001 100E
2
101
The processor then sets the result to b or to c according to the selected rounding mode. Rounding introduces an
error in a result that is less than one unit in the last place (the least significant bit position of the floating-point
value) to which the result is rounded.
The IEEE Standard 754 defines four rounding modes (see Table 4-8): round to nearest, round up, round down, and
round toward zero. The default rounding mode (for the Intel 64 and IA-32 architectures) is round to nearest. This
mode provides the most accurate and statistically unbiased estimate of the true result and is suitable for most
applications.
4-18 Vol. 1
DATA TYPES
The round up and round down modes are termed directed rounding and can be used to implement interval arith-
metic. Interval arithmetic is used to determine upper and lower bounds for the true result of a multistep computa-
tion, when the intermediate results of the computation are subject to rounding.
The round toward zero mode (sometimes called the chop mode) is commonly used when performing integer
arithmetic with the x87 FPU.
The rounded result is called the inexact result. When the processor produces an inexact result, the floating-point
precision (inexact) flag (PE) is set (see Section 4.9.1.6, Inexact-Result (Precision) Exception (#P)).
The rounding modes have no effect on comparison operations, operations that produce exact results, or operations
that produce NaN results.
4 .8 .4 .1 R o u n d in g C o n t ro l (R C ) F ie ld s
In the Intel 64 and IA-32 architectures, the rounding mode is controlled by a 2-bit rounding-control (RC) field
(Table 4-8 shows the encoding of this field). The RC field is implemented in two different locations:
x87 FPU control register (bits 10 and 11)
The MXCSR register (bits 13 and 14)
Although these two RC fields perform the same function, they control rounding for different execution environ-
ments within the processor. The RC field in the x87 FPU control register controls rounding for computations
performed with the x87 FPU instructions; the RC field in the MXCSR register controls rounding for SIMD floating-
point computations performed with the SSE/SSE2 instructions.
4 .8 .4 .2 T ru n ca t io n w it h S S E a n d S S E 2 C o n v e rsio n I n st ru ct io n s
The following SSE/SSE2 instructions automatically truncate the results of conversions from floating-point values to
integers when the result it inexact: CVTTPD2DQ, CVTTPS2DQ, CVTTPD2PI, CVTTPS2PI, CVTTSD2SI, CVTTSS2SI.
Here, truncation means the round toward zero mode described in Table 4-8.
4 .9 O VE R V I E W O F F LO AT I N G -P O I N T E XC E P T I O N S
The following section provides an overview of floating-point exceptions and their handling in the IA-32 architecture.
For information specific to the x87 FPU and to the SSE/SSE2/SSE3/SSE4.1 extensions, refer to the following
sections:
Section 8.4, x87 FPU Floating-Point Exception Handling
Section 11.5, SSE, SSE2, and SSE3 Exceptions
When operating on floating-point operands, the IA-32 architecture recognizes and detects six classes of exception
conditions:
Invalid operation (#I)
Ta b le 4 -8 . R o u n d in g Mo d e s a n d E n co d in g o f R o u n d in g C o n t ro l (R C ) F ie ld
R o u n d in g Mo d e R C F ie ld
S e t t in g
D e scrip t io n
Round to
nearest (even)
00B Rounded result is the closest to the infinitely precise result. If two values are equally close, the
result is the even value (that is, the one with the least-significant bit of zero). Default
Round down
(toward )
01B Rounded result is closest to but no greater than the infinitely precise result.
Round up
(toward +)
10B Rounded result is closest to but no less than the infinitely precise result.
Round toward
zero (Truncate)
11B Rounded result is closest to but no greater in absolute value than the infinitely precise result.
Vol. 1 4-19
DATA TYPES
Divide-by-zero (#Z)
Denormalized operand (#D)
Numeric overflow (#O)
Numeric underflow (#U)
Inexact result (precision) (#P)
The nomenclature of # symbol followed by one or two letters (for example, #P) is used in this manual to indicate
exception conditions. It is merely a short-hand form and is not related to assembler mnemonics.
N O T E
All of the exceptions listed above except the denormal-operand exception (#D) are defined in IEEE
Standard 754.
The invalid-operation, divide-by-zero and denormal-operand exceptions are pre-computation exceptions (that is,
they are detected before any arithmetic operation occurs). The numeric-underflow, numeric-overflow and preci-
sion exceptions are post-computation exceptions.
Each of the six exception classes has a corresponding flag bit (IE, ZE, OE, UE, DE, or PE) and mask bit (IM, ZM, OM,
UM, DM, or PM). When one or more floating-point exception conditions are detected, the processor sets the appro-
priate flag bits, then takes one of two possible courses of action, depending on the settings of the corresponding
mask bits:
Mask bit set. Handles the exception automatically, producing a predefined (and often times usable) result,
while allowing program execution to continue undisturbed.
Mask bit clear. Invokes a software exception handler to handle the exception.
The masked (default) responses to exceptions have been chosen to deliver a reasonable result for each exception
condition and are generally satisfactory for most floating-point applications. By masking or unmasking specific
floating-point exceptions, programmers can delegate responsibility for most exceptions to the processor and
reserve the most severe exception conditions for software exception handlers.
Because the exception flags are sticky, they provide a cumulative record of the exceptions that have occurred
since they were last cleared. A programmer can thus mask all exceptions, run a calculation, and then inspect the
exception flags to see if any exceptions were detected during the calculation.
In the IA-32 architecture, floating-point exception flag and mask bits are implemented in two different locations:
x87 FPU status word and control word. The flag bits are located at bits 0 through 5 of the x87 FPU status word
and the mask bits are located at bits 0 through 5 of the x87 FPU control word (see Figures 8-4 and 8-6).
MXCSR register. The flag bits are located at bits 0 through 5 of the MXCSR register and the mask bits are
located at bits 7 through 12 of the register (see Figure 10-3).
Although these two sets of flag and mask bits perform the same function, they report on and control exceptions for
different execution environments within the processor. The flag and mask bits in the x87 FPU status and control
words control exception reporting and masking for computations performed with the x87 FPU instructions; the
companion bits in the MXCSR register control exception reporting and masking for SIMD floating-point computa-
tions performed with the SSE/SSE2/SSE3 instructions.
Note that when exceptions are masked, the processor may detect multiple exceptions in a single instruction,
because it continues executing the instruction after performing its masked response. For example, the processor
can detect a denormalized operand, perform its masked response to this exception, and then detect numeric
underflow.
See Section 4.9.2, Floating-Point Exception Priority, for a description of the rules for exception precedence when
more than one floating-point exception condition is detected for an instruction.
4 .9 .1 F lo a t in g -P o in t E x ce p t io n C o n d it io n s
The following sections describe the various conditions that cause a floating-point exception to be generated and the
masked response of the processor when these conditions are detected. The Intel 64 and IA-32 Architectures
4-20 Vol. 1
DATA TYPES
Software Developers Manual, Volumes 3A & 3B, list the floating-point exceptions that can be signaled for each
floating-point instruction.
4 .9 .1 .1 I n v a lid O p e ra t io n E x ce p t io n (# I )
The processor reports an invalid operation exception in response to one or more invalid arithmetic operands. If the
invalid operation exception is masked, the processor sets the IE flag and returns an indefinite value or a QNaN. This
value overwrites the destination register specified by the instruction. If the invalid operation exception is not
masked, the IE flag is set, a software exception handler is invoked, and the operands remain unaltered.
See Section 4.8.3.6, Using SNaNs and QNaNs in Applications, for information about the result returned when an
exception is caused by an SNaN.
The processor can detect a variety of invalid arithmetic operations that can be coded in a program. These opera-
tions generally indicate a programming error, such as dividing by . See the following sections for information
regarding the invalid-operation exception when detected while executing x87 FPU or SSE/SSE2/SSE3 instructions:
x87 FPU; Section 8.5.1, Invalid Operation Exception
SIMD floating-point exceptions; Section 11.5.2.1, Invalid Operation Exception (#I)
4 .9 .1 .2 D e n o rm a l O p e ra n d E x ce p t io n (# D )
The processor reports the denormal-operand exception if an arithmetic instruction attempts to operate on a
denormal operand (see Section 4.8.3.2, Normalized and Denormalized Finite Numbers). When the exception is
masked, the processor sets the DE flag and proceeds with the instruction. Operating on denormal numbers will
produce results at least as good as, and often better than, what can be obtained when denormal numbers are
flushed to zero. Programmers can mask this exception so that a computation may proceed, then analyze any loss
of accuracy when the final result is delivered.
When a denormal-operand exception is not masked, the DE flag is set, a software exception handler is invoked, and
the operands remain unaltered. When denormal operands have reduced significance due to loss of low-order bits,
it may be advisable to not operate on them. Precluding denormal operands from computations can be accom-
plished by an exception handler that responds to unmasked denormal-operand exceptions.
See the following sections for information regarding the denormal-operand exception when detected while
executing x87 FPU or SSE/SSE2/SSE3 instructions:
x87 FPU; Section 8.5.2, Denormal Operand Exception (#D)
SIMD floating-point exceptions; Section 11.5.2.2, Denormal-Operand Exception (#D)
4 .9 .1 .3 D iv id e -B y -Z e ro E x ce p t io n (# Z )
The processor reports the floating-point divide-by-zero exception whenever an instruction attempts to divide a
finite non-zero operand by 0. The masked response for the divide-by-zero exception is to set the ZE flag and return
an infinity signed with the exclusive OR of the sign of the operands. If the divide-by-zero exception is not masked,
the ZE flag is set, a software exception handler is invoked, and the operands remain unaltered.
See the following sections for information regarding the divide-by-zero exception when detected while executing
x87 FPU or SSE/SSE2 instructions:
x87 FPU; Section 8.5.3, Divide-By-Zero Exception (#Z)
SIMD floating-point exceptions; Section 11.5.2.3, Divide-By-Zero Exception (#Z)
4 .9 .1 .4 N u m e ric O v e rf lo w E x ce p t io n (# O )
The processor reports a floating-point numeric overflow exception whenever the rounded result of an instruction
exceeds the largest allowable finite value that will fit into the destination operand. Table 4-9 shows the threshold
range for numeric overflow for each of the floating-point formats; overflow occurs when a rounded result falls at or
outside this threshold range.
Vol. 1 4-21
DATA TYPES
When a numeric-overflow exception occurs and the exception is masked, the processor sets the OE flag and
returns one of the values shown in Table 4-10, according to the current rounding mode. See Section 4.8.4,
Rounding.
When numeric overflow occurs and the numeric-overflow exception is not masked, the OE flag is set, a software
exception handler is invoked, and the source and destination operands either remain unchanged or a biased result
is stored in the destination operand (depending whether the overflow exception was generated during an
SSE/SSE2/SSE3 floating-point operation or an x87 FPU operation).
See the following sections for information regarding the numeric overflow exception when detected while executing
x87 FPU instructions or while executing SSE/SSE2/SSE3 instructions:
x87 FPU; Section 8.5.4, Numeric Overflow Exception (#O)
SIMD floating-point exceptions; Section 11.5.2.4, Numeric Overflow Exception (#O)
4 .9 .1 .5 N u m e ric U n d e rflo w E x ce p t io n (# U )
The processor detects a floating-point numeric underflow condition whenever the result of rounding with
unbounded exponent (taking into account precision control for x87) is tiny; that is, less than the smallest possible
normalized, finite value that will fit into the destination operand. Table 4-11 shows the threshold range for numeric
underflow for each of the floating-point formats (assuming normalized results); underflow occurs when a rounded
result falls strictly within the threshold range. The ability to detect and handle underflow is provided to prevent a
very small result from propagating through a computation and causing another exception (such as overflow during
division) to be generated at a later time.
How the processor handles an underflow condition, depends on two related conditions:
Ta b le 4 -9 . N u m e ric O v e rf lo w T h re sh o ld s
F lo a t in g -P o in t Fo rm a t O v e rflo w T h re sh o ld s
Single Precision | x | 1.0 2
128
Double Precision | x | 1.0 2
1024
Double Extended Precision | x | 1.0 2
16384
Ta b le 4 -1 0 . Ma ske d R e sp o n se s t o N u m e ric O v e rf lo w
R o u n d in g Mo d e S ig n o f T ru e R e su lt R e su lt
To nearest + +

Toward + Largest finite positive number

Toward + + +
Largest finite negative number
Toward zero + Largest finite positive number
Largest finite negative number
Ta b le 4 -1 1 . N u m e ric U n d e rf lo w (N o rm a lize d ) T h re sh o ld s
F lo a t in g -P o in t Fo rm a t U n d e rflo w T h re sh o ld s*
Single Precision | x | < 1.0 2
126
Double Precision | x | < 1.0 2
1022
Double Extended Precision | x | < 1.0 2
16382
* Where x is the result rounded to destination precision with an unbounded exponent range.
4-22 Vol. 1
DATA TYPES
creation of a tiny result
creation of an inexact result; that is, a result that cannot be represented exactly in the destination format
Which of these events causes an underflow exception to be reported and how the processor responds to the excep-
tion condition depends on whether the underflow exception is masked:
Underflow exception masked The underflow exception is reported (the UE flag is set) only when the result
is both tiny and inexact. The processor returns a denormalized result to the destination operand, regardless of
inexactness.
Underflow exception not masked The underflow exception is reported when the result is tiny, regardless
of inexactness. The processor leaves the source and destination operands unaltered or stores a biased result in
the designating operand (depending whether the underflow exception was generated during an
SSE/SSE2/SSE3 floating-point operation or an x87 FPU operation) and invokes a software exception handler.
See the following sections for information regarding the numeric underflow exception when detected while
executing x87 FPU instructions or while executing SSE/SSE2/SSE3 instructions:
x87 FPU; Section 8.5.5, Numeric Underflow Exception (#U)
SIMD floating-point exceptions; Section 11.5.2.5, Numeric Underflow Exception (#U)
4 .9 .1 .6 I n e x a ct -R e su lt (P re cisio n ) E x ce p t io n (# P )
The inexact-result exception (also called the precision exception) occurs if the result of an operation is not exactly
representable in the destination format. For example, the fraction 1/3 cannot be precisely represented in binary
floating-point form. This exception occurs frequently and indicates that some (normally acceptable) accuracy will
be lost due to rounding. The exception is supported for applications that need to perform exact arithmetic only.
Because the rounded result is generally satisfactory for most applications, this exception is commonly masked.
If the inexact-result exception is masked when an inexact-result condition occurs and a numeric overflow or under-
flow condition has not occurred, the processor sets the PE flag and stores the rounded result in the destination
operand. The current rounding mode determines the method used to round the result. See Section 4.8.4,
Rounding.
If the inexact-result exception is not masked when an inexact result occurs and numeric overflow or underflow has
not occurred, the PE flag is set, the rounded result is stored in the destination operand, and a software exception
handler is invoked.
If an inexact result occurs in conjunction with numeric overflow or underflow, one of the following operations is
carried out:
If an inexact result occurs along with masked overflow or underflow, the OE flag or UE flag and the PE flag are
set and the result is stored as described for the overflow or underflow exceptions; see Section 4.9.1.4,
Numeric Overflow Exception (#O), or Section 4.9.1.5, Numeric Underflow Exception (#U). If the inexact
result exception is unmasked, the processor also invokes a software exception handler.
If an inexact result occurs along with unmasked overflow or underflow and the destination operand is a register,
the OE or UE flag and the PE flag are set, the result is stored as described for the overflow or underflow
exceptions, and a software exception handler is invoked.
If an unmasked numeric overflow or underflow exception occurs and the destination operand is a memory location
(which can happen only for a floating-point store), the inexact-result condition is not reported and the C1 flag is
cleared.
See the following sections for information regarding the inexact-result exception when detected while executing
x87 FPU or SSE/SSE2/SSE3 instructions:
x87 FPU; Section 8.5.6, Inexact-Result (Precision) Exception (#P)
SIMD floating-point exceptions; Section 11.5.2.3, Divide-By-Zero Exception (#Z)
4 .9 .2 F lo a t in g -P o in t E x ce p t io n P rio rit y
The processor handles exceptions according to a predetermined precedence. When an instruction generates two or
more exception conditions, the exception precedence sometimes results in the higher-priority exception being
Vol. 1 4-23
DATA TYPES
handled and the lower-priority exceptions being ignored. For example, dividing an SNaN by zero can potentially
signal an invalid-operation exception (due to the SNaN operand) and a divide-by-zero exception. Here, if both
exceptions are masked, the processor handles the higher-priority exception only (the invalid-operation exception),
returning a QNaN to the destination. Alternately, a denormal-operand or inexact-result exception can accompany
a numeric underflow or overflow exception with both exceptions being handled.
The precedence for floating-point exceptions is as follows:
1. Invalid-operation exception, subdivided as follows:
a. stack underflow (occurs with x87 FPU only)
b. stack overflow (occurs with x87 FPU only)
c. operand of unsupported format (occurs with x87 FPU only when using the double extended-precision
floating-point format)
d. SNaN operand
2. QNaN operand. Though this is not an exception, the handling of a QNaN operand has precedence over lower-
priority exceptions. For example, a QNaN divided by zero results in a QNaN, not a zero-divide exception.
3. Any other invalid-operation exception not mentioned above or a divide-by-zero exception.
4. Denormal-operand exception. If masked, then instruction execution continues and a lower-priority exception
can occur as well.
5. Numeric overflow and underflow exceptions; possibly in conjunction with the inexact-result exception.
6. Inexact-result exception.
Invalid operation, zero divide, and denormal operand exceptions are detected before a floating-point operation
begins. Overflow, underflow, and precision exceptions are not detected until a true result has been computed.
When an unmasked pre-operation exception is detected, the destination operand has not yet been updated, and
appears as if the offending instruction has not been executed. When an unmasked post-operation exception is
detected, the destination operand may be updated with a result, depending on the nature of the exception (except
for SSE/SSE2/SSE3 instructions, which do not update their destination operands in such cases).
4 .9 .3 T y p ica l Act io n s o f a F lo a t in g -P o in t E x ce p t io n H a n d le r
After the floating-point exception handler is invoked, the processor handles the exception in the same manner that
it handles non-floating-point exceptions. The floating-point exception handler is normally part of the operating
system or executive software, and it usually invokes a user-registered floating-point exception handle.
A typical action of the exception handler is to store state information in memory. Other typical exception handler
actions include:
Examining the stored state information to determine the nature of the error
Taking actions to correct the condition that caused the error
Clearing the exception flags
Returning to the interrupted program and resuming normal execution
In lieu of writing recovery procedures, the exception handler can do the following:
Increment in software an exception counter for later display or printing
Print or display diagnostic information (such as the state information)
Halt further program execution
4-24 Vol. 1
DATA TYPES
Vol. 1 5-1
C H AP T E R 5
I N S T R U C T I O N S E T S U MMAR Y
This chapter provides an abridged overview of Intel 64 and IA-32 instructions. Instructions are divided into the
following groups:
General purpose
x87 FPU
x87 FPU and SIMD state management
Intel MMX technology
SSE extensions
SSE2 extensions
SSE3 extensions
SSSE3 extensions
SSE4 extensions
AESNI and PCLMULQDQ
Intel AVX extensions
F16C, RDRAND, FS/GS base access
FMA extensions
Intel AVX2 extensions
Intel Transactional Synchronization extensions
System instructions
IA-32e mode: 64-bit mode instructions
VMX instructions
SMX instructions
Table 5-1 lists the groups and IA-32 processors that support each group. More recent instruction set extensions are
listed in Table 5-2. Within these groups, most instructions are collected into functional subgroups.
Ta b le 5 -1 . I n st ru ct io n G ro u p s in I n t e l 6 4 a n d I A-3 2 P ro ce sso rs
I n st ru ct io n S e t
Arch it e ct u re I n t e l 6 4 a n d I A-3 2 P ro ce sso r S u p p o rt
General Purpose All Intel 64 and IA-32 processors
x87 FPU Intel486, Pentium, Pentium with MMX Technology, Celeron, PentiumPro, PentiumII, Pentium II Xeon,
Pentium III, Pentium III Xeon, Pentium4, Intel Xeon processors, Pentium M, Intel Core Solo, Intel Core Duo,
Intel Core 2 Duo processors, Intel Atomprocessors
x87 FPU and SIMD State
Management
Pentium II, Pentium II Xeon, Pentium III, PentiumIII Xeon, Pentium 4, Intel Xeon processors, Pentium M,
Intel Core Solo, Intel Core Duo, Intel Core 2 Duo processors, Intel Atom processors
MMX Technology Pentium with MMX Technology, Celeron, PentiumII, Pentium II Xeon, PentiumIII, Pentium III Xeon, Pentium
4, Intel Xeon processors, PentiumM, Intel Core Solo, Intel Core Duo, Intel Core 2 Duo processors, Intel Atom
processors
SSE Extensions Pentium III, Pentium III Xeon, Pentium 4, Intel Xeon processors, PentiumM, Intel Core Solo, Intel Core Duo,
Intel Core 2 Duo processors, Intel Atomprocessors
SSE2 Extensions Pentium 4, Intel Xeon processors, Pentium M, Intel Core Solo, Intel Core Duo, Intel Core 2 Duo processors,
Intel Atom processors
SSE3 Extensions Pentium 4 supporting HT Technology (built on 90nmprocess technology), Intel Core Solo, Intel Core Duo,
Intel Core 2 Duo processors, Intel Xeon processor 3xxxx, 5xxx, 7xxx Series, Intel Atom processors
5-2 Vol. 1
INSTRUCTION SET SUMMARY
The following sections list instructions in each major group and subgroup. Given for each instruction is its
mnemonic and descriptive names. When two or more mnemonics are given (for example, CMOVA/CMOVNBE), they
represent different mnemonics for the same instruction opcode. Assemblers support redundant mnemonics for
some instructions to make it easier to read code listings. For instance, CMOVA (Conditional move if above) and
CMOVNBE (Conditional move if not below or equal) represent the same condition. For detailed information about
specific instructions, see the Intel 64 and IA-32 Architectures Software Developers Manual, Volumes 3A & 3B.
5 .1 G E N E R AL-P U R P O S E I N S T R U C T I O N S
The general-purpose instructions preform basic data movement, arithmetic, logic, program flow, and string opera-
tions that programmers commonly use to write application and system software to run on Intel 64 and IA-32
processors. They operate on data contained in memory, in the general-purpose registers (EAX, EBX, ECX, EDX,
EDI, ESI, EBP, and ESP) and in the EFLAGS register. They also operate on address information contained in
memory, the general-purpose registers, and the segment registers (CS, DS, SS, ES, FS, and GS).
This group of instructions includes the data transfer, binary integer arithmetic, decimal arithmetic, logic operations,
shift and rotate, bit and byte operations, program control, string, flag control, segment register operations, and
miscellaneous subgroups. The sections that following introduce each subgroup.
For more detailed information on general purpose-instructions, see Chapter 7, Programming With General-
Purpose Instructions.
SSSE3 Extensions Intel Xeon processor 3xxx, 5100, 5200, 5300, 5400, 5500, 5600, 7300, 7400, 7500 series, Intel Core 2
Extreme processors QX6000 series, Intel Core 2 Duo, Intel Core 2 Quad processors, Intel PentiumDual-Core
processors, Intel Atomprocessors
IA-32e mode: 64-bit
mode instructions
Intel 64 processors
SystemInstructions Intel 64 and IA-32 processors
VMX Instructions Intel 64 and IA-32 processors supporting Intel Virtualization Technology
SMX Instructions Intel Core 2 Duo processor E6x50, E8xxx; Intel Core 2 Quad processor Q9xxx
Ta b le 5 -2 . R e ce n t I n st ru ct io n S e t E x t e n sio n s in I n t e l 6 4 a n d I A-3 2 P ro ce sso rs
I n st ru ct io n S e t
Arch it e ct u re P ro ce sso r G e n e ra t io n I n t ro d u ct io n
SSE4.1 Extensions Intel Xeon processor 3100, 3300, 5200, 5400, 7400, 7500 series, Intel Core 2 Extreme processors
QX9000 series, Intel Core 2 Quad processor Q9000 series, Intel Core 2 Duo processors 8000 series, T9000
series.
SSE4.2 Extensions Intel Core i7 965 processor, Intel Xeon processors X3400, X3500, X5500, X6500, X7500 series.
AESNI, PCLMULQDQ InteL Xeon processor E7 series, Intel Xeon processors X3600, X5600, Intel Core i7 980X processor; Use
CPUID to verify presence of AESNI and PCLMULQDQ across Intel Core processor families.
Intel AVX Intel Xeon processor E3 and E5 families; 2nd Generation Intel Core i7, i5, i3 processor 2xxx families.
F16C, RDRAND, FS/GS
base access
3rd Generation Intel Core processors, Intel Xeon processor E3-1200 v2 product family, Next Generation
Intel Xeon processors.
FMA, AVX2, BMI1, BMI2,
TSX, INVPCID
Intel Xeon processor E3-1200 v3 product family; 4th Generation Intel Core processor family.
Ta b le 5 -1 . I n st ru ct io n G ro u p s in I n t e l 6 4 a n d I A-3 2 P ro ce sso rs (C o n t d .)
I n st ru ct io n S e t
Arch it e ct u re I n t e l 6 4 a n d I A-3 2 P ro ce sso r S u p p o rt
Vol. 1 5-3
INSTRUCTION SET SUMMARY
5 .1 .1 D a t a T ra n sfe r I n st ru ct io n s
The data transfer instructions move data between memory and the general-purpose and segment registers. They
also perform specific operations such as conditional moves, stack access, and data conversion.
MOV Move data between general-purpose registers; move data between memory and general-
purpose or segment registers; move immediates to general-purpose registers
CMOVE/CMOVZ Conditional move if equal/Conditional move if zero
CMOVNE/CMOVNZ Conditional move if not equal/Conditional move if not zero
CMOVA/CMOVNBE Conditional move if above/Conditional move if not below or equal
CMOVAE/CMOVNB Conditional move if above or equal/Conditional move if not below
CMOVB/CMOVNAE Conditional move if below/Conditional move if not above or equal
CMOVBE/CMOVNA Conditional move if below or equal/Conditional move if not above
CMOVG/CMOVNLE Conditional move if greater/Conditional move if not less or equal
CMOVGE/CMOVNL Conditional move if greater or equal/Conditional move if not less
CMOVL/CMOVNGE Conditional move if less/Conditional move if not greater or equal
CMOVLE/CMOVNG Conditional move if less or equal/Conditional move if not greater
CMOVC Conditional move if carry
CMOVNC Conditional move if not carry
CMOVO Conditional move if overflow
CMOVNO Conditional move if not overflow
CMOVS Conditional move if sign (negative)
CMOVNS Conditional move if not sign (non-negative)
CMOVP/CMOVPE Conditional move if parity/Conditional move if parity even
CMOVNP/CMOVPO Conditional move if not parity/Conditional move if parity odd
XCHG Exchange
BSWAP Byte swap
XADD Exchange and add
CMPXCHG Compare and exchange
CMPXCHG8B Compare and exchange 8 bytes
PUSH Push onto stack
POP Pop off of stack
PUSHA/PUSHAD Push general-purpose registers onto stack
POPA/POPAD Pop general-purpose registers from stack
CWD/CDQ Convert word to doubleword/Convert doubleword to quadword
CBW/CWDE Convert byte to word/Convert word to doubleword in EAX register
MOVSX Move and sign extend
MOVZX Move and zero extend
5 .1 .2 B in a ry Arit h m e t ic I n st ru ct io n s
The binary arithmetic instructions perform basic binary integer computations on byte, word, and doubleword inte-
gers located in memory and/or the general purpose registers.
ADD Integer add
ADC Add with carry
SUB Subtract
SBB Subtract with borrow
IMUL Signed multiply
MUL Unsigned multiply
5-4 Vol. 1
INSTRUCTION SET SUMMARY
IDIV Signed divide
DIV Unsigned divide
INC Increment
DEC Decrement
NEG Negate
CMP Compare
5 .1 .3 D e cim a l Arit h m e t ic I n st ru ct io n s
The decimal arithmetic instructions perform decimal arithmetic on binary coded decimal (BCD) data.
DAA Decimal adjust after addition
DAS Decimal adjust after subtraction
AAA ASCII adjust after addition
AAS ASCII adjust after subtraction
AAM ASCII adjust after multiplication
AAD ASCII adjust before division
5 .1 .4 Lo g ica l I n st ru ct io n s
The logical instructions perform basic AND, OR, XOR, and NOT logical operations on byte, word, and doubleword
values.
AND Perform bitwise logical AND
OR Perform bitwise logical OR
XOR Perform bitwise logical exclusive OR
NOT Perform bitwise logical NOT
5 .1 .5 S h ift a n d R o t a t e I n st ru ct io n s
The shift and rotate instructions shift and rotate the bits in word and doubleword operands.
SAR Shift arithmetic right
SHR Shift logical right
SAL/SHL Shift arithmetic left/Shift logical left
SHRD Shift right double
SHLD Shift left double
ROR Rotate right
ROL Rotate left
RCR Rotate through carry right
RCL Rotate through carry left
5 .1 .6 B it a n d B y t e I n st ru ct io n s
Bit instructions test and modify individual bits in word and doubleword operands. Byte instructions set the value of
a byte operand to indicate the status of flags in the EFLAGS register.
BT Bit test
BTS Bit test and set
BTR Bit test and reset
BTC Bit test and complement
Vol. 1 5-5
INSTRUCTION SET SUMMARY
BSF Bit scan forward
BSR Bit scan reverse
SETE/SETZ Set byte if equal/Set byte if zero
SETNE/SETNZ Set byte if not equal/Set byte if not zero
SETA/SETNBE Set byte if above/Set byte if not below or equal
SETAE/SETNB/SETNC Set byte if above or equal/Set byte if not below/Set byte if not carry
SETB/SETNAE/SETCSet byte if below/Set byte if not above or equal/Set byte if carry
SETBE/SETNA Set byte if below or equal/Set byte if not above
SETG/SETNLE Set byte if greater/Set byte if not less or equal
SETGE/SETNL Set byte if greater or equal/Set byte if not less
SETL/SETNGE Set byte if less/Set byte if not greater or equal
SETLE/SETNG Set byte if less or equal/Set byte if not greater
SETS Set byte if sign (negative)
SETNS Set byte if not sign (non-negative)
SETO Set byte if overflow
SETNO Set byte if not overflow
SETPE/SETP Set byte if parity even/Set byte if parity
SETPO/SETNP Set byte if parity odd/Set byte if not parity
TEST Logical compare
5 .1 .7 C o n t ro l T ra n sfe r I n st ru ct io n s
The control transfer instructions provide jump, conditional jump, loop, and call and return operations to control
program flow.
JMP Jump
JE/JZ Jump if equal/Jump if zero
JNE/JNZ Jump if not equal/Jump if not zero
JA/JNBE Jump if above/Jump if not below or equal
JAE/JNB Jump if above or equal/Jump if not below
JB/JNAE Jump if below/Jump if not above or equal
JBE/JNA Jump if below or equal/Jump if not above
JG/JNLE Jump if greater/Jump if not less or equal
JGE/JNL Jump if greater or equal/Jump if not less
JL/JNGE Jump if less/Jump if not greater or equal
JLE/JNG Jump if less or equal/Jump if not greater
JC Jump if carry
JNC Jump if not carry
JO Jump if overflow
JNO Jump if not overflow
JS Jump if sign (negative)
JNS Jump if not sign (non-negative)
JPO/JNP Jump if parity odd/Jump if not parity
JPE/JP Jump if parity even/Jump if parity
JCXZ/JECXZ Jump register CX zero/Jump register ECX zero
LOOP Loop with ECX counter
LOOPZ/LOOPE Loop with ECX and zero/Loop with ECX and equal
LOOPNZ/LOOPNE Loop with ECX and not zero/Loop with ECX and not equal
5-6 Vol. 1
INSTRUCTION SET SUMMARY
CALL Call procedure
RET Return
IRET Return from interrupt
INT Software interrupt
INTO Interrupt on overflow
BOUND Detect value out of range
ENTER High-level procedure entry
LEAVE High-level procedure exit
5 .1 .8 S t rin g I n st ru ct io n s
The string instructions operate on strings of bytes, allowing them to be moved to and from memory.
MOVS/MOVSB Move string/Move byte string
MOVS/MOVSW Move string/Move word string
MOVS/MOVSD Move string/Move doubleword string
CMPS/CMPSB Compare string/Compare byte string
CMPS/CMPSW Compare string/Compare word string
CMPS/CMPSD Compare string/Compare doubleword string
SCAS/SCASB Scan string/Scan byte string
SCAS/SCASW Scan string/Scan word string
SCAS/SCASD Scan string/Scan doubleword string
LODS/LODSB Load string/Load byte string
LODS/LODSW Load string/Load word string
LODS/LODSD Load string/Load doubleword string
STOS/STOSB Store string/Store byte string
STOS/STOSW Store string/Store word string
STOS/STOSD Store string/Store doubleword string
REP Repeat while ECX not zero
REPE/REPZ Repeat while equal/Repeat while zero
REPNE/REPNZ Repeat while not equal/Repeat while not zero
5 .1 .9 I /O I n st ru ct io n s
These instructions move data between the processors I/O ports and a register or memory.
IN Read from a port
OUT Write to a port
INS/INSB Input string from port/Input byte string from port
INS/INSW Input string from port/Input word string from port
INS/INSD Input string from port/Input doubleword string from port
OUTS/OUTSB Output string to port/Output byte string to port
OUTS/OUTSW Output string to port/Output word string to port
OUTS/OUTSD Output string to port/Output doubleword string to port
5 .1 .1 0 E n t e r a n d Le a v e I n st ru ct io n s
These instructions provide machine-language support for procedure calls in block-structured languages.
ENTER High-level procedure entry
Vol. 1 5-7
INSTRUCTION SET SUMMARY
LEAVE High-level procedure exit
5 .1 .1 1 F la g C o n t ro l (E F LAG ) I n st ru ct io n s
The flag control instructions operate on the flags in the EFLAGS register.
STC Set carry flag
CLC Clear the carry flag
CMC Complement the carry flag
CLD Clear the direction flag
STD Set direction flag
LAHF Load flags into AH register
SAHF Store AH register into flags
PUSHF/PUSHFD Push EFLAGS onto stack
POPF/POPFD Pop EFLAGS from stack
STI Set interrupt flag
CLI Clear the interrupt flag
5 .1 .1 2 S e g m e n t R e g ist e r I n st ru ct io n s
The segment register instructions allow far pointers (segment addresses) to be loaded into the segment registers.
LDS Load far pointer using DS
LES Load far pointer using ES
LFS Load far pointer using FS
LGS Load far pointer using GS
LSS Load far pointer using SS
5 .1 .1 3 Misce lla n e o u s I n st ru ct io n s
The miscellaneous instructions provide such functions as loading an effective address, executing a no-operation,
and retrieving processor identification information.
LEA Load effective address
NOP No operation
UD2 Undefined instruction
XLAT/XLATB Table lookup translation
CPUID Processor identification
MOVBE Move data after swapping data bytes
5 .1 .1 4 R a n d o m N u m b e r G e n e ra t o r I n st ru ct io n
RDRAND Retrieves a random number generated from hardware.
5 .1 .1 5 B MI 1 , B MI 2
ANDN Bitwise AND of first source with inverted 2nd source operands.
BEXTR Contiguous bitwise extract
BLSI Extract lowest set bit
BLSMK Set all lower bits below first set bit to 1
BLSR Reset lowest set bit
5-8 Vol. 1
INSTRUCTION SET SUMMARY
BZHI Zero high bits starting from specified bit position
LZCNT Count the number leading zero bits
MULX Unsigned multiply without affecting arithmetic flags
PDEP Parallel deposit of bits using a mask
PEXT Parallel extraction of bits using a mask
RORX Rotate right without affecting arithmetic flags
SARX Shift arithmetic right
SHLX Shift logic left
SHRX Shift logic right
TZCNT Count the number trailing zero bits
5 .1 .1 5 .1 D e t e ct io n o f VE X-e n co d e d G P R I n st ru ct io n s, LZ C N T a n d T Z C N T
VEX-encoded general-purpose instructions do not operate on any vector registers.
There are separate feature flags for the following subsets of instructions that operate on general purpose registers,
and the detection requirements for hardware support are:
CPUID.(EAX=07H, ECX=0H):EBX.BMI1[bit 3]: if 1 indicates the processor supports the first group of advanced bit
manipulation extensions (ANDN, BEXTR, BLSI, BLSMK, BLSR, TZCNT);
CPUID.(EAX=07H, ECX=0H):EBX.BMI2[bit 8]: if 1 indicates the processor supports the second group of advanced
bit manipulation extensions (BZHI, MULX, PDEP, PEXT, RORX, SARX, SHLX, SHRX);
CPUID.EAX=80000001H:ECX.LZCNT[bit 5]: if 1 indicates the processor supports the LZCNT instruction.
5 .2 X8 7 F P U I N S T R U C T I O N S
The x87 FPU instructions are executed by the processors x87 FPU. These instructions operate on floating-point,
integer, and binary-coded decimal (BCD) operands. For more detail on x87 FPU instructions, see Chapter 8,
Programming with the x87 FPU.
These instructions are divided into the following subgroups: data transfer, load constants, and FPU control instruc-
tions. The sections that follow introduce each subgroup.
5 .2 .1 x 8 7 F P U D a t a T ra n sfe r I n st ru ct io n s
The data transfer instructions move floating-point, integer, and BCD values between memory and the x87 FPU
registers. They also perform conditional move operations on floating-point operands.
FLD Load floating-point value
FST Store floating-point value
FSTP Store floating-point value and pop
FILD Load integer
FIST Store integer
FISTP
1
Store integer and pop
FBLD Load BCD
FBSTP Store BCD and pop
FXCH Exchange registers
FCMOVE Floating-point conditional move if equal
FCMOVNE Floating-point conditional move if not equal
FCMOVB Floating-point conditional move if below
1. SSE3 provides an instruction FISTTP for integer conversion.
Vol. 1 5-9
INSTRUCTION SET SUMMARY
FCMOVBE Floating-point conditional move if below or equal
FCMOVNB Floating-point conditional move if not below
FCMOVNBE Floating-point conditional move if not below or equal
FCMOVU Floating-point conditional move if unordered
FCMOVNU Floating-point conditional move if not unordered
5 .2 .2 x 8 7 F P U B a sic Arit h m e t ic I n st ru ct io n s
The basic arithmetic instructions perform basic arithmetic operations on floating-point and integer operands.
FADD Add floating-point
FADDP Add floating-point and pop
FIADD Add integer
FSUB Subtract floating-point
FSUBP Subtract floating-point and pop
FISUB Subtract integer
FSUBR Subtract floating-point reverse
FSUBRP Subtract floating-point reverse and pop
FISUBR Subtract integer reverse
FMUL Multiply floating-point
FMULP Multiply floating-point and pop
FIMUL Multiply integer
FDIV Divide floating-point
FDIVP Divide floating-point and pop
FIDIV Divide integer
FDIVR Divide floating-point reverse
FDIVRP Divide floating-point reverse and pop
FIDIVR Divide integer reverse
FPREM Partial remainder
FPREM1 IEEE Partial remainder
FABS Absolute value
FCHS Change sign
FRNDINT Round to integer
FSCALE Scale by power of two
FSQRT Square root
FXTRACT Extract exponent and significand
5 .2 .3 x 8 7 F P U C o m p a riso n I n st ru ct io n s
The compare instructions examine or compare floating-point or integer operands.
FCOM Compare floating-point
FCOMP Compare floating-point and pop
FCOMPP Compare floating-point and pop twice
FUCOM Unordered compare floating-point
FUCOMP Unordered compare floating-point and pop
FUCOMPP Unordered compare floating-point and pop twice
FICOM Compare integer
FICOMP Compare integer and pop
5-10 Vol. 1
INSTRUCTION SET SUMMARY
FCOMI Compare floating-point and set EFLAGS
FUCOMI Unordered compare floating-point and set EFLAGS
FCOMIP Compare floating-point, set EFLAGS, and pop
FUCOMIP Unordered compare floating-point, set EFLAGS, and pop
FTST Test floating-point (compare with 0.0)
FXAM Examine floating-point
5 .2 .4 x 8 7 F P U T ra n sce n d e n t a l I n st ru ct io n s
The transcendental instructions perform basic trigonometric and logarithmic operations on floating-point operands.
FSIN Sine
FCOS Cosine
FSINCOS Sine and cosine
FPTAN Partial tangent
FPATAN Partial arctangent
F2XM1 2
x
1
FYL2X ylog
2
x
FYL2XP1 ylog
2
(x+1)
5 .2 .5 x 8 7 F P U Lo a d C o n st a n t s I n st ru ct io n s
The load constants instructions load common constants, such as , into the x87 floating-point registers.
FLD1 Load +1.0
FLDZ Load +0.0
FLDPI Load
FLDL2E Load log
2
e
FLDLN2 Load log
e
2
FLDL2T Load log
2
10
FLDLG2 Load log
10
2
5 .2 .6 x 8 7 F P U C o n t ro l I n st ru ct io n s
The x87 FPU control instructions operate on the x87 FPU register stack and save and restore the x87 FPU state.
FINCSTP Increment FPU register stack pointer
FDECSTP Decrement FPU register stack pointer
FFREE Free floating-point register
FINIT Initialize FPU after checking error conditions
FNINIT Initialize FPU without checking error conditions
FCLEX Clear floating-point exception flags after checking for error
conditions
FNCLEX Clear floating-point exception flags without checking for error
conditions
FSTCW Store FPU control word after checking error conditions
FNSTCW Store FPU control word without checking error conditions
FLDCW Load FPU control word
FSTENV Store FPU environment after checking error conditions
FNSTENV Store FPU environment without checking error conditions
FLDENV Load FPU environment
Vol. 1 5-11
INSTRUCTION SET SUMMARY
FSAVE Save FPU state after checking error conditions
FNSAVE Save FPU state without checking error conditions
FRSTOR Restore FPU state
FSTSW Store FPU status word after checking error conditions
FNSTSW Store FPU status word without checking error conditions
WAIT/FWAIT Wait for FPU
FNOP FPU no operation
5 .3 X8 7 F P U AN D S I MD S T AT E MAN AG E ME N T I N S T R U C T I O N S
Two state management instructions were introduced into the IA-32 architecture with the Pentium II processor
family:
FXSAVE Save x87 FPU and SIMD state
FXRSTOR Restore x87 FPU and SIMD state
Initially, these instructions operated only on the x87 FPU (and MMX) registers to perform a fast save and restore,
respectively, of the x87 FPU and MMX state. With the introduction of SSE extensions in the Pentium III processor
family, these instructions were expanded to also save and restore the state of the XMM and MXCSR registers. Intel
64 architecture also supports these instructions.
See Section 10.5, FXSAVE and FXRSTOR Instructions, for more detail.
5 .4 MMX I N S T R U C T I O N S
Four extensions have been introduced into the IA-32 architecture to permit IA-32 processors to perform single-
instruction multiple-data (SIMD) operations. These extensions include the MMX technology, SSE extensions, SSE2
extensions, and SSE3 extensions. For a discussion that puts SIMD instructions in their historical context, see
Section 2.2.7, SIMD Instructions.
MMX instructions operate on packed byte, word, doubleword, or quadword integer operands contained in memory,
in MMX registers, and/or in general-purpose registers. For more detail on these instructions, see Chapter 9,
Programming with Intel MMX Technology.
MMX instructions can only be executed on Intel 64 and IA-32 processors that support the MMX technology. Support
for these instructions can be detected with the CPUID instruction. See the description of the CPUID instruction in
Chapter 3, Instruction Set Reference, A-M, of the Intel 64 and IA-32 Architectures Software Developers
Manual, Volume 2A.
MMX instructions are divided into the following subgroups: data transfer, conversion, packed arithmetic, compar-
ison, logical, shift and rotate, and state management instructions. The sections that follow introduce each
subgroup.
5 .4 .1 MMX D a t a T ra n sfe r I n st ru ct io n s
The data transfer instructions move doubleword and quadword operands between MMX registers and between
MMX registers and memory.
MOVD Move doubleword
MOVQ Move quadword
5 .4 .2 MMX C o n v e rsio n I n st ru ct io n s
The conversion instructions pack and unpack bytes, words, and doublewords
PACKSSWB Pack words into bytes with signed saturation
PACKSSDW Pack doublewords into words with signed saturation
5-12 Vol. 1
INSTRUCTION SET SUMMARY
PACKUSWB Pack words into bytes with unsigned saturation.
PUNPCKHBW Unpack high-order bytes
PUNPCKHWD Unpack high-order words
PUNPCKHDQ Unpack high-order doublewords
PUNPCKLBW Unpack low-order bytes
PUNPCKLWD Unpack low-order words
PUNPCKLDQ Unpack low-order doublewords
5 .4 .3 MMX P a cke d Arit h m e t ic I n st ru ct io n s
The packed arithmetic instructions perform packed integer arithmetic on packed byte, word, and doubleword inte-
gers.
PADDB Add packed byte integers
PADDW Add packed word integers
PADDD Add packed doubleword integers
PADDSB Add packed signed byte integers with signed saturation
PADDSW Add packed signed word integers with signed saturation
PADDUSB Add packed unsigned byte integers with unsigned saturation
PADDUSW Add packed unsigned word integers with unsigned saturation
PSUBB Subtract packed byte integers
PSUBW Subtract packed word integers
PSUBD Subtract packed doubleword integers
PSUBSB Subtract packed signed byte integers with signed saturation
PSUBSW Subtract packed signed word integers with signed saturation
PSUBUSB Subtract packed unsigned byte integers with unsigned saturation
PSUBUSW Subtract packed unsigned word integers with unsigned
saturation
PMULHW Multiply packed signed word integers and store high result
PMULLW Multiply packed signed word integers and store low result
PMADDWD Multiply and add packed word integers
5 .4 .4 MMX C o m p a riso n I n st ru ct io n s
The compare instructions compare packed bytes, words, or doublewords.
PCMPEQB Compare packed bytes for equal
PCMPEQW Compare packed words for equal
PCMPEQD Compare packed doublewords for equal
PCMPGTB Compare packed signed byte integers for greater than
PCMPGTW Compare packed signed word integers for greater than
PCMPGTD Compare packed signed doubleword integers for greater than
5 .4 .5 MMX Lo g ica l I n st ru ct io n s
The logical instructions perform AND, AND NOT, OR, and XOR operations on quadword operands.
PAND Bitwise logical AND
PANDN Bitwise logical AND NOT
POR Bitwise logical OR
PXOR Bitwise logical exclusive OR
Vol. 1 5-13
INSTRUCTION SET SUMMARY
5 .4 .6 MMX S h ift a n d R o t a t e I n st ru ct io n s
The shift and rotate instructions shift and rotate packed bytes, words, or doublewords, or quadwords in 64-bit
operands.
PSLLW Shift packed words left logical
PSLLD Shift packed doublewords left logical
PSLLQ Shift packed quadword left logical
PSRLW Shift packed words right logical
PSRLD Shift packed doublewords right logical
PSRLQ Shift packed quadword right logical
PSRAW Shift packed words right arithmetic
PSRAD Shift packed doublewords right arithmetic
5 .4 .7 MMX S t a t e Ma n a g e m e n t I n st ru ct io n s
The EMMS instruction clears the MMX state from the MMX registers.
EMMS Empty MMX state
5 .5 S S E I N S T R U C T I O N S
SSE instructions represent an extension of the SIMD execution model introduced with the MMX technology. For
more detail on these instructions, see Chapter 10, Programming with Streaming SIMD Extensions (SSE).
SSE instructions can only be executed on Intel 64 and IA-32 processors that support SSE extensions. Support for
these instructions can be detected with the CPUID instruction. See the description of the CPUID instruction in
Chapter 3, Instruction Set Reference, A-M, of the Intel 64 and IA-32 Architectures Software Developers
Manual, Volume 2A.
SSE instructions are divided into four subgroups (note that the first subgroup has subordinate subgroups of its
own):
SIMD single-precision floating-point instructions that operate on the XMM registers
MXSCR state management instructions
64-bit SIMD integer instructions that operate on the MMX registers
Cacheability control, prefetch, and instruction ordering instructions
The following sections provide an overview of these groups.
5 .5 .1 S S E S I MD S in g le -P re cisio n F lo a t in g -P o in t I n st ru ct io n s
These instructions operate on packed and scalar single-precision floating-point values located in XMM registers
and/or memory. This subgroup is further divided into the following subordinate subgroups: data transfer, packed
arithmetic, comparison, logical, shuffle and unpack, and conversion instructions.
5 .5 .1 .1 S S E D a t a T ra n sfe r I n st ru ct io n s
SSE data transfer instructions move packed and scalar single-precision floating-point operands between XMM
registers and between XMM registers and memory.
MOVAPS Move four aligned packed single-precision floating-point values between XMM registers or
between and XMM register and memory
MOVUPS Move four unaligned packed single-precision floating-point values between XMM registers or
between and XMM register and memory
5-14 Vol. 1
INSTRUCTION SET SUMMARY
MOVHPS Move two packed single-precision floating-point values to an from the high quadword of an
XMM register and memory
MOVHLPS Move two packed single-precision floating-point values from the high quadword of an XMM
register to the low quadword of another XMM register
MOVLPS Move two packed single-precision floating-point values to an from the low quadword of an
XMM register and memory
MOVLHPS Move two packed single-precision floating-point values from the low quadword of an XMM
register to the high quadword of another XMM register
MOVMSKPS Extract sign mask from four packed single-precision floating-point values
MOVSS Move scalar single-precision floating-point value between XMM registers or between an XMM
register and memory
5 .5 .1 .2 S S E P a cke d Arit h m e t ic I n st ru ct io n s
SSE packed arithmetic instructions perform packed and scalar arithmetic operations on packed and scalar single-
precision floating-point operands.
ADDPS Add packed single-precision floating-point values
ADDSS Add scalar single-precision floating-point values
SUBPS Subtract packed single-precision floating-point values
SUBSS Subtract scalar single-precision floating-point values
MULPS Multiply packed single-precision floating-point values
MULSS Multiply scalar single-precision floating-point values
DIVPS Divide packed single-precision floating-point values
DIVSS Divide scalar single-precision floating-point values
RCPPS Compute reciprocals of packed single-precision floating-point values
RCPSS Compute reciprocal of scalar single-precision floating-point values
SQRTPS Compute square roots of packed single-precision floating-point values
SQRTSS Compute square root of scalar single-precision floating-point values
RSQRTPS Compute reciprocals of square roots of packed single-precision floating-point values
RSQRTSS Compute reciprocal of square root of scalar single-precision floating-point values
MAXPS Return maximum packed single-precision floating-point values
MAXSS Return maximum scalar single-precision floating-point values
MINPS Return minimum packed single-precision floating-point values
MINSS Return minimum scalar single-precision floating-point values
5 .5 .1 .3 S S E C o m p a riso n I n st ru ct io n s
SSE compare instructions compare packed and scalar single-precision floating-point operands.
CMPPS Compare packed single-precision floating-point values
CMPSS Compare scalar single-precision floating-point values
COMISS Perform ordered comparison of scalar single-precision floating-point values and set flags in
EFLAGS register
UCOMISS Perform unordered comparison of scalar single-precision floating-point values and set flags in
EFLAGS register
5 .5 .1 .4 S S E Lo g ica l I n st ru ct io n s
SSE logical instructions perform bitwise AND, AND NOT, OR, and XOR operations on packed single-precision
floating-point operands.
ANDPS Perform bitwise logical AND of packed single-precision floating-point values
Vol. 1 5-15
INSTRUCTION SET SUMMARY
ANDNPS Perform bitwise logical AND NOT of packed single-precision floating-point values
ORPS Perform bitwise logical OR of packed single-precision floating-point values
XORPS Perform bitwise logical XOR of packed single-precision floating-point values
5 .5 .1 .5 S S E S h u f f le a n d U n p a ck I n st ru ct io n s
SSE shuffle and unpack instructions shuffle or interleave single-precision floating-point values in packed single-
precision floating-point operands.
SHUFPS Shuffles values in packed single-precision floating-point
operands
UNPCKHPS Unpacks and interleaves the two high-order values from two single-precision floating-point
operands
UNPCKLPS Unpacks and interleaves the two low-order values from two single-precision floating-point
operands
5 .5 .1 .6 S S E C o n v e rsio n I n st ru ct io n s
SSE conversion instructions convert packed and individual doubleword integers into packed and scalar single-
precision floating-point values and vice versa.
CVTPI2PS Convert packed doubleword integers to packed single-precision floating-point values
CVTSI2SS Convert doubleword integer to scalar single-precision floating-point value
CVTPS2PI Convert packed single-precision floating-point values to packed doubleword integers
CVTTPS2PI Convert with truncation packed single-precision floating-point values to packed doubleword
integers
CVTSS2SI Convert a scalar single-precision floating-point value to a doubleword integer
CVTTSS2SI Convert with truncation a scalar single-precision floating-point value to a scalar doubleword
integer
5 .5 .2 S S E MXC S R S t a t e Ma n a g e m e n t I n st ru ct io n s
MXCSR state management instructions allow saving and restoring the state of the MXCSR control and status
register.
LDMXCSR Load MXCSR register
STMXCSR Save MXCSR register state
5 .5 .3 S S E 6 4 -B it S I MD I n t e g e r I n st ru ct io n s
These SSE 64-bit SIMD integer instructions perform additional operations on packed bytes, words, or doublewords
contained in MMX registers. They represent enhancements to the MMX instruction set described in Section 5.4,
MMX Instructions.
PAVGB Compute average of packed unsigned byte integers
PAVGW Compute average of packed unsigned word integers
PEXTRW Extract word
PINSRW Insert word
PMAXUB Maximum of packed unsigned byte integers
PMAXSW Maximum of packed signed word integers
PMINUB Minimum of packed unsigned byte integers
PMINSW Minimum of packed signed word integers
PMOVMSKB Move byte mask
PMULHUW Multiply packed unsigned integers and store high result
5-16 Vol. 1
INSTRUCTION SET SUMMARY
PSADBW Compute sum of absolute differences
PSHUFW Shuffle packed integer word in MMX register
5 .5 .4 S S E C a ch e a b ilit y C o n t ro l, P re fe t ch , a n d I n st ru ct io n O rd e rin g I n st ru ct io n s
The cacheability control instructions provide control over the caching of non-temporal data when storing data from
the MMX and XMM registers to memory. The PREFETCHh allows data to be prefetched to a selected cache level. The
SFENCE instruction controls instruction ordering on store operations.
MASKMOVQ Non-temporal store of selected bytes from an MMX register into memory
MOVNTQ Non-temporal store of quadword from an MMX register into memory
MOVNTPS Non-temporal store of four packed single-precision floating-point values from an XMM register
into memory
PREFETCHh Load 32 or more of bytes from memory to a selected level of the processors cache hierarchy
SFENCE Serializes store operations
5 .6 S S E 2 I N S T R U C T I O N S
SSE2 extensions represent an extension of the SIMD execution model introduced with MMX technology and the
SSE extensions. SSE2 instructions operate on packed double-precision floating-point operands and on packed
byte, word, doubleword, and quadword operands located in the XMM registers. For more detail on these instruc-
tions, see Chapter 11, Programming with Streaming SIMD Extensions 2 (SSE2).
SSE2 instructions can only be executed on Intel 64 and IA-32 processors that support the SSE2 extensions.
Support for these instructions can be detected with the CPUID instruction. See the description of the CPUID
instruction in Chapter 3, Instruction Set Reference, A-M, of the Intel 64 and IA-32 Architectures Software
Developers Manual, Volume 2A.
These instructions are divided into four subgroups (note that the first subgroup is further divided into subordinate
subgroups):
Packed and scalar double-precision floating-point instructions
Packed single-precision floating-point conversion instructions
128-bit SIMD integer instructions
Cacheability-control and instruction ordering instructions
The following sections give an overview of each subgroup.
5 .6 .1 S S E 2 P a cke d a n d S ca la r D o u b le -P re cisio n F lo a t in g -P o in t I n st ru ct io n s
SSE2 packed and scalar double-precision floating-point instructions are divided into the following subordinate
subgroups: data movement, arithmetic, comparison, conversion, logical, and shuffle operations on double-preci-
sion floating-point operands. These are introduced in the sections that follow.
5 .6 .1 .1 S S E 2 D a t a Mo v e m e n t I n st ru ct io n s
SSE2 data movement instructions move double-precision floating-point data between XMM registers and between
XMM registers and memory.
MOVAPD Move two aligned packed double-precision floating-point values between XMM registers or
between and XMM register and memory
MOVUPD Move two unaligned packed double-precision floating-point values between XMM registers or
between and XMM register and memory
MOVHPD Move high packed double-precision floating-point value to an from the high quadword of an
XMM register and memory
Vol. 1 5-17
INSTRUCTION SET SUMMARY
MOVLPD Move low packed single-precision floating-point value to an from the low quadword of an XMM
register and memory
MOVMSKPD Extract sign mask from two packed double-precision floating-point values
MOVSD Move scalar double-precision floating-point value between XMM registers or between an XMM
register and memory
5 .6 .1 .2 S S E 2 P a cke d Arit h m e t ic I n st ru ct io n s
The arithmetic instructions perform addition, subtraction, multiply, divide, square root, and maximum/minimum
operations on packed and scalar double-precision floating-point operands.
ADDPD Add packed double-precision floating-point values
ADDSD Add scalar double precision floating-point values
SUBPD Subtract scalar double-precision floating-point values
SUBSD Subtract scalar double-precision floating-point values
MULPD Multiply packed double-precision floating-point values
MULSD Multiply scalar double-precision floating-point values
DIVPD Divide packed double-precision floating-point values
DIVSD Divide scalar double-precision floating-point values
SQRTPD Compute packed square roots of packed double-precision floating-point values
SQRTSD Compute scalar square root of scalar double-precision floating-point values
MAXPD Return maximum packed double-precision floating-point values
MAXSD Return maximum scalar double-precision floating-point values
MINPD Return minimum packed double-precision floating-point values
MINSD Return minimum scalar double-precision floating-point values
5 .6 .1 .3 S S E 2 Lo g ica l I n st ru ct io n s
SSE2 logical instructions preform AND, AND NOT, OR, and XOR operations on packed double-precision floating-
point values.
ANDPD Perform bitwise logical AND of packed double-precision floating-point values
ANDNPD Perform bitwise logical AND NOT of packed double-precision floating-point values
ORPD Perform bitwise logical OR of packed double-precision floating-point values
XORPD Perform bitwise logical XOR of packed double-precision floating-point values
5 .6 .1 .4 S S E 2 C o m p a re I n st ru ct io n s
SSE2 compare instructions compare packed and scalar double-precision floating-point values and return the
results of the comparison either to the destination operand or to the EFLAGS register.
CMPPD Compare packed double-precision floating-point values
CMPSD Compare scalar double-precision floating-point values
COMISD Perform ordered comparison of scalar double-precision floating-point values and set flags in
EFLAGS register
UCOMISD Perform unordered comparison of scalar double-precision floating-point values and set flags in
EFLAGS register.
5 .6 .1 .5 S S E 2 S h u f f le a n d U n p a ck I n st ru ct io n s
SSE2 shuffle and unpack instructions shuffle or interleave double-precision floating-point values in packed double-
precision floating-point operands.
5-18 Vol. 1
INSTRUCTION SET SUMMARY
SHUFPD Shuffles values in packed double-precision floating-point
operands
UNPCKHPD Unpacks and interleaves the high values from two packed double-precision floating-point
operands
UNPCKLPD Unpacks and interleaves the low values from two packed double-precision floating-point oper-
ands
5 .6 .1 .6 S S E 2 C o n v e rsio n I n st ru ct io n s
SSE2 conversion instructions convert packed and individual doubleword integers into packed and scalar double-
precision floating-point values and vice versa. They also convert between packed and scalar single-precision and
double-precision floating-point values.
CVTPD2PI Convert packed double-precision floating-point values to packed doubleword integers.
CVTTPD2PI Convert with truncation packed double-precision floating-point values to packed doubleword
integers
CVTPI2PD Convert packed doubleword integers to packed double-precision floating-point values
CVTPD2DQ Convert packed double-precision floating-point values to packed doubleword integers
CVTTPD2DQ Convert with truncation packed double-precision floating-point values to packed doubleword
integers
CVTDQ2PD Convert packed doubleword integers to packed double-precision floating-point values
CVTPS2PD Convert packed single-precision floating-point values to packed double-precision floating-
point values
CVTPD2PS Convert packed double-precision floating-point values to packed single-precision floating-
point values
CVTSS2SD Convert scalar single-precision floating-point values to scalar double-precision floating-point
values
CVTSD2SS Convert scalar double-precision floating-point values to scalar single-precision floating-point
values
CVTSD2SI Convert scalar double-precision floating-point values to a doubleword integer
CVTTSD2SI Convert with truncation scalar double-precision floating-point values to scalar doubleword
integers
CVTSI2SD Convert doubleword integer to scalar double-precision floating-point value
5 .6 .2 S S E 2 P a cke d S in g le -P re cisio n F lo a t in g -P o in t I n st ru ct io n s
SSE2 packed single-precision floating-point instructions perform conversion operations on single-precision
floating-point and integer operands. These instructions represent enhancements to the SSE single-precision
floating-point instructions.
CVTDQ2PS Convert packed doubleword integers to packed single-precision floating-point values
CVTPS2DQ Convert packed single-precision floating-point values to packed doubleword integers
CVTTPS2DQ Convert with truncation packed single-precision floating-point values to packed doubleword
integers
5 .6 .3 S S E 2 1 2 8 -B it S I MD I n t e g e r I n st ru ct io n s
SSE2 SIMD integer instructions perform additional operations on packed words, doublewords, and quadwords
contained in XMM and MMX registers.
MOVDQA Move aligned double quadword.
MOVDQU Move unaligned double quadword
MOVQ2DQ Move quadword integer from MMX to XMM registers
MOVDQ2Q Move quadword integer from XMM to MMX registers
Vol. 1 5-19
INSTRUCTION SET SUMMARY
PMULUDQ Multiply packed unsigned doubleword integers
PADDQ Add packed quadword integers
PSUBQ Subtract packed quadword integers
PSHUFLW Shuffle packed low words
PSHUFHW Shuffle packed high words
PSHUFD Shuffle packed doublewords
PSLLDQ Shift double quadword left logical
PSRLDQ Shift double quadword right logical
PUNPCKHQDQ Unpack high quadwords
PUNPCKLQDQ Unpack low quadwords
5 .6 .4 S S E 2 C a ch e a b ilit y C o n t ro l a n d O rd e rin g I n st ru ct io n s
SSE2 cacheability control instructions provide additional operations for caching of non-temporal data when storing
data from XMM registers to memory. LFENCE and MFENCE provide additional control of instruction ordering on
store operations.
CLFLUSH Flushes and invalidates a memory operand and its associated cache line from all levels of the
processors cache hierarchy
LFENCE Serializes load operations
MFENCE Serializes load and store operations
PAUSE Improves the performance of spin-wait loops
MASKMOVDQU Non-temporal store of selected bytes from an XMM register into memory
MOVNTPD Non-temporal store of two packed double-precision floating-point values from an XMM
register into memory
MOVNTDQ Non-temporal store of double quadword from an XMM register into memory
MOVNTI Non-temporal store of a doubleword from a general-purpose register into memory
5 .7 S S E 3 I N S T R U C T I O N S
The SSE3 extensions offers 13 instructions that accelerate performance of Streaming SIMD Extensions technology,
Streaming SIMD Extensions 2 technology, and x87-FP math capabilities. These instructions can be grouped into
the following categories:
One x87FPU instruction used in integer conversion
One SIMD integer instruction that addresses unaligned data loads
Two SIMD floating-point packed ADD/SUB instructions
Four SIMD floating-point horizontal ADD/SUB instructions
Three SIMD floating-point LOAD/MOVE/DUPLICATE instructions
Two thread synchronization instructions
SSE3 instructions can only be executed on Intel 64 and IA-32 processors that support SSE3 extensions. Support
for these instructions can be detected with the CPUID instruction. See the description of the CPUID instruction in
Chapter 3, Instruction Set Reference, A-M, of the Intel 64 and IA-32 Architectures Software Developers
Manual, Volume 2A.
The sections that follow describe each subgroup.
5 .7.1 S S E 3 x 8 7 -F P I n t e g e r C o n v e rsio n I n st ru ct io n
FISTTP Behaves like the FISTP instruction but uses truncation, irrespective of the rounding mode
specified in the floating-point control word (FCW)
5-20 Vol. 1
INSTRUCTION SET SUMMARY
5 .7.2 S S E 3 S p e cia lize d 1 2 8 -b it U n a lig n e d D a t a Lo a d I n st ru ct io n
LDDQU Special 128-bit unaligned load designed to avoid cache line splits
5 .7.3 S S E 3 S I MD F lo a t in g -P o in t P a cke d AD D /S U B I n st ru ct io n s
ADDSUBPS Performs single-precision addition on the second and fourth pairs of 32-bit data elements
within the operands; single-precision subtraction on the first and third pairs
ADDSUBPD Performs double-precision addition on the second pair of quadwords, and double-precision
subtraction on the first pair
5 .7.4 S S E 3 S I MD F lo a t in g -P o in t H o rizo n t a l AD D /S U B I n st ru ct io n s
HADDPS Performs a single-precision addition on contiguous data elements. The first data element of
the result is obtained by adding the first and second elements of the first operand; the second
element by adding the third and fourth elements of the first operand; the third by adding the
first and second elements of the second operand; and the fourth by adding the third and fourth
elements of the second operand.
HSUBPS Performs a single-precision subtraction on contiguous data elements. The first data element of
the result is obtained by subtracting the second element of the first operand from the first
element of the first operand; the second element by subtracting the fourth element of the first
operand from the third element of the first operand; the third by subtracting the second
element of the second operand from the first element of the second operand; and the fourth
by subtracting the fourth element of the second operand from the third element of the second
operand.
HADDPD Performs a double-precision addition on contiguous data elements. The first data element of
the result is obtained by adding the first and second elements of the first operand; the second
element by adding the first and second elements of the second operand.
HSUBPD Performs a double-precision subtraction on contiguous data elements. The first data element
of the result is obtained by subtracting the second element of the first operand from the first
element of the first operand; the second element by subtracting the second element of the
second operand from the first element of the second operand.
5 .7.5 S S E 3 S I MD F lo a t in g -P o in t LO AD /MO VE /D U P LI C AT E I n st ru ct io n s
MOVSHDUP Loads/moves 128 bits; duplicating the second and fourth 32-bit data elements
MOVSLDUP Loads/moves 128 bits; duplicating the first and third 32-bit data elements
MOVDDUP Loads/moves 64 bits (bits[63:0] if the source is a register) and returns the same 64 bits in
both the lower and upper halves of the 128-bit result register; duplicates the 64 bits from the
source
5 .7.6 S S E 3 Ag e n t S y n ch ro n iza t io n I n st ru ct io n s
MONITOR Sets up an address range used to monitor write-back stores
MWAIT Enables a logical processor to enter into an optimized state while waiting for a write-back store
to the address range set up by the MONITOR instruction
5 .8 S U P P LE ME N T AL S T R E AMI N G S I MD E XT E N S I O N S 3 (S S S E 3 ) I N S T R U C T I O N S
SSSE3 provide 32 instructions (represented by 14 mnemonics) to accelerate computations on packed integers.
These include:
Twelve instructions that perform horizontal addition or subtraction operations.
Vol. 1 5-21
INSTRUCTION SET SUMMARY
Six instructions that evaluate absolute values.
Two instructions that perform multiply and add operations and speed up the evaluation of dot products.
Two instructions that accelerate packed-integer multiply operations and produce integer values with scaling.
Two instructions that perform a byte-wise, in-place shuffle according to the second shuffle control operand.
Six instructions that negate packed integers in the destination operand if the signs of the corresponding
element in the source operand is less than zero.
Two instructions that align data from the composite of two operands.
SSSE3 instructions can only be executed on Intel 64 and IA-32 processors that support SSSE3 extensions. Support
for these instructions can be detected with the CPUID instruction. See the description of the CPUID instruction in
Chapter 3, Instruction Set Reference, A-M, of the Intel 64 and IA-32 Architectures Software Developers
Manual, Volume 2A.
The sections that follow describe each subgroup.
5 .8 .1 H o rizo n t a l Ad d it io n /S u b t ra ct io n
PHADDW Adds two adjacent, signed 16-bit integers horizontally from the source and destination oper-
ands and packs the signed 16-bit results to the destination operand.
PHADDSW Adds two adjacent, signed 16-bit integers horizontally from the source and destination oper-
ands and packs the signed, saturated 16-bit results to the destination operand.
PHADDD Adds two adjacent, signed 32-bit integers horizontally from the source and destination oper-
ands and packs the signed 32-bit results to the destination operand.
PHSUBW Performs horizontal subtraction on each adjacent pair of 16-bit signed integers by subtracting
the most significant word from the least significant word of each pair in the source and desti-
nation operands. The signed 16-bit results are packed and written to the destination operand.
PHSUBSW Performs horizontal subtraction on each adjacent pair of 16-bit signed integers by subtracting
the most significant word from the least significant word of each pair in the source and desti-
nation operands. The signed, saturated 16-bit results are packed and written to the destina-
tion operand.
PHSUBD Performs horizontal subtraction on each adjacent pair of 32-bit signed integers by subtracting
the most significant doubleword from the least significant double word of each pair in the
source and destination operands. The signed 32-bit results are packed and written to the
destination operand.
5 .8 .2 P a cke d Ab so lu t e Va lu e s
PABSB Computes the absolute value of each signed byte data element.
PABSW Computes the absolute value of each signed 16-bit data element.
PABSD Computes the absolute value of each signed 32-bit data element.
5 .8 .3 Mu lt ip ly a n d Ad d P a cke d S ig n e d a n d U n sig n e d B y t e s
PMADDUBSW Multiplies each unsigned byte value with the corresponding signed byte value to produce an
intermediate, 16-bit signed integer. Each adjacent pair of 16-bit signed values are added hori-
zontally. The signed, saturated 16-bit results are packed to the destination operand.
5 .8 .4 P a cke d Mu lt ip ly H ig h w it h R o u n d a n d S ca le
PMULHRSW Multiplies vertically each signed 16-bit integer from the destination operand with the corre-
sponding signed 16-bit integer of the source operand, producing intermediate, signed 32-bit
integers. Each intermediate 32-bit integer is truncated to the 18 most significant bits.
Rounding is always performed by adding 1 to the least significant bit of the 18-bit intermediate
5-22 Vol. 1
INSTRUCTION SET SUMMARY
result. The final result is obtained by selecting the 16 bits immediately to the right of the most
significant bit of each 18-bit intermediate result and packed to the destination operand.
5 .8 .5 P a cke d S h u ffle B y t e s
PSHUFB Permutes each byte in place, according to a shuffle control mask. The least significant three or
four bits of each shuffle control byte of the control mask form the shuffle index. The shuffle
mask is unaffected. If the most significant bit (bit 7) of a shuffle control byte is set, the
constant zero is written in the result byte.
5 .8 .6 P a cke d S ig n
PSIGNB/W/D Negates each signed integer element of the destination operand if the sign of the corre-
sponding data element in the source operand is less than zero.
5 .8 .7 P a cke d Alig n R ig h t
PALIGNR Source operand is appended after the destination operand forming an intermediate value of
twice the width of an operand. The result is extracted from the intermediate value into the
destination operand by selecting the 128 bit or 64 bit value that are right-aligned to the byte
offset specified by the immediate value.
5 .9 S S E 4 I N S T R U C T I O N S
Intel Streaming SIMD Extensions 4 (SSE4) introduces 54 new instructions. 47 of the SSE4 instructions are
referred to as SSE4.1 in this document, 7 new SSE4 instructions are referred to as SSE4.2.
SSE4.1 is targeted to improve the performance of media, imaging, and 3D workloads. SSE4.1 adds instructions
that improve compiler vectorization and significantly increase support for packed dword computation. The tech-
nology also provides a hint that can improve memory throughput when reading from uncacheable WC memory
type.
The 47 SSE4.1 instructions include:
Two instructions perform packed dword multiplies.
Two instructions perform floating-point dot products with input/output selects.
One instruction performs a load with a streaming hint.
Six instructions simplify packed blending.
Eight instructions expand support for packed integer MIN/MAX.
Four instructions support floating-point round with selectable rounding mode and precision exception override.
Seven instructions improve data insertion and extractions from XMM registers
Twelve instructions improve packed integer format conversions (sign and zero extensions).
One instruction improves SAD (sum absolute difference) generation for small block sizes.
One instruction aids horizontal searching operations.
One instruction improves masked comparisons.
One instruction adds qword packed equality comparisons.
One instruction adds dword packing with unsigned saturation.
The seven SSE4.2 instructions include:
String and text processing that can take advantage of single-instruction multiple-data programming
techniques.
Application-targeted accelerator (ATA) instructions.
Vol. 1 5-23
INSTRUCTION SET SUMMARY
A SIMD integer instruction that enhances the capability of the 128-bit integer SIMD capability in SSE4.1.
5 .1 0 S S E 4 .1 I N S T R U C T I O N S
SSE4.1 instructions can use an XMM register as a source or destination. Programming SSE4.1 is similar to
programming 128-bit Integer SIMD and floating-point SIMD instructions in SSE/SSE2/SSE3/SSSE3. SSE4.1 does
not provide any 64-bit integer SIMD instructions operating on MMX registers. The sections that follow describe
each subgroup.
5 .1 0 .1 D w o rd Mu lt ip ly I n st ru ct io n s
PMULLD Returns four lower 32-bits of the 64-bit results of signed 32-bit integer multiplies.
PMULDQ Returns two 64-bit signed result of signed 32-bit integer multiplies.
5 .1 0 .2 F lo a t in g -P o in t D o t P ro d u ct I n st ru ct io n s
DPPD Perform double-precision dot product for up to 2 elements and broadcast.
DPPS Perform single-precision dot products for up to 4 elements and broadcast
5 .1 0 .3 S t re a m in g Lo a d H in t I n st ru ct io n
MOVNTDQA Provides a non-temporal hint that can cause adjacent 16-byte items within an aligned 64-byte
region (a streaming line) to be fetched and held in a small set of temporary buffers
(streaming load buffers). Subsequent streaming loads to other aligned 16-byte items in the
same streaming line may be supplied from the streaming load buffer and can improve
throughput.
5 .1 0 .4 P a cke d B le n d in g I n st ru ct io n s
BLENDPD Conditionally copies specified double-precision floating-point data elements in the source
operand to the corresponding data elements in the destination, using an immediate byte
control.
BLENDPS Conditionally copies specified single-precision floating-point data elements in the source
operand to the corresponding data elements in the destination, using an immediate byte
control.
BLENDVPD Conditionally copies specified double-precision floating-point data elements in the source
operand to the corresponding data elements in the destination, using an implied mask.
BLENDVPS Conditionally copies specified single-precision floating-point data elements in the source
operand to the corresponding data elements in the destination, using an implied mask.
PBLENDVB Conditionally copies specified byte elements in the source operand to the corresponding
elements in the destination, using an implied mask.
PBLENDW Conditionally copies specified word elements in the source operand to the corresponding
elements in the destination, using an immediate byte control.
5 .1 0 .5 P a cke d I n t e g e r MI N /MAX I n st ru ct io n s
PMINUW Compare packed unsigned word integers.
PMINUD Compare packed unsigned dword integers.
PMINSB Compare packed signed byte integers.
PMINSD Compare packed signed dword integers.
PMAXUW Compare packed unsigned word integers.
5-24 Vol. 1
INSTRUCTION SET SUMMARY
PMAXUD Compare packed unsigned dword integers.
PMAXSB Compare packed signed byte integers.
PMAXSD Compare packed signed dword integers.
5 .1 0 .6 F lo a t in g -P o in t R o u n d I n st ru ct io n s w it h S e le ct a b le R o u n d in g Mo d e
ROUNDPS Round packed single precision floating-point values into integer values and return rounded
floating-point values.
ROUNDPD Round packed double precision floating-point values into integer values and return rounded
floating-point values.
ROUNDSS Round the low packed single precision floating-point value into an integer value and return a
rounded floating-point value.
ROUNDSD Round the low packed double precision floating-point value into an integer value and return a
rounded floating-point value.
5 .1 0 .7 I n se rt io n a n d E x t ra ct io n s fro m XMM R e g ist e rs
EXTRACTPS Extracts a single-precision floating-point value from a specified offset in an XMM register and
stores the result to memory or a general-purpose register
INSERTPS Inserts a single-precision floating-point value from either a 32-bit memory location or selected
from a specified offset in an XMM register to a specified offset in the destination XMM register.
In addition, INSERTPS allows zeroing out selected data elements in the destination, using a
mask.
PINSRB Insert a byte value from a register or memory into an XMM register
PINSRD Insert a dword value from 32-bit register or memory into an XMM register
PINSRQ Insert a qword value from 64-bit register or memory into an XMM register
PEXTRB Extract a byte from an XMM register and insert the value into a general-purpose register or
memory
PEXTRW Extract a word from an XMM register and insert the value into a general-purpose register or
memory
PEXTRD Extract a dword from an XMM register and insert the value into a general-purpose register or
memory
PEXTRQ Extract a qword from an XMM register and insert the value into a general-purpose register or
memory
5 .1 0 .8 P a cke d I n t e g e r Fo rm a t C o n v e rsio n s
PMOVSXBW Sign extend the lower 8-bit integer of each packed word element into packed signed word
integers.
PMOVZXBW Zero extend the lower 8-bit integer of each packed word element into packed signed word
integers.
PMOVSXBD Sign extend the lower 8-bit integer of each packed dword element into packed signed dword
integers.
PMOVZXBD Zero extend the lower 8-bit integer of each packed dword element into packed signed dword
integers.
PMOVSXWD Sign extend the lower 16-bit integer of each packed dword element into packed signed dword
integers.
PMOVZXWD Zero extend the lower 16-bit integer of each packed dword element into packed signed dword
integers..
PMOVSXBQ Sign extend the lower 8-bit integer of each packed qword element into packed signed qword
integers.
Vol. 1 5-25
INSTRUCTION SET SUMMARY
PMOVZXBQ Zero extend the lower 8-bit integer of each packed qword element into packed signed qword
integers.
PMOVSXWQ Sign extend the lower 16-bit integer of each packed qword element into packed signed qword
integers.
PMOVZXWQ Zero extend the lower 16-bit integer of each packed qword element into packed signed qword
integers.
PMOVSXDQ Sign extend the lower 32-bit integer of each packed qword element into packed signed qword
integers.
PMOVZXDQ Zero extend the lower 32-bit integer of each packed qword element into packed signed qword
integers.
5 .1 0 .9 I m p ro v e d S u m s o f Ab so lu t e D iffe re n ce s (S AD ) fo r 4 -B y t e B lo ck s
MPSADBW Performs eight 4-byte wide Sum of Absolute Differences operations to produce eight word
integers.
5 .1 0 .1 0 H o rizo n t a l S e a rch
PHMINPOSUW Finds the value and location of the minimum unsigned word from one of 8 horizontally packed
unsigned words. The resulting value and location (offset within the source) are packed into
the low dword of the destination XMM register.
5 .1 0 .1 1 P a cke d Te st
PTEST Performs a logical AND between the destination with this mask and sets the ZF flag if the
result is zero. The CF flag (zero for TEST) is set if the inverted mask ANDd with the destination
is all zero
5 .1 0 .1 2 P a cke d Q w o rd E q u a lit y C o m p a riso n s
PCMPEQQ 128-bit packed qword equality test
5 .1 0 .1 3 D w o rd P a ck in g Wit h U n sig n e d S a t u ra t io n
PACKUSDW PACKUSDW packs dword to word with unsigned saturation
5 .1 1 S S E 4 .2 I N S T R U C T I O N S E T
Five of the seven SSE4.2 instructions can use an XMM register as a source or destination. These include four
text/string processing instructions and one packed quadword compare SIMD instruction. Programming these five
SSE4.2 instructions is similar to programming 128-bit Integer SIMD in SSE2/SSSE3. SSE4.2 does not provide any
64-bit integer SIMD instructions.
The remaining two SSE4.2 instructions uses general-purpose registers to perform accelerated processing functions
in specific application areas.
The sections that follow describe each subgroup.
5 .1 1 .1 S t rin g a n d Te x t P ro ce ssin g I n st ru ct io n s
PCMPESTRI Packed compare explicit-length strings, return index in ECX/RCX
PCMPESTRM Packed compare explicit-length strings, return mask in XMM0
PCMPISTRI Packed compare implicit-length strings, return index in ECX/RCX
5-26 Vol. 1
INSTRUCTION SET SUMMARY
PCMPISTRM Packed compare implicit-length strings, return mask in XMM0
5 .1 1 .2 P a cke d C o m p a riso n S I MD in t e g e r I n st ru ct io n
PCMPGTQ Performs logical compare of greater-than on packed integer quadwords.
5 .1 1 .3 Ap p lica t io n -Ta rg e t e d Acce le ra t o r I n st ru ct io n s
CRC32 Provides hardware acceleration to calculate cyclic redundancy checks for fast and efficient
implementation of data integrity protocols.
POPCNT This instruction calculates of number of bits set to 1 in the second operand (source) and
returns the count in the first operand (a destination register)
5 .1 2 AE S N I AN D P C LMU LQ D Q
Six AESNI instructions operate on XMM registers to provide accelerated primitives for block encryption/decryption
using Advanced Encryption Standard (FIPS-197). PCLMULQDQ instruction perform carry-less multiplication for two
binary numbers up to 64-bit wide.
AESDEC Perform an AES decryption round using an 128-bit state and a round key
AESDECLAST Perform the last AES decryption round using an 128-bit state and a round key
AESENC Perform an AES encryption round using an 128-bit state and a round key
AESENCLAST Perform the last AES encryption round using an 128-bit state and a round key
AESIMC Perform an inverse mix column transformation primitive
AESKEYGENASSIST Assist the creation of round keys with a key expansion schedule
PCLMULQDQ Perform carryless multiplication of two 64-bit numbers
5 .1 3 I N T E L AD VAN C E D VE C T O R E XT E N S I O N S (I N T E L AVX)
Intel

Advanced Vector Extensions (AVX) promotes legacy 128-bit SIMD instruction sets that operate on XMM
register set to use a vector extension (VEX) prefix and operates on 256-bit vector registers (YMM). Almost all
prior generations of 128-bit SIMD instructions that operates on XMM (but not on MMX registers) are promoted to
support three-operand syntax with VEX-128 encoding.
VEX-prefix encoded AVX instructions support 256-bit and 128-bit floating-point operations by extending the legacy
128-bit SIMD floating-point instructions to support three-operand syntax.
Additional functional enhancements are also provided with VEX-encoded AVX instructions.
The list of AVX instructions are listed in the following tables:
Table 13-2 lists 256-bit and 128-bit floating-point arithmetic instructions promoted from legacy 128-bit SIMD
instruction sets.
Table 13-3 lists 256-bit and 128-bit data movement and processing instructions promoted from legacy 128-bit
SIMD instruction sets.
Table 13-4 lists functional enhancements of 256-bit AVX instructions not available from legacy 128-bit SIMD
instruction sets.
Table 13-5 lists 128-bit integer and floating-point instructions promoted from legacy 128-bit SIMD instruction
sets.
Table 13-6 lists functional enhancements of 128-bit AVX instructions not available from legacy 128-bit SIMD
instruction sets.
Table 13-7 lists 128-bit data movement and processing instructions promoted from legacy instruction sets.
Vol. 1 5-27
INSTRUCTION SET SUMMARY
5 .1 4 1 6 -B I T F LO AT I N G -P O I N T C O N VE R S I O N
Conversion between single-precision floating-point (32-bit) and half-precision FP (16-bit) data are provided by
VCVTPS2PH, VCVTPH2PS:
VCVTPH2PS Convert eight/four data element containing 16-bit floating-point data into eight/four single-
precision floating-point data.
VCVTPS2PH Convert eight/four data element containing single-precision floating-point data into eight/four
16-bit floating-point data.
5 .1 5 F U S E D -MU LT I P LY-AD D (F MA)
FMA extensions enhances Intel AVX with high-throughput, arithmetic capabilities covering fused multiply-add,
fused multiply-subtract, fused multiply add/subtract interleave, signed-reversed multiply on fused multiply-add
and multiply-subtract. FMA extensions provide 36 256-bit floating-point instructions to perform computation on
256-bit vectors and additional 128-bit and scalar FMA instructions.
Table 13-15 lists FMA instruction sets.
5 .1 6 I N T E L AD VAN C E D VE C T O R E XT E N S I O N S 2 (I N T E L AVX2 )
Intel

AVX2 extends Intel AVX by promoting most of the 128-bit SIMD integer instructions with 256-bit numeric
processing capabilities. Intel AVX2 instructions follow the same programming model as AVX instructions.
In addition, AVX2 provide enhanced functionalities for broadcast/permute operations on data elements, vector
shift instructions with variable-shift count per data element, and instructions to fetch non-contiguous data
elements from memory.
Table 13-18 lists promoted vector integer instructions in AVX2.
Table 13-19 lists new instructions in AVX2 that complements AVX.
5 .1 7 I N T E L T R AN S AC T I O N AL S YN C H R O N I Z AT I O N E XT E N S I O N S (T S X)
XABORT Abort an RTM transaction execution
XACQUIRE Prefix hint to the beginning of an HLE transaction region
XRELEASE Prefix hint to the end of an HLE transaction region
XBEGIN Transaction begin of an RTM transaction region
XEND Transaction end of an RTM transaction region
XTEST Test if executing in a transactional region
5 .1 8 S YS T E M I N S T R U C T I O N S
The following system instructions are used to control those functions of the processor that are provided to support
for operating systems and executives.
LGDT Load global descriptor table (GDT) register
SGDT Store global descriptor table (GDT) register
LLDT Load local descriptor table (LDT) register
SLDT Store local descriptor table (LDT) register
LTR Load task register
STR Store task register
LIDT Load interrupt descriptor table (IDT) register
5-28 Vol. 1
INSTRUCTION SET SUMMARY
SIDT Store interrupt descriptor table (IDT) register
MOV Load and store control registers
LMSW Load machine status word
SMSW Store machine status word
CLTS Clear the task-switched flag
ARPL Adjust requested privilege level
LAR Load access rights
LSL Load segment limit
VERR Verify segment for reading
VERW Verify segment for writing
MOV Load and store debug registers
INVD Invalidate cache, no writeback
WBINVD Invalidate cache, with writeback
INVLPG Invalidate TLB Entry
INVPCID Invalidate Process-Context Identifier
LOCK (prefix) Lock Bus
HLT Halt processor
RSM Return from system management mode (SMM)
RDMSR Read model-specific register
WRMSR Write model-specific register
RDPMC Read performance monitoring counters
RDTSC Read time stamp counter
RDTSCP Read time stamp counter and processor ID
SYSENTER Fast System Call, transfers to a flat protected mode kernel at CPL = 0
SYSEXIT Fast System Call, transfers to a flat protected mode kernel at CPL = 3
XSAVE Save processor extended states to memory
XSAVEOPT Save processor extended states to memory, optimized
XRSTOR Restore processor extended states from memory
XGETBV Reads the state of an extended control register
XSETBV Writes the state of an extended control register
RDFSBASE Reads from FS base address at any privilege level
RDGSBASE Reads from GS base address at any privilege level
WRFSBASE Writes to FS base address at any privilege level
WRGSBASE Writes to GS base address at any privilege level
5 .1 9 6 4 -B I T MO D E I N S T R U C T I O N S
The following instructions are introduced in 64-bit mode. This mode is a sub-mode of IA-32e mode.
CDQE Convert doubleword to quadword
CMPSQ Compare string operands
CMPXCHG16B Compare RDX:RAX with m128
LODSQ Load qword at address (R)SI into RAX
MOVSQ Move qword from address (R)SI to (R)DI
MOVZX (64-bits) Move doubleword to quadword, zero-extension
STOSQ Store RAX at address RDI
SWAPGS Exchanges current GS base register value with value in MSR address C0000102H
Vol. 1 5-29
INSTRUCTION SET SUMMARY
SYSCALL Fast call to privilege level 0 system procedures
SYSRET Return from fast system call
5 .2 0 V I R T U AL-MAC H I N E E XT E N S I O N S
The behavior of the VMCS-maintenance instructions is summarized below:
VMPTRLD Takes a single 64-bit source operand in memory. It makes the referenced VMCS active and
current.
VMPTRST Takes a single 64-bit destination operand that is in memory. Current-VMCS pointer is stored
into the destination operand.
VMCLEAR Takes a single 64-bit operand in memory. The instruction sets the launch state of the VMCS
referenced by the operand to clear, renders that VMCS inactive, and ensures that data for
the VMCS have been written to the VMCS-data area in the referenced VMCS region.
VMREAD Reads a component from the VMCS (the encoding of that field is given in a register operand)
and stores it into a destination operand.
VMWRITE Writes a component to the VMCS (the encoding of that field is given in a register operand)
from a source operand.
The behavior of the VMX management instructions is summarized below:
VMLAUNCH Launches a virtual machine managed by the VMCS. A VM entry occurs, transferring control to
the VM.
VMRESUME Resumes a virtual machine managed by the VMCS. A VM entry occurs, transferring control to
the VM.
VMXOFF Causes the processor to leave VMX operation.
VMXON Takes a single 64-bit source operand in memory. It causes a logical processor to enter VMX
root operation and to use the memory referenced by the operand to support VMX operation.
The behavior of the VMX-specific TLB-management instructions is summarized below:
INVEPT Invalidate cached Extended Page Table (EPT) mappings in the processor to synchronize
address translation in virtual machines with memory-resident EPT pages.
INVVPID Invalidate cached mappings of address translation based on the Virtual Processor ID
(VPID).
None of the instructions above can be executed in compatibility mode; they generate invalid-opcode exceptions if
executed in compatibility mode.
The behavior of the guest-available instructions is summarized below:
VMCALL Allows a guest in VMX non-root operation to call the VMM for service. A VM exit occurs, trans-
ferring control to the VMM.
VMFUNC This instruction allows software in VMX non-root operation to invoke a VM function, which is
processor functionality enabled and configured by software in VMX root operation. No VM exit
occurs.
5 .2 1 S AF E R MO D E E XT E N S I O N S
The behavior of the GETSEC instruction leaves of the Safer Mode Extensions (SMX) are summarized below:
GETSEC[CAPABILITIES]Returns the available leaf functions of the GETSEC instruction.
GETSEC[ENTERACCS] Loads an authenticated code chipset module and enters authenticated code execution
mode.
GETSEC[EXITAC] Exits authenticated code execution mode.
GETSEC[SENTER] Establishes a Measured Launched Environment (MLE) which has its dynamic root of trust
anchored to a chipset supporting Intel Trusted Execution Technology.
GETSEC[SEXIT] Exits the MLE.
5-30 Vol. 1
INSTRUCTION SET SUMMARY
GETSEC[PARAMETERS]Returns SMX related parameter information.
GETSEC[SMCRTL] SMX mode control.
GETSEC[WAKEUP] Wakes up sleeping logical processors inside an MLE.
Vol. 1 6-1
C H AP T E R 6
P R O C E D U R E C ALLS , I N T E R R U P T S , AN D E XC E P T I O N S
This chapter describes the facilities in the Intel 64 and IA-32 architectures for executing calls to procedures or
subroutines. It also describes how interrupts and exceptions are handled from the perspective of an application
programmer.
6 .1 P R O C E D U R E C ALL T YP E S
The processor supports procedure calls in the following two different ways:
CALL and RET instructions.
ENTER and LEAVE instructions, in conjunction with the CALL and RET
instructions.
Both of these procedure call mechanisms use the procedure stack, commonly referred to simply as the stack, to
save the state of the calling procedure, pass parameters to the called procedure, and store local variables for the
currently executing procedure.
The processors facilities for handling interrupts and exceptions are similar to those used by the CALL and RET
instructions.
6 .2 S T AC K S
The stack (see Figure 6-1) is a contiguous array of memory locations. It is contained in a segment and identified by
the segment selector in the SS register. When using the flat memory model, the stack can be located anywhere in
the linear address space for the program. A stack can be up to 4 GBytes long, the maximum size of a segment.
Items are placed on the stack using the PUSH instruction and removed from the stack using the POP instruction.
When an item is pushed onto the stack, the processor decrements the ESP register, then writes the item at the new
top of stack. When an item is popped off the stack, the processor reads the item from the top of stack, then incre-
ments the ESP register. In this manner, the stack grows down in memory (towards lesser addresses) when items
are pushed on the stack and shrinks up (towards greater addresses) when the items are popped from the stack.
A program or operating system/executive can set up many stacks. For example, in multitasking systems, each task
can be given its own stack. The number of stacks in a system is limited by the maximum number of segments and
the available physical memory.
When a system sets up many stacks, only one stackthe current stackis available at a time. The current stack
is the one contained in the segment referenced by the SS register.
6-2 Vol. 1
PROCEDURE CALLS, INTERRUPTS, AND EXCEPTIONS
The processor references the SS register automatically for all stack operations. For example, when the ESP register
is used as a memory address, it automatically points to an address in the current stack. Also, the CALL, RET, PUSH,
POP, ENTER, and LEAVE instructions all perform operations on the current stack.
6 .2 .1 S e t t in g U p a S t a ck
To set a stack and establish it as the current stack, the program or operating system/executive must do the
following:
1. Establish a stack segment.
2. Load the segment selector for the stack segment into the SS register using a MOV, POP, or LSS instruction.
3. Load the stack pointer for the stack into the ESP register using a MOV, POP, or LSS instruction. The LSS
instruction can be used to load the SS and ESP registers in one operation.
See Segment Descriptors in of the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3A,
for information on how to set up a segment descriptor and segment limits for a stack segment.
6 .2 .2 S t a ck Alig n m e n t
The stack pointer for a stack segment should be aligned on 16-bit (word) or 32-bit (double-word) boundaries,
depending on the width of the stack segment. The D flag in the segment descriptor for the current code segment
sets the stack-segment width (see Segment Descriptors in Chapter 3, Protected-Mode Memory Management, of
the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3A). The PUSH and POP instructions
use the D flag to determine how much to decrement or increment the stack pointer on a push or pop operation,
respectively. When the stack width is 16 bits, the stack pointer is incremented or decremented in 16-bit increments;
when the width is 32 bits, the stack pointer is incremented or decremented in 32-bit increments. Pushing a 16-bit
value onto a 32-bit wide stack can result in stack misaligned (that is, the stack pointer is not aligned on a double-
word boundary). One exception to this rule is when the contents of a segment register (a 16-bit segment selector)
F ig u re 6 -1 . S t a ck S t ru ct u re
Bottom of Stack
(Initial ESP Value)
Local Variables
for Calling
Procedure
Parameters
Passed to
Called
Procedure
Frame Boundary
EBP Register
ESP Register
Return Instruction
Top of Stack
Stack Segment
Pushes Move the
Top Of Stack to
Lower Addresses
Pops Move the
Top Of Stack to
Higher Addresses
The EBP register is
The Stack Can Be
16 or 32 Bits Wide
typically set to point
to the return
instruction pointer.
Pointer
Vol. 1 6-3
PROCEDURE CALLS, INTERRUPTS, AND EXCEPTIONS
are pushed onto a 32-bit wide stack. Here, the processor automatically aligns the stack pointer to the next 32-bit
boundary.
The processor does not check stack pointer alignment. It is the responsibility of the programs, tasks, and system
procedures running on the processor to maintain proper alignment of stack pointers. Misaligning a stack pointer
can cause serious performance degradation and in some instances program failures.
6 .2 .3 Ad d re ss-S ize At t rib u t e s fo r S t a ck Acce sse s
Instructions that use the stack implicitly (such as the PUSH and POP instructions) have two address-size attributes
each of either 16 or 32 bits. This is because they always have the implicit address of the top of the stack, and they
may also have an explicit memory address (for example, PUSH Array1[EBX]). The attribute of the explicit address
is determined by the D flag of the current code segment and the presence or absence of the 67H address-size
prefix.
The address-size attribute of the top of the stack determines whether SP or ESP is used for the stack access. Stack
operations with an address-size attribute of 16 use the 16-bit SP stack pointer register and can use a maximum
stack address of FFFFH; stack operations with an address-size attribute of 32 bits use the 32-bit ESP register and
can use a maximum address of FFFFFFFFH. The default address-size attribute for data segments used as stacks is
controlled by the B flag of the segments descriptor. When this flag is clear, the default address-size attribute is 16;
when the flag is set, the address-size attribute is 32.
6 .2 .4 P ro ce d u re Lin k in g I n fo rm a t io n
The processor provides two pointers for linking of procedures: the stack-frame base pointer and the return instruc-
tion pointer. When used in conjunction with a standard software procedure-call technique, these pointers permit
reliable and coherent linking of procedures.
6 .2 .4 .1 S t a ck -F ra m e B a se P o in t e r
The stack is typically divided into frames. Each stack frame can then contain local variables, parameters to be
passed to another procedure, and procedure linking information. The stack-frame base pointer (contained in the
EBP register) identifies a fixed reference point within the stack frame for the called procedure. To use the stack-
frame base pointer, the called procedure typically copies the contents of the ESP register into the EBP register prior
to pushing any local variables on the stack. The stack-frame base pointer then permits easy access to data struc-
tures passed on the stack, to the return instruction pointer, and to local variables added to the stack by the called
procedure.
Like the ESP register, the EBP register automatically points to an address in the current stack segment (that is, the
segment specified by the current contents of the SS register).
6 .2 .4 .2 R e t u rn I n st ru ct io n P o in t e r
Prior to branching to the first instruction of the called procedure, the CALL instruction pushes the address in the EIP
register onto the current stack. This address is then called the return-instruction pointer and it points to the
instruction where execution of the calling procedure should resume following a return from the called procedure.
Upon returning from a called procedure, the RET instruction pops the return-instruction pointer from the stack
back into the EIP register. Execution of the calling procedure then resumes.
The processor does not keep track of the location of the return-instruction pointer. It is thus up to the programmer
to insure that stack pointer is pointing to the return-instruction pointer on the stack, prior to issuing a RET instruc-
tion. A common way to reset the stack pointer to the point to the return-instruction pointer is to move the contents
of the EBP register into the ESP register. If the EBP register is loaded with the stack pointer immediately following
a procedure call, it should point to the return instruction pointer on the stack.
The processor does not require that the return instruction pointer point back to the calling procedure. Prior to
executing the RET instruction, the return instruction pointer can be manipulated in software to point to any address
in the current code segment (near return) or another code segment (far return). Performing such an operation,
however, should be undertaken very cautiously, using only well defined code entry points.
6-4 Vol. 1
PROCEDURE CALLS, INTERRUPTS, AND EXCEPTIONS
6 .2 .5 S t a ck B e h a v io r in 6 4 -B it Mo d e
In 64-bit mode, address calculations that reference SS segments are treated as if the segment base is zero. Fields
(base, limit, and attribute) in segment descriptor registers are ignored. SS DPL is modified such that it is always
equal to CPL. This will be true even if it is the only field in the SS descriptor that is modified.
Registers E(SP), E(IP) and E(BP) are promoted to 64-bits and are re-named RSP, RIP, and RBP respectively. Some
forms of segment load instructions are invalid (for example, LDS, POP ES).
PUSH/POP instructions increment/decrement the stack using a 64-bit width. When the contents of a segment
register is pushed onto 64-bit stack, the pointer is automatically aligned to 64 bits (as with a stack that has a 32-
bit width).
6 .3 C ALLI N G P R O C E D U R E S U S I N G C ALL AN D R E T
The CALL instruction allows control transfers to procedures within the current code segment (near call) and in a
different code segment (far call). Near calls usually provide access to local procedures within the currently running
program or task. Far calls are usually used to access operating system procedures or procedures in a different task.
See CALLCall Procedure in Chapter 3, Instruction Set Reference, A-M, of the Intel 64 and IA-32 Architec-
tures Software Developers Manual, Volume 2A, for a detailed description of the CALL instruction.
The RET instruction also allows near and far returns to match the near and far versions of the CALL instruction. In
addition, the RET instruction allows a program to increment the stack pointer on a return to release parameters
from the stack. The number of bytes released from the stack is determined by an optional argument (n) to the RET
instruction. See RETReturn from Procedure in Chapter 4, Instruction Set Reference, N-Z, of the Intel 64 and
IA-32 Architectures Software Developers Manual, Volume 2B, for a detailed description of the RET instruction.
6 .3 .1 N e a r C ALL a n d R E T O p e ra t io n
When executing a near call, the processor does the following (see Figure 6-2):
1. Pushes the current value of the EIP register on the stack.
2. Loads the offset of the called procedure in the EIP register.
3. Begins execution of the called procedure.
When executing a near return, the processor performs these actions:
1. Pops the top-of-stack value (the return instruction pointer) into the EIP register.
2. If the RET instruction has an optional n argument, increments the stack pointer by the number of bytes
specified with the n operand to release parameters from the stack.
3. Resumes execution of the calling procedure.
6 .3 .2 Fa r C ALL a n d R E T O p e ra t io n
When executing a far call, the processor performs these actions (see Figure 6-2):
1. Pushes the current value of the CS register on the stack.
2. Pushes the current value of the EIP register on the stack.
3. Loads the segment selector of the segment that contains the called procedure in the CS register.
4. Loads the offset of the called procedure in the EIP register.
5. Begins execution of the called procedure.
When executing a far return, the processor does the following:
1. Pops the top-of-stack value (the return instruction pointer) into the EIP register.
2. Pops the top-of-stack value (the segment selector for the code segment being returned to) into the CS register.
Vol. 1 6-5
PROCEDURE CALLS, INTERRUPTS, AND EXCEPTIONS
3. If the RET instruction has an optional n argument, increments the stack pointer by the number of bytes
specified with the n operand to release parameters from the stack.
4. Resumes execution of the calling procedure.
6 .3 .3 P a ra m e t e r P a ssin g
Parameters can be passed between procedures in any of three ways: through general-purpose registers, in an
argument list, or on the stack.
6 .3 .3 .1 P a ssin g P a ra m e t e rs T h ro u g h t h e G e n e ra l-P u rp o se R e g ist e rs
The processor does not save the state of the general-purpose registers on procedure calls. A calling procedure can
thus pass up to six parameters to the called procedure by copying the parameters into any of these registers
(except the ESP and EBP registers) prior to executing the CALL instruction. The called procedure can likewise pass
parameters back to the calling procedure through general-purpose registers.
6 .3 .3 .2 P a ssin g P a ra m e t e rs o n t h e S t a ck
To pass a large number of parameters to the called procedure, the parameters can be placed on the stack, in the
stack frame for the calling procedure. Here, it is useful to use the stack-frame base pointer (in the EBP register) to
make a frame boundary for easy access to the parameters.
The stack can also be used to pass parameters back from the called procedure to the calling procedure.
6 .3 .3 .3 P a ssin g P a ra m e t e rs in a n Arg u m e n t List
An alternate method of passing a larger number of parameters (or a data structure) to the called procedure is to
place the parameters in an argument list in one of the data segments in memory. A pointer to the argument list can
then be passed to the called procedure through a general-purpose register or the stack. Parameters can also be
passed back to the calling procedure in this same manner.
F ig u re 6 -2 . S t a ck o n N e a r a n d Fa r C a lls
Param 1
Param 2
ESP Before Call
Stack During
Near Call
Stack During
Far Call
Calling CS
Param 1
Param 2
Calling EIP
Param 3 Param 3
ESP After Return
Calling CS
Param 1
Param 2
Calling EIP
Param 3
Param 1
Param 2
Param 3
Note: On a near or far return, parameters are
Calling EIP ESP After Call
Stack During
Near Return
Calling EIP
released from the stack based on the
optional n operand in the RET n instruction.
ESP Before Return
ESP Before Call
ESP After Call
ESP Before Return
ESP After Return
Stack During
Far Return
Stack
Frame
Before
Call
Stack
Frame
Before
Call
Stack
Frame
After
Call
Stack
Frame
After
Call
6-6 Vol. 1
PROCEDURE CALLS, INTERRUPTS, AND EXCEPTIONS
6 .3 .4 S a v in g P ro ce d u re S t a t e I n fo rm a t io n
The processor does not save the contents of the general-purpose registers, segment registers, or the EFLAGS
register on a procedure call. A calling procedure should explicitly save the values in any of the general-purpose
registers that it will need when it resumes execution after a return. These values can be saved on the stack or in
memory in one of the data segments.
The PUSHA and POPA instructions facilitate saving and restoring the contents of the general-purpose registers.
PUSHA pushes the values in all the general-purpose registers on the stack in the following order: EAX, ECX, EDX,
EBX, ESP (the value prior to executing the PUSHA instruction), EBP, ESI, and EDI. The POPA instruction pops all the
register values saved with a PUSHA instruction (except the ESP value) from the stack to their respective registers.
If a called procedure changes the state of any of the segment registers explicitly, it should restore them to their
former values before executing a return to the calling procedure.
If a calling procedure needs to maintain the state of the EFLAGS register, it can save and restore all or part of the
register using the PUSHF/PUSHFD and POPF/POPFD instructions. The PUSHF instruction pushes the lower word of
the EFLAGS register on the stack, while the PUSHFD instruction pushes the entire register. The POPF instruction
pops a word from the stack into the lower word of the EFLAGS register, while the POPFD instruction pops a double
word from the stack into the register.
6 .3 .5 C a lls t o O t h e r P riv ile g e Le v e ls
The IA-32 architectures protection mechanism recognizes four privilege levels, numbered from 0 to 3, where a
greater number mean less privilege. The reason to use privilege levels is to improve the reliability of operating
systems. For example, Figure 6-3 shows how privilege levels can be interpreted as rings of protection.
In this example, the highest privilege level 0 (at the center of the diagram) is used for segments that contain the
most critical code modules in the system, usually the kernel of an operating system. The outer rings (with progres-
sively lower privileges) are used for segments that contain code modules for less critical software.
Code modules in lower privilege segments can only access modules operating at higher privilege segments by
means of a tightly controlled and protected interface called a gate. Attempts to access higher privilege segments
without going through a protection gate and without having sufficient access rights causes a general-protection
exception (#GP) to be generated.
F ig u re 6 -3 . P ro t e ct io n R in g s
Level 0
Level 1
Level 2
Level 3
Protection Rings
Operating
Operating System
Services (Device
Drivers, Etc.)
Applications
0 1 2 3
Highest Lowest
Privilege Levels
System
Kernel
Vol. 1 6-7
PROCEDURE CALLS, INTERRUPTS, AND EXCEPTIONS
If an operating system or executive uses this multilevel protection mechanism, a call to a procedure that is in a
more privileged protection level than the calling procedure is handled in a similar manner as a far call (see Section
6.3.2, Far CALL and RET Operation). The differences are as follows:
The segment selector provided in the CALL instruction references a special data structure called a call gate
descriptor. Among other things, the call gate descriptor provides the following:
access rights information
the segment selector for the code segment of the called procedure
an offset into the code segment (that is, the instruction pointer for the called procedure)
The processor switches to a new stack to execute the called procedure. Each privilege level has its own stack.
The segment selector and stack pointer for the privilege level 3 stack are stored in the SS and ESP registers,
respectively, and are automatically saved when a call to a more privileged level occurs. The segment selectors
and stack pointers for the privilege level 2, 1, and 0 stacks are stored in a system segment called the task state
segment (TSS).
The use of a call gate and the TSS during a stack switch are transparent to the calling procedure, except when a
general-protection exception is raised.
6 .3 .6 C ALL a n d R E T O p e ra t io n B e t w e e n P riv ile g e Le v e ls
When making a call to a more privileged protection level, the processor does the following (see Figure 6-4):
1. Performs an access rights check (privilege check).
2. Temporarily saves (internally) the current contents of the SS, ESP, CS, and EIP registers.
3. Loads the segment selector and stack pointer for the new stack (that is, the stack for the privilege level being
called) from the TSS into the SS and ESP registers and switches to the new stack.
F ig u re 6 -4 . S t a ck S w it ch o n a C a ll t o a D if fe re n t P riv ile g e Le v e l
Param 1
Param 2
ESP Before Call
Stack for
Calling Procedure
ESP After Call
Stack for
Called Procedure
Calling SS
Calling ESP
Calling CS
Param 1
Param 2
Calling EIP
Stack Frame
Before Call
Stack Frame
After Call
Param 3 Param 3
ESP After Return
ESP Before Return
Calling SS
Calling ESP
Calling CS
Param 1
Param 2
Calling EIP
Param 3
Param 1
Param 2
Param 3
Note: On a return, parameters are
released on both stacks based on the
optional n operand in the RET n instruction.
6-8 Vol. 1
PROCEDURE CALLS, INTERRUPTS, AND EXCEPTIONS
4. Pushes the temporarily saved SS and ESP values for the calling procedures stack onto the new stack.
5. Copies the parameters from the calling procedures stack to the new stack. A value in the call gate descriptor
determines how many parameters to copy to the new stack.
6. Pushes the temporarily saved CS and EIP values for the calling procedure to the new stack.
7. Loads the segment selector for the new code segment and the new instruction pointer from the call gate into
the CS and EIP registers, respectively.
8. Begins execution of the called procedure at the new privilege level.
When executing a return from the privileged procedure, the processor performs these actions:
1. Performs a privilege check.
2. Restores the CS and EIP registers to their values prior to the call.
3. If the RET instruction has an optional n argument, increments the stack pointer by the number of bytes
specified with the n operand to release parameters from the stack. If the call gate descriptor specifies that one
or more parameters be copied from one stack to the other, a RET n instruction must be used to release the
parameters from both stacks. Here, the n operand specifies the number of bytes occupied on each stack by the
parameters. On a return, the processor increments ESP by n for each stack to step over (effectively remove)
these parameters from the stacks.
4. Restores the SS and ESP registers to their values prior to the call, which causes a switch back to the stack of
the calling procedure.
5. If the RET instruction has an optional n argument, increments the stack pointer by the number of bytes
specified with the n operand to release parameters from the stack (see explanation in step 3).
6. Resumes execution of the calling procedure.
See Chapter 5, Protection, in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3A, for
detailed information on calls to privileged levels and the call gate descriptor.
6 .3 .7 B ra n ch F u n ct io n s in 6 4 -B it Mo d e
The 64-bit extensions expand branching mechanisms to accommodate branches in 64-bit linear-address space.
These are:
Near-branch semantics are redefined in 64-bit mode
In 64-bit mode and compatibility mode, 64-bit call-gate descriptors for far calls are available
In 64-bit mode, the operand size for all near branches (CALL, RET, JCC, JCXZ, JMP, and LOOP) is forced to 64 bits.
These instructions update the 64-bit RIP without the need for a REX operand-size prefix.
The following aspects of near branches are controlled by the effective operand size:
Truncation of the size of the instruction pointer
Size of a stack pop or push, due to a CALL or RET
Size of a stack-pointer increment or decrement, due to a CALL or RET
Indirect-branch operand size
In 64-bit mode, all of the above actions are forced to 64 bits regardless of operand size prefixes (operand size
prefixes are silently ignored). However, the displacement field for relative branches is still limited to 32 bits and the
address size for near branches is not forced in 64-bit mode.
Address sizes affect the size of RCX used for JCXZ and LOOP; they also impact the address calculation for memory
indirect branches. Such addresses are 64 bits by default; but they can be overridden to 32 bits by an address size
prefix.
Software typically uses far branches to change privilege levels. The legacy IA-32 architecture provides the call-gate
mechanism to allow software to branch from one privilege level to another, although call gates can also be used for
branches that do not change privilege levels. When call gates are used, the selector portion of the direct or indirect
pointer references a gate descriptor (the offset in the instruction is ignored). The offset to the destinations code
segment is taken from the call-gate descriptor.
Vol. 1 6-9
PROCEDURE CALLS, INTERRUPTS, AND EXCEPTIONS
64-bit mode redefines the type value of a 32-bit call-gate descriptor type to a 64-bit call gate descriptor and
expands the size of the 64-bit descriptor to hold a 64-bit offset. The 64-bit mode call-gate descriptor allows far
branches that reference any location in the supported linear-address space. These call gates also hold the target
code selector (CS), allowing changes to privilege level and default size as a result of the gate transition.
Because immediates are generally specified up to 32 bits, the only way to specify a full 64-bit absolute RIP in 64-
bit mode is with an indirect branch. For this reason, direct far branches are eliminated from the instruction set in
64-bit mode.
64-bit mode also expands the semantics of the SYSENTER and SYSEXIT instructions so that the instructions
operate within a 64-bit memory space. The mode also introduces two new instructions: SYSCALL and SYSRET
(which are valid only in 64-bit mode). For details, see SYSENTERFast System Call, SYSEXITFast Return from
Fast System Call, SYSCALLFast System Call, and SYSRETReturn From Fast System Call in Chapter 4,
Instruction Set Reference, N-Z, of the Intel 64 and IA-32 Architectures Software Developers Manual, Volume
2B.
6 .4 I N T E R R U P T S AN D E XC E P T I O N S
The processor provides two mechanisms for interrupting program execution, interrupts and exceptions:
An interrupt is an asynchronous event that is typically triggered by an I/O device.
An exception is a synchronous event that is generated when the processor detects one or more predefined
conditions while executing an instruction. The IA-32 architecture specifies three classes of exceptions: faults,
traps, and aborts.
The processor responds to interrupts and exceptions in essentially the same way. When an interrupt or exception
is signaled, the processor halts execution of the current program or task and switches to a handler procedure that
has been written specifically to handle the interrupt or exception condition. The processor accesses the handler
procedure through an entry in the interrupt descriptor table (IDT). When the handler has completed handling the
interrupt or exception, program control is returned to the interrupted program or task.
The operating system, executive, and/or device drivers normally handle interrupts and exceptions independently
from application programs or tasks. Application programs can, however, access the interrupt and exception
handlers incorporated in an operating system or executive through assembly-language calls. The remainder of this
section gives a brief overview of the processors interrupt and exception handling mechanism. See Chapter 6,
Interrupt and Exception Handling, in the Intel 64 and IA-32 Architectures Software Developers Manual,
Volume 3B, for a description of this mechanism.
The IA-32 Architecture defines 18 predefined interrupts and exceptions and 224 user defined interrupts, which are
associated with entries in the IDT. Each interrupt and exception in the IDT is identified with a number, called a
vector. Table 6-1 lists the interrupts and exceptions with entries in the IDT and their respective vector numbers.
Vectors 0 through 8, 10 through 14, and 16 through 19 are the predefined interrupts and exceptions, and vectors
32 through 255 are the user-defined interrupts, called maskable interrupts.
Note that the processor defines several additional interrupts that do not point to entries in the IDT; the most
notable of these interrupts is the SMI interrupt. See Chapter 6, Interrupt and Exception Handling, in the Intel
64 and IA-32 Architectures Software Developers Manual, Volume 3B, for more information about the interrupts
and exceptions.
When the processor detects an interrupt or exception, it does one of the following things:
Executes an implicit call to a handler procedure.
Executes an implicit call to a handler task.
6 .4 .1 C a ll a n d R e t u rn O p e ra t io n fo r I n t e rru p t o r E x ce p t io n H a n d lin g P ro ce d u re s
A call to an interrupt or exception handler procedure is similar to a procedure call to another protection level (see
Section 6.3.6, CALL and RET Operation Between Privilege Levels). Here, the interrupt vector references one of
two kinds of gates: an interrupt gate or a trap gate. Interrupt and trap gates are similar to call gates in that they
provide the following information:
6-10 Vol. 1
PROCEDURE CALLS, INTERRUPTS, AND EXCEPTIONS
Access rights information
The segment selector for the code segment that contains the handler procedure
An offset into the code segment to the first instruction of the handler procedure
The difference between an interrupt gate and a trap gate is as follows. If an interrupt or exception handler is called
through an interrupt gate, the processor clears the interrupt enable (IF) flag in the EFLAGS register to prevent
subsequent interrupts from interfering with the execution of the handler. When a handler is called through a trap
gate, the state of the IF flag is not changed.
If the code segment for the handler procedure has the same privilege level as the currently executing program or
task, the handler procedure uses the current stack; if the handler executes at a more privileged level, the processor
switches to the stack for the handlers privilege level.
If no stack switch occurs, the processor does the following when calling an interrupt or exception handler (see
Figure 6-5):
1. Pushes the current contents of the EFLAGS, CS, and EIP registers (in that order) on the stack.
Ta b le 6 -1 . E x ce p t io n s a n d I n t e rru p t s
Ve ct o r N o . Mn e m o n ic D e scrip t io n S o u rce
0 #DE Divide Error DIV and IDIV instructions.
1 #DB Debug Any code or data reference.
2 NMI Interrupt Non-maskable external interrupt.
3 #BP Breakpoint INT 3 instruction.
4 #OF Overflow INTO instruction.
5 #BR BOUND Range Exceeded BOUND instruction.
6 #UD Invalid Opcode (UnDefined Opcode) UD2 instruction or reserved opcode.
1
7 #NM Device Not Available (No Math Coprocessor) Floating-point or WAIT/FWAIT instruction.
8 #DF Double Fault Any instruction that can generate an exception, an NMI, or
an INTR.
9 #MF CoProcessor Segment Overrun (reserved) Floating-point instruction.
2
10 #TS Invalid TSS Task switch or TSS access.
11 #NP Segment Not Present Loading segment registers or accessing systemsegments.
12 #SS Stack Segment Fault Stack operations and SS register loads.
13 #GP General Protection Any memory reference and other protection checks.
14 #PF Page Fault Any memory reference.
15 Reserved
16 #MF Floating-Point Error (Math Fault) Floating-point or WAIT/FWAIT instruction.
17 #AC Alignment Check Any data reference in memory.
3
18 #MC Machine Check Error codes (if any) and source are model dependent.
4
19 #XM SIMD Floating-Point Exception SIMD Floating-Point Instruction
5
20-31 Reserved
32-255 Maskable Interrupts External interrupt from INTR pin or INT n instruction.
N O T E S :
1. The UD2 instruction was introduced in the Pentium Pro processor.
2. IA-32 processors after the Intel386 processor do not generate this exception.
3. This exception was introduced in the Intel486 processor.
4. This exception was introduced in the Pentium processor and enhanced in the P6 family processors.
5. This exception was introduced in the Pentium III processor.
Vol. 1 6-11
PROCEDURE CALLS, INTERRUPTS, AND EXCEPTIONS
2. Pushes an error code (if appropriate) on the stack.
3. Loads the segment selector for the new code segment and the new instruction pointer (from the interrupt gate
or trap gate) into the CS and EIP registers, respectively.
4. If the call is through an interrupt gate, clears the IF flag in the EFLAGS register.
5. Begins execution of the handler procedure.
If a stack switch does occur, the processor does the following:
1. Temporarily saves (internally) the current contents of the SS, ESP, EFLAGS, CS, and EIP registers.
2. Loads the segment selector and stack pointer for the new stack (that is, the stack for the privilege level being
called) from the TSS into the SS and ESP registers and switches to the new stack.
3. Pushes the temporarily saved SS, ESP, EFLAGS, CS, and EIP values for the interrupted procedures stack onto
the new stack.
4. Pushes an error code on the new stack (if appropriate).
5. Loads the segment selector for the new code segment and the new instruction pointer (from the interrupt gate
or trap gate) into the CS and EIP registers, respectively.
6. If the call is through an interrupt gate, clears the IF flag in the EFLAGS register.
7. Begins execution of the handler procedure at the new privilege level.
A return from an interrupt or exception handler is initiated with the IRET instruction. The IRET instruction is similar
to the far RET instruction, except that it also restores the contents of the EFLAGS register for the interrupted proce-
dure. When executing a return from an interrupt or exception handler from the same privilege level as the inter-
rupted procedure, the processor performs these actions:
1. Restores the CS and EIP registers to their values prior to the interrupt or exception.
2. Restores the EFLAGS register.
F ig u re 6 -5 . S t a ck U sa g e o n T ra n sfe rs t o I n t e rru p t a n d E x ce p t io n H a n d lin g R o u t in e s
CS
Error Code
EFLAGS
CS
EIP
ESP After
Transfer to Handler
Error Code
ESP Before
Transfer to Handler
EFLAGS
EIP
SS
ESP
S t a ck U sa g e w it h N o
P riv ile g e -Le v e l C h a n g e
S t a ck U sa g e w it h
P riv ile g e -Le v e l C h a n g e
Interrupted Procedures
Interrupted Procedures
and Handlers Stack
Handlers Stack
ESP After
Transfer to Handler
Transfer to Handler
ESP Before
Stack
6-12 Vol. 1
PROCEDURE CALLS, INTERRUPTS, AND EXCEPTIONS
3. Increments the stack pointer appropriately.
4. Resumes execution of the interrupted procedure.
When executing a return from an interrupt or exception handler from a different privilege level than the interrupted
procedure, the processor performs these actions:
1. Performs a privilege check.
2. Restores the CS and EIP registers to their values prior to the interrupt or exception.
3. Restores the EFLAGS register.
4. Restores the SS and ESP registers to their values prior to the interrupt or exception, resulting in a stack switch
back to the stack of the interrupted procedure.
5. Resumes execution of the interrupted procedure.
6 .4 .2 C a lls t o I n t e rru p t o r E x ce p t io n H a n d le r Ta sk s
Interrupt and exception handler routines can also be executed in a separate task. Here, an interrupt or exception
causes a task switch to a handler task. The handler task is given its own address space and (optionally) can execute
at a higher protection level than application programs or tasks.
The switch to the handler task is accomplished with an implicit task call that references a task gate descriptor.
The task gate provides access to the address space for the handler task. As part of the task switch, the processor
saves complete state information for the interrupted program or task. Upon returning from the handler task, the
state of the interrupted program or task is restored and execution continues. See Chapter 6, Interrupt and Excep-
tion Handling, in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3B, for more infor-
mation on handling interrupts and exceptions through handler tasks.
6 .4 .3 I n t e rru p t a n d E x ce p t io n H a n d lin g in R e a l-Ad d re ss Mo d e
When operating in real-address mode, the processor responds to an interrupt or exception with an implicit far call
to an interrupt or exception handler. The processor uses the interrupt or exception vector number as an index into
an interrupt table. The interrupt table contains instruction pointers to the interrupt and exception handler proce-
dures.
The processor saves the state of the EFLAGS register, the EIP register, the CS register, and an optional error code
on the stack before switching to the handler procedure.
A return from the interrupt or exception handler is carried out with the IRET
instruction.
See Chapter 20, 8086 Emulation, in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume
3B, for more information on handling interrupts and exceptions in real-address mode.
6 .4 .4 I N T n, I N T O , I N T 3 , a n d B O U N D I n st ru ct io n s
The INT n, INTO, INT 3, and BOUND instructions allow a program or task to explicitly call an interrupt or exception
handler. The INT n instruction uses an interrupt vector as an argument, which allows a program to call any interrupt
handler.
The INTO instruction explicitly calls the overflow exception (#OF) handler if the overflow flag (OF) in the EFLAGS
register is set. The OF flag indicates overflow on arithmetic instructions, but it does not automatically raise an over-
flow exception. An overflow exception can only be raised explicitly in either of the following ways:
Execute the INTO instruction.
Test the OF flag and execute the INT n instruction with an argument of 4 (the vector number of the overflow
exception) if the flag is set.
Both the methods of dealing with overflow conditions allow a program to test for overflow at specific places in the
instruction stream.
Vol. 1 6-13
PROCEDURE CALLS, INTERRUPTS, AND EXCEPTIONS
The INT 3 instruction explicitly calls the breakpoint exception (#BP) handler.
The BOUND instruction explicitly calls the BOUND-range exceeded exception (#BR) handler if an operand is found
to be not within predefined boundaries in memory. This instruction is provided for checking references to arrays
and other data structures. Like the overflow exception, the BOUND-range exceeded exception can only be raised
explicitly with the BOUND instruction or the INT n instruction with an argument of 5 (the vector number of the
bounds-check exception). The processor does not implicitly perform bounds checks and raise the BOUND-range
exceeded exception.
6 .4 .5 H a n d lin g F lo a t in g -P o in t E x ce p t io n s
When operating on individual or packed floating-point values, the IA-32 architecture supports a set of six floating-
point exceptions. These exceptions can be generated during operations performed by the x87 FPU instructions or
by SSE/SSE2/SSE3 instructions. When an x87 FPU instruction (including the FISTTP instruction in SSE3) generates
one or more of these exceptions, it in turn generates floating-point error exception (#MF); when an
SSE/SSE2/SSE3 instruction generates a floating-point exception, it in turn generates SIMD floating-point excep-
tion (#XM).
See the following sections for further descriptions of the floating-point exceptions, how they are generated, and
how they are handled:
Section 4.9.1, Floating-Point Exception Conditions, and Section 4.9.3, Typical Actions of a Floating-Point
Exception Handler
Section 8.4, x87 FPU Floating-Point Exception Handling, and Section 8.5, x87 FPU Floating-Point Exception
Conditions
Section 11.5.1, SIMD Floating-Point Exceptions
Interrupt Behavior
6 .4 .6 I n t e rru p t a n d E x ce p t io n B e h a v io r in 6 4 -B it Mo d e
64-bit extensions expand the legacy IA-32 interrupt-processing and exception-processing mechanism to allow
support for 64-bit operating systems and applications. Changes include:
All interrupt handlers pointed to by the IDT are 64-bit code (does not apply to the SMI handler).
The size of interrupt-stack pushes is fixed at 64 bits. The processor uses 8-byte, zero extended stores.
The stack pointer (SS:RSP) is pushed unconditionally on interrupts. In legacy environments, this push is
conditional and based on a change in current privilege level (CPL).
The new SS is set to NULL if there is a change in CPL.
IRET behavior changes.
There is a new interrupt stack-switch mechanism.
The alignment of interrupt stack frame is different.
6 .5 P R O C E D U R E C ALLS F O R B LO C K -S T R U C T U R E D LAN G U AG E S
The IA-32 architecture supports an alternate method of performing procedure calls with the ENTER (enter proce-
dure) and LEAVE (leave procedure) instructions. These instructions automatically create and release, respectively,
stack frames for called procedures. The stack frames have predefined spaces for local variables and the necessary
pointers to allow coherent returns from called procedures. They also allow scope rules to be implemented so that
procedures can access their own local variables and some number of other variables located in other stack frames.
ENTER and LEAVE offer two benefits:
They provide machine-language support for implementing block-structured languages, such as C and Pascal.
They simplify procedure entry and exit in compiler-generated code.
6-14 Vol. 1
PROCEDURE CALLS, INTERRUPTS, AND EXCEPTIONS
6 .5 .1 E N T E R I n st ru ct io n
The ENTER instruction creates a stack frame compatible with the scope rules typically used in block-structured
languages. In block-structured languages, the scope of a procedure is the set of variables to which it has access.
The rules for scope vary among languages. They may be based on the nesting of procedures, the division of the
program into separately compiled files, or some other modularization scheme.
ENTER has two operands. The first specifies the number of bytes to be reserved on the stack for dynamic storage
for the procedure being called. Dynamic storage is the memory allocated for variables created when the procedure
is called, also known as automatic variables. The second parameter is the lexical nesting level (from 0 to 31) of the
procedure. The nesting level is the depth of a procedure in a hierarchy of procedure calls. The lexical level is unre-
lated to either the protection privilege level or to the I/O privilege level of the currently running program or task.
ENTER, in the following example, allocates 2 Kbytes of dynamic storage on the stack and sets up pointers to two
previous stack frames in the stack frame for this procedure:
ENTER 2048,3
The lexical nesting level determines the number of stack frame pointers to copy into the new stack frame from the
preceding frame. A stack frame pointer is a doubleword used to access the variables of a procedure. The set of
stack frame pointers used by a procedure to access the variables of other procedures is called the display. The first
doubleword in the display is a pointer to the previous stack frame. This pointer is used by a LEAVE instruction to
undo the effect of an ENTER instruction by discarding the current stack frame.
After the ENTER instruction creates the display for a procedure, it allocates the dynamic local variables for the
procedure by decrementing the contents of the ESP register by the number of bytes specified in the first parameter.
This new value in the ESP register serves as the initial top-of-stack for all PUSH and POP operations within the
procedure.
To allow a procedure to address its display, the ENTER instruction leaves the EBP register pointing to the first
doubleword in the display. Because stacks grow down, this is actually the doubleword with the highest address in
the display. Data manipulation instructions that specify the EBP register as a base register automatically address
locations within the stack segment instead of the data segment.
The ENTER instruction can be used in two ways: nested and non-nested. If the lexical level is 0, the non-nested
form is used. The non-nested form pushes the contents of the EBP register on the stack, copies the contents of the
ESP register into the EBP register, and subtracts the first operand from the contents of the ESP register to allocate
dynamic storage. The non-nested form differs from the nested form in that no stack frame pointers are copied. The
nested form of the ENTER instruction occurs when the second parameter (lexical level) is not zero.
The following pseudo code shows the formal definition of the ENTER instruction. STORAGE is the number of bytes
of dynamic storage to allocate for local variables, and LEVEL is the lexical nesting level.
PUSH EBP;
FRAME_PTR ESP;
IF LEVEL >0
THEN
DO (LEVEL 1) times
EBP EBP 4;
PUSH Pointer(EBP); (* doubleword pointed to by EBP *)
OD;
PUSH FRAME_PTR;
FI;
EBP FRAME_PTR;
ESP ESP STORAGE;
The main procedure (in which all other procedures are nested) operates at the highest lexical level, level 1. The
first procedure it calls operates at the next deeper lexical level, level 2. A level 2 procedure can access the variables
of the main program, which are at fixed locations specified by the compiler. In the case of level 1, the ENTER
instruction allocates only the requested dynamic storage on the stack because there is no previous display to copy.
Vol. 1 6-15
PROCEDURE CALLS, INTERRUPTS, AND EXCEPTIONS
A procedure that calls another procedure at a lower lexical level gives the called procedure access to the variables
of the caller. The ENTER instruction provides this access by placing a pointer to the calling procedure's stack frame
in the display.
A procedure that calls another procedure at the same lexical level should not give access to its variables. In this
case, the ENTER instruction copies only that part of the display from the calling procedure which refers to previ-
ously nested procedures operating at higher lexical levels. The new stack frame does not include the pointer for
addressing the calling procedures stack frame.
The ENTER instruction treats a re-entrant procedure as a call to a procedure at the same lexical level. In this case,
each succeeding iteration of the re-entrant procedure can address only its own variables and the variables of the
procedures within which it is nested. A re-entrant procedure always can address its own variables; it does not
require pointers to the stack frames of previous iterations.
By copying only the stack frame pointers of procedures at higher lexical levels, the ENTER instruction makes
certain that procedures access only those variables of higher lexical levels, not those at parallel lexical levels (see
Figure 6-6).
Block-structured languages can use the lexical levels defined by ENTER to control access to the variables of nested
procedures. In Figure 6-6, for example, if procedure A calls procedure B which, in turn, calls procedure C, then
procedure C will have access to the variables of the MAIN procedure and procedure A, but not those of procedure
B because they are at the same lexical level. The following definition describes the access to variables for the
nested procedures in Figure 6-6.
1. MAIN has variables at fixed locations.
2. Procedure A can access only the variables of MAIN.
3. Procedure B can access only the variables of procedure A and MAIN. Procedure B cannot access the variables
of procedure C or procedure D.
4. Procedure C can access only the variables of procedure A and MAIN. Procedure C cannot access the variables
of procedure B or procedure D.
5. Procedure D can access the variables of procedure C, procedure A, and MAIN. Procedure D cannot access the
variables of procedure B.
In Figure 6-7, an ENTER instruction at the beginning of the MAIN procedure creates three doublewords of dynamic
storage for MAIN, but copies no pointers from other stack frames. The first doubleword in the display holds a copy
of the last value in the EBP register before the ENTER instruction was executed. The second doubleword holds a
copy of the contents of the EBP register following the ENTER instruction. After the instruction is executed, the EBP
register points to the first doubleword pushed on the stack, and the ESP register points to the last doubleword in
the stack frame.
When MAIN calls procedure A, the ENTER instruction creates a new display (see Figure 6-8). The first doubleword
is the last value held in MAIN's EBP register. The second doubleword is a pointer to MAIN's stack frame which is
copied from the second doubleword in MAIN's display. This happens to be another copy of the last value held in
MAINs EBP register. Procedure A can access variables in MAIN because MAIN is at level 1.
F ig u re 6 -6 . N e st e d P ro ce d u re s
Main (Lexical Level 1)
Procedure A (Lexical Level 2)
Procedure B (Lexical Level 3)
Procedure C (Lexical Level 3)
Procedure D (Lexical Level 4)
6-16 Vol. 1
PROCEDURE CALLS, INTERRUPTS, AND EXCEPTIONS
Therefore the base address for the dynamic storage used in MAIN is the current address in the EBP register, plus
four bytes to account for the saved contents of MAINs EBP register. All dynamic variables for MAIN are at fixed,
positive offsets from this value.
When procedure A calls procedure B, the ENTER instruction creates a new display (see Figure 6-9). The first
doubleword holds a copy of the last value in procedure As EBP register. The second and third doublewords are
copies of the two stack frame pointers in procedure As display. Procedure B can access variables in procedure A
and MAIN by using the stack frame pointers in its display.
When procedure B calls procedure C, the ENTER instruction creates a new display for procedure C (see
Figure 6-10). The first doubleword holds a copy of the last value in procedure Bs EBP register. This is used by the
LEAVE instruction to restore procedure Bs stack frame. The second and third doublewords are copies of the two
stack frame pointers in procedure As display. If procedure C were at the next deeper lexical level from procedure
B, a fourth doubleword would be copied, which would be the stack frame pointer to procedure Bs local variables.
Note that procedure B and procedure C are at the same level, so procedure C is not intended to access procedure
Bs variables. This does not mean that procedure C is completely isolated from procedure B; procedure C is called
by procedure B, so the pointer to the returning stack frame is a pointer to procedure Bs stack frame. In addition,
procedure B can pass parameters to procedure C either on the stack or through variables global to both procedures
(that is, variables in the scope of both procedures).
F ig u re 6 -7. S t a ck F ra m e Af t e r E n t e rin g t h e MAI N P ro ce d u re
F ig u re 6 -8 . S t a ck F ra m e Af t e r E n t e rin g P ro ce d u re A
EBP
Display
Old EBP
ESP
Mains EBP
Dynamic
Storage
EBP
Display
Old EBP
ESP
Mains EBP
Dynamic
Storage
Procedure As EBP
Mains EBP
Mains EBP
Vol. 1 6-17
PROCEDURE CALLS, INTERRUPTS, AND EXCEPTIONS
F ig u re 6 -9 . S t a ck F ra m e Af t e r E n t e rin g P ro ce d u re B
EBP
Display
Old EBP
ESP
Mains EBP
Dynamic
Storage
Procedure As EBP
Mains EBP
Mains EBP
Procedure As EBP
Procedure Bs EBP
Mains EBP
Procedure As EBP
6-18 Vol. 1
PROCEDURE CALLS, INTERRUPTS, AND EXCEPTIONS
6 .5 .2 LE AVE I n st ru ct io n
The LEAVE instruction, which does not have any operands, reverses the action of the previous ENTER instruction.
The LEAVE instruction copies the contents of the EBP register into the ESP register to release all stack space allo-
cated to the procedure. Then it restores the old value of the EBP register from the stack. This simultaneously
restores the ESP register to its original value. A subsequent RET instruction then can remove any arguments and
the return address pushed on the stack by the calling program for use by the procedure.
F ig u re 6 -1 0 . S t a ck F ra m e Af t e r E n t e rin g P ro ce d u re C
EBP
Display
Old EBP
ESP
Mains EBP
Dynamic
Storage
Procedure As EBP
Mains EBP
Mains EBP
Procedure As EBP
Procedure Bs EBP
Mains EBP
Procedure As EBP
Procedure Bs EBP
Procedure Cs EBP
Mains EBP
Procedure As EBP
Vol. 1 7-1
C H AP T E R 7
P R O G R AMMI N G WI T H
G E N E R AL-P U R P O S E I N S T R U C T I O N S
General-purpose (GP) instructions are a subset of the IA-32 instructions that represent the fundamental instruction
set for the Intel IA-32 processors. These instructions were introduced into the IA-32 architecture with the first IA-
32 processors (the Intel 8086 and 8088). Additional instructions were added to the general-purpose instruction set
in subsequent families of IA-32 processors (the Intel 286, Intel386, Intel486, Pentium, Pentium Pro, and Pentium
II processors).
Intel 64 architecture further extends the capability of most general-purpose instructions so that they are able to
handle 64-bit data in 64-bit mode. A small number of general-purpose instructions (still supported in non-64-bit
modes) are not supported in 64-bit mode.
General-purpose instructions perform basic data movement, memory addressing, arithmetic and logical, program
flow control, input/output, and string operations on a set of integer, pointer, and BCD data types. This chapter
provides an overview of the general-purpose instructions. See Intel 64 and IA-32 Architectures Software Devel-
opers Manual, Volumes 3A & 3B, for detailed descriptions of individual instructions.
7.1 P R O G R AMMI N G E N V I R O N ME N T F O R G P I N S T R U C T I O N S
The programming environment for the general-purpose instructions consists of the set of registers and address
space. The environment includes the following items:
General-purpose registers Eight 32-bit general-purpose registers (see Section 3.4.1, General-Purpose
Registers) are used in non-64-bit modes to address operands in memory. These registers are referenced by
the names EAX, EBX, ECX, EDX, EBP, ESI EDI, and ESP.
Segment registers The six 16-bit segment registers contain segment pointers for use in accessing memory
(see Section 3.4.2, Segment Registers). These registers are referenced by the names CS, DS, SS, ES, FS, and
GS.
EFLAGS register This 32-bit register (see Section 3.4.3, EFLAGS Register) is used to provide status and
control for basic arithmetic, compare, and system operations.
EIP register This 32-bit register contains the current instruction pointer (see Section 3.4.3, EFLAGS
Register).
General-purpose instructions operate on the following data types. The width of valid data types is dependent on
processor mode (see Chapter 4):
Bytes, words, doublewords
Signed and unsigned byte, word, doubleword integers
Near and far pointers
Bit fields
BCD integers
7.2 P R O G R AMMI N G E N V I R O N ME N T F O R G P I N S T R U C T I O N S I N 6 4 -B I T MO D E
The programming environment for the general-purpose instructions in 64-bit mode is similar to that described in
Section 7.1.
General-purpose registers In 64-bit mode, sixteen general-purpose registers available. These include the
eight GPRs described in Section 7.1 and eight new GPRs (R8D-R15D). R8D-R15D are available by using a REX
prefix. All sixteen GPRs can be promoted to 64 bits. The 64-bit registers are referenced as RAX, RBX, RCX, RDX,
RBP, RSI, RDI, RSP and R8-R15 (see Section 3.4.1.1, General-Purpose Registers in 64-Bit Mode). Promotion
to 64-bit operand requires REX prefix encodings.
7-2 Vol. 1
PROGRAMMING WITH GENERAL-PURPOSE INSTRUCTIONS
Segment registers In 64-bit mode, segmentation is available but it is set up uniquely (see Section 3.4.2.1,
Segment Registers in 64-Bit Mode).
Flags and Status register When the processor is running in 64-bit mode, EFLAGS becomes the 64-bit
RFLAGS register (see Section 3.4.3, EFLAGS Register).
Instruction Pointer register In 64-bit mode, the EIP register becomes the 64-bit RIP register (see Section
3.5.1, Instruction Pointer in 64-Bit Mode).
General-purpose instructions operate on the following data types in 64-bit mode. The width of valid data types is
dependent on default operand size, address size, or a prefix that overrides the default size:
Bytes, words, doublewords, quadwords
Signed and unsigned byte, word, doubleword, quadword integers
Near and far pointers
Bit fields
See also:
Chapter 3, Basic Execution Environment, for more information about IA-32e modes.
Chapter 2, Instruction Format, in the Intel 64 and IA-32 Architectures Software Developers Manual,
Volume 2A, for more detailed information about REX prefixes.
Intel 64 and IA-32 Architectures Software Developers Manual, Volumes 2A & 2B for a complete listing of all
instructions. This information documents the behavior of individual instructions in the 64-bit mode context.
7.3 S U MMAR Y O F G P I N S T R U C T I O N S
General purpose instructions are divided into the following subgroups:
Data transfer
Binary arithmetic
Decimal arithmetic
Logical
Shift and rotate
Bit and byte
Control transfer
String
I/O
Enter and Leave
Flag control
Segment register
Miscellaneous
Each sub-group of general-purpose instructions is discussed in the context of non-64-bit mode operation first.
Changes in 64-bit mode beyond those affected by the use of the REX prefixes are discussed in separate sub-
sections within each subgroup. For a simple list of general-purpose instructions by subgroup, see Chapter 5.
7.3 .1 D a t a T ra n sfe r I n st ru ct io n s
The data transfer instructions move bytes, words, doublewords, or quadwords both between memory and the
processors registers and between registers. For the purpose of this discussion, these instructions are divided into
subordinate subgroups that provide for:
General data movement
Exchange
Vol. 1 7-3
PROGRAMMING WITH GENERAL-PURPOSE INSTRUCTIONS
Stack manipulation
Type conversion
7.3 .1 .1 G e n e ra l D a t a Mo v e m e n t I n st ru ct io n s
Move instructions The MOV (move) and CMOVcc (conditional move) instructions transfer data between
memory and registers or between registers.
The MOV instruction performs basic load data and store data operations between memory and the processors
registers and data movement operations between registers. It handles data transfers along the paths listed in
Table 7-1. (See MOVMove to/from Control Registers and MOVMove to/from Debug Registers in Chapter 3,
Instruction Set Reference, A-M, of the Intel 64 and IA-32 Architectures Software Developers Manual, Volume
2A, for information on moving data to and from the control and debug registers.)
The MOV instruction cannot move data from one memory location to another or from one segment register to
another segment register. Memory-to-memory moves are performed with the MOVS (string move) instruction (see
Section 7.3.9, String Operations).
Conditional move instructions The CMOVcc instructions are a group of instructions that check the state of the
status flags in the EFLAGS register and perform a move operation if the flags are in a specified state. These instruc-
tions can be used to move a 16-bit or 32-bit value from memory to a general-purpose register or from one general-
purpose register to another. The flag state being tested is specified with a condition code (cc) associated with the
instruction. If the condition is not satisfied, a move is not performed and execution continues with the instruction
following the CMOVcc instruction.
Table 7-2 shows mnemonics for CMOVcc instructions and the conditions being tested for each instruction. The
condition code mnemonics are appended to the letters CMOV to form the mnemonics for CMOVcc instructions.
The instructions listed in Table 7-2 as pairs (for example, CMOVA/CMOVNBE) are alternate names for the same
instruction. The assembler provides these alternate names to make it easier to read program listings.
CMOVcc instructions are useful for optimizing small IF constructions. They also help eliminate branching overhead
for IF statements and the possibility of branch mispredictions by the processor.
These conditional move instructions are supported in the P6 family, Pentium 4, and Intel Xeon processors. Software
can check if CMOVcc instructions are supported by checking the processors feature information with the CPUID
instruction.
Ta b le 7 -1 . Mo v e I n st ru ct io n O p e ra t io n s
T y p e o f D a t a Mo v e m e n t S o u rce D e st in a t io n
From memory to a register Memory location General-purpose register
Memory location Segment register
From a register to memory General-purpose register Memory location
Segment register Memory location
Between registers General-purpose register General-purpose register
General-purpose register Segment register
Segment register General-purpose register
General-purpose register Control register
Control register General-purpose register
General-purpose register Debug register
Debug register General-purpose register
Immediate data to a register Immediate General-purpose register
Immediate data to memory Immediate Memory location
7-4 Vol. 1
PROGRAMMING WITH GENERAL-PURPOSE INSTRUCTIONS
7.3 .1 .2 E x ch a n g e I n st ru ct io n s
The exchange instructions swap the contents of one or more operands and, in some cases, perform additional oper-
ations such as asserting the LOCK signal or modifying flags in the EFLAGS register.
The XCHG (exchange) instruction swaps the contents of two operands. This instruction takes the place of three
MOV instructions and does not require a temporary location to save the contents of one operand location while the
other is being loaded. When a memory operand is used with the XCHG instruction, the processors LOCK signal is
automatically asserted. This instruction is thus useful for implementing semaphores or similar data structures for
process synchronization. See Bus Locking in Chapter 8, Multiple-Processor Management,of the Intel 64 and
IA-32 Architectures Software Developers Manual, Volume 3A, for more information on bus locking.
The BSWAP (byte swap) instruction reverses the byte order in a 32-bit register operand. Bit positions 0 through 7
are exchanged with 24 through 31, and bit positions 8 through 15 are exchanged with 16 through 23. Executing
this instruction twice in a row leaves the register with the same value as before. The BSWAP instruction is useful for
converting between big-endian and little-endian data formats. This instruction also speeds execution of decimal
arithmetic. (The XCHG instruction can be used to swap the bytes in a word.)
The XADD (exchange and add) instruction swaps two operands and then stores the sum of the two operands in the
destination operand. The status flags in the EFLAGS register indicate the result of the addition. This instruction can
be combined with the LOCK prefix (see LOCKAssert LOCK# Signal Prefix in Chapter 3, Instruction Set Refer-
ence, A-M, of the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 2A) in a multiprocessing
system to allow multiple processors to execute one DO loop.
The CMPXCHG (compare and exchange) and CMPXCHG8B (compare and exchange 8 bytes) instructions are used
to synchronize operations in systems that use multiple processors. The CMPXCHG instruction requires three oper-
ands: a source operand in a register, another source operand in the EAX register, and a destination operand. If
the values contained in the destination operand and the EAX register are equal, the destination operand is
replaced with the value of the other source operand (the value not in the EAX register). Otherwise, the original
Ta b le 7 -2 . C o n d it io n a l Mo v e I n st ru ct io n s
I n st ru ct io n Mn e m o n ic S t a t u s F la g S t a t e s C o n d it io n D e scrip t io n
U n sig n e d C o n d it io n a l Mo v e s
CMOVA/CMOVNBE (CF or ZF) =0 Above/not below or equal
CMOVAE/CMOVNB CF =0 Above or equal/not below
CMOVNC CF =0 Not carry
CMOVB/CMOVNAE CF =1 Below/not above or equal
CMOVC CF =1 Carry
CMOVBE/CMOVNA (CF or ZF) =1 Below or equal/not above
CMOVE/CMOVZ ZF =1 Equal/zero
CMOVNE/CMOVNZ ZF =0 Not equal/not zero
CMOVP/CMOVPE PF =1 Parity/parity even
CMOVNP/CMOVPO PF =0 Not parity/parity odd
S ig n e d C o n d it io n a l Mo v e s
CMOVGE/CMOVNL (SF xor OF) =0 Greater or equal/not less
CMOVL/CMOVNGE (SF xor OF) =1 Less/not greater or equal
CMOVLE/CMOVNG ((SF xor OF) or ZF) =1 Less or equal/not greater
CMOVO OF =1 Overflow
CMOVNO OF =0 Not overflow
CMOVS SF =1 Sign (negative)
CMOVNS SF =0 Not sign (non-negative)
Vol. 1 7-5
PROGRAMMING WITH GENERAL-PURPOSE INSTRUCTIONS
value of the destination operand is loaded in the EAX register. The status flags in the EFLAGS register reflect the
result that would have been obtained by subtracting the destination operand from the value in the EAX register.
The CMPXCHG instruction is commonly used for testing and modifying semaphores. It checks to see if a semaphore
is free. If the semaphore is free, it is marked allocated; otherwise it gets the ID of the current owner. This is all
done in one uninterruptible operation. In a single-processor system, the CMPXCHG instruction eliminates the need
to switch to protection level 0 (to disable interrupts) before executing multiple instructions to test and modify a
semaphore.
For multiple processor systems, CMPXCHG can be combined with the LOCK prefix to perform the compare and
exchange operation atomically. (See Locked Atomic Operations in Chapter 8, Multiple-Processor Management,
of the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3A, for more information on atomic
operations.)
The CMPXCHG8B instruction also requires three operands: a 64-bit value in EDX:EAX, a 64-bit value in ECX:EBX,
and a destination operand in memory. The instruction compares the 64-bit value in the EDX:EAX registers with the
destination operand. If they are equal, the 64-bit value in the ECX:EBX register is stored in the destination
operand. If the EDX:EAX register and the destination are not equal, the destination is loaded in the EDX:EAX
register. The CMPXCHG8B instruction can be combined with the LOCK prefix to perform the operation atomically.
7.3 .1 .3 E x ch a n g e I n st ru ct io n s in 6 4 -B it Mo d e
The CMPXCHG16B instruction is available in 64-bit mode only. It is an extension of the functionality provided by
CMPXCHG8B that operates on 128-bits of data.
7.3 .1 .4 S t a ck Ma n ip u la t io n I n st ru ct io n s
The PUSH, POP, PUSHA (push all registers), and POPA (pop all registers) instructions move data to and from the
stack. The PUSH instruction decrements the stack pointer (contained in the ESP register), then copies the source
operand to the top of stack (see Figure 7-1). It operates on memory operands, immediate operands, and register
operands (including segment registers). The PUSH instruction is commonly used to place parameters on the stack
before calling a procedure. It can also be used to reserve space on the stack for temporary variables.
The PUSHA instruction saves the contents of the eight general-purpose registers on the stack (see Figure 7-2).
This instruction simplifies procedure calls by reducing the number of instructions required to save the contents of
the general-purpose registers. The registers are pushed on the stack in the following order: EAX, ECX, EDX, EBX,
the initial value of ESP before EAX was pushed, EBP, ESI, and EDI.
F ig u re 7 -1 . O p e ra t io n o f t h e P U S H I n st ru ct io n
0
Stack
31
Before Pushing Doubleword
Growth
ESP
n 4
n 8
n
Stack
0 31
After Pushing Doubleword
ESP Doubleword Value
7-6 Vol. 1
PROGRAMMING WITH GENERAL-PURPOSE INSTRUCTIONS
The POP instruction copies the word or doubleword at the current top of stack (indicated by the ESP register) to the
location specified with the destination operand. It then increments the ESP register to point to the new top of stack
(see Figure 7-3). The destination operand may specify a general-purpose register, a segment register, or a memory
location.
The POPA instruction reverses the effect of the PUSHA instruction. It pops the top eight words or doublewords from
the top of the stack into the general-purpose registers, except for the ESP register (see Figure 7-4). If the operand-
size attribute is 32, the doublewords on the stack are transferred to the registers in the following order: EDI, ESI,
EBP, ignore doubleword, EBX, EDX, ECX, and EAX. The ESP register is restored by the action of popping the stack.
If the operand-size attribute is 16, the words on the stack are transferred to the registers in the following order: DI,
SI, BP, ignore word, BX, DX, CX, and AX.
F ig u re 7 -2 . O p e ra t io n o f t h e P U S H A I n st ru ct io n
F ig u re 7 -3 . O p e ra t io n o f t h e P O P I n st ru ct io n
0
Stack
31
Before Pushing Registers
Growth
ESP n - 4
n - 8
n
Stack
0 31
After Pushing Registers
ESP
EAX
EDI
EBX
EBP
ECX
EDX
Old ESP
ESI
n - 36
n - 20
n - 28
n - 12
n - 16
n - 24
n - 32
0 31
Stack
After Popping Doubleword
Growth
ESP n - 4
n - 8
n
Stack
Before Popping Doubleword
ESP Doubleword Value
0 31
Vol. 1 7-7
PROGRAMMING WITH GENERAL-PURPOSE INSTRUCTIONS
7.3 .1 .5 S t a ck Ma n ip u la t io n I n st ru ct io n s in 6 4 -B it Mo d e
In 64-bit mode, the stack pointer size is 64 bits and cannot be overridden by an instruction prefix. In implicit stack
references, address-size overrides are ignored. Pushes and pops of 32-bit values on the stack are not possible in
64-bit mode. 16-bit pushes and pops are supported by using the 66H operand-size prefix. PUSHA, PUSHAD, POPA,
and POPAD are not supported.
7.3 .1 .6 T y p e C o n v e rsio n I n st ru ct io n s
The type conversion instructions convert bytes into words, words into doublewords, and doublewords into quad-
words. These instructions are especially useful for converting integers to larger integer formats, because they
perform sign extension (see Figure 7-5).
Two kinds of type conversion instructions are provided: simple conversion and move and convert.
Simple conversion The CBW (convert byte to word), CWDE (convert word to doubleword extended), CWD
(convert word to doubleword), and CDQ (convert doubleword to quadword) instructions perform sign extension to
double the size of the source operand.
The CBW instruction copies the sign (bit 7) of the byte in the AL register into every bit position of the upper byte of
the AX register. The CWDE instruction copies the sign (bit 15) of the word in the AX register into every bit position
of the high word of the EAX register.
The CWD instruction copies the sign (bit 15) of the word in the AX register into every bit position in the DX register.
The CDQ instruction copies the sign (bit 31) of the doubleword in the EAX register into every bit position in the EDX
register. The CWD instruction can be used to produce a doubleword dividend from a word before a word division,
and the CDQ instruction can be used to produce a quadword dividend from a doubleword before doubleword divi-
sion.
F ig u re 7 -4 . O p e ra t io n o f t h e P O P A I n st ru ct io n
F ig u re 7 -5 . S ig n E x t e n sio n
Stack
After Popping Registers
Growth
ESP n - 4
n - 8
n
Stack
Before Popping Registers
ESP
EAX
EDI
EBX
EBP
ECX
EDX
Ignored
ESI
n - 36
n - 20
n - 28
n - 12
n - 16
n - 24
n - 32
0 31 0 31
31
After Sign
15 0
S N N N N N N N N N N N N N N N S S S S S S S S S S S S S S S S
Extension
Before Sign
15 0
S N N N N N N N N N N N N N N N
Extension
7-8 Vol. 1
PROGRAMMING WITH GENERAL-PURPOSE INSTRUCTIONS
Move with sign or zero extension The MOVSX (move with sign extension) and MOVZX (move with zero
extension) instructions move the source operand into a register then perform the sign extension.
The MOVSX instruction extends an 8-bit value to a 16-bit value or an 8-bit or 16-bit value to a 32-bit value by sign
extending the source operand, as shown in Figure 7-5. The MOVZX instruction extends an 8-bit value to a 16-bit
value or an 8-bit or 16-bit value to a 32-bit value by zero extending the source operand.
7.3 .1 .7 T y p e C o n v e rsio n I n st ru ct io n s in 6 4 -B it Mo d e
The MOVSXD instruction operates on 64-bit data. It sign-extends a 32-bit value to 64 bits. This instruction is not
encodable in non-64-bit modes.
7.3 .2 B in a ry Arit h m e t ic I n st ru ct io n s
Binary arithmetic instructions operate on 8-, 16-, and 32-bit numeric data encoded as signed or unsigned binary
integers. The binary arithmetic instructions may also be used in algorithms that operate on decimal (BCD) values.
For the purpose of this discussion, these instructions are divided subordinate subgroups of instructions that:
Add and subtract
Increment and decrement
Compare and change signs
Multiply and divide
7.3 .2 .1 Ad d it io n a n d S u b t ra ct io n I n st ru ct io n s
The ADD (add integers), ADC (add integers with carry), SUB (subtract integers), and SBB (subtract integers with
borrow) instructions perform addition and subtraction operations on signed or unsigned integer operands.
The ADD instruction computes the sum of two integer operands.
The ADC instruction computes the sum of two integer operands, plus 1 if the CF flag is set. This instruction is used
to propagate a carry when adding numbers in stages.
The SUB instruction computes the difference of two integer operands.
The SBB instruction computes the difference of two integer operands, minus 1 if the CF flag is set. This instruction
is used to propagate a borrow when subtracting numbers in stages.
7.3 .2 .2 I n cre m e n t a n d D e cre m e n t I n st ru ct io n s
The INC (increment) and DEC (decrement) instructions add 1 to or subtract 1 from an unsigned integer operand,
respectively. A primary use of these instructions is for implementing counters.
7.3 .2 .3 I n cre m e n t a n d D e cre m e n t I n st ru ct io n s in 6 4 -B it Mo d e
The INC and DEC instructions are supported in 64-bit mode. However, some forms of INC and DEC (the register
operand being encoded using register extension field in the MOD R/M byte) are not encodable in 64-bit mode
because the opcodes are treated as REX prefixes.
7.3 .2 .4 C o m p a riso n a n d S ig n C h a n g e I n st ru ct io n
The CMP (compare) instruction computes the difference between two integer operands and updates the OF, SF, ZF,
AF, PF, and CF flags according to the result. The source operands are not modified, nor is the result saved. The CMP
instruction is commonly used in conjunction with a Jcc (jump) or SETcc (byte set on condition) instruction, with the
latter instructions performing an action based on the result of a CMP instruction.
The NEG (negate) instruction subtracts a signed integer operand from zero. The effect of the NEG instruction is to
change the sign of a two's complement operand while keeping its magnitude.
Vol. 1 7-9
PROGRAMMING WITH GENERAL-PURPOSE INSTRUCTIONS
7.3 .2 .5 Mu lt ip lica t io n a n d D iv id e I n st ru ct io n s
The processor provides two multiply instructions, MUL (unsigned multiply) and IMUL signed multiply), and two
divide instructions, DIV (unsigned divide) and IDIV (signed divide).
The MUL instruction multiplies two unsigned integer operands. The result is computed to twice the size of the
source operands (for example, if word operands are being multiplied, the result is a doubleword).
The IMUL instruction multiplies two signed integer operands. The result is computed to twice the size of the source
operands; however, in some cases the result is truncated to the size of the source operands (see IMULSigned
Multiply in Chapter 3, Instruction Set Reference, A-M, of the Intel 64 and IA-32 Architectures Software Devel-
opers Manual, Volume 2A).
The DIV instruction divides one unsigned operand by another unsigned operand and returns a quotient and a
remainder.
The IDIV instruction is identical to the DIV instruction, except that IDIV performs a signed division.
7.3 .3 D e cim a l Arit h m e t ic I n st ru ct io n s
Decimal arithmetic can be performed by combining the binary arithmetic instructions ADD, SUB, MUL, and DIV
(discussed in Section 7.3.2, Binary Arithmetic Instructions) with the decimal arithmetic instructions. The decimal
arithmetic instructions are provided to carry out the following operations:
To adjust the results of a previous binary arithmetic operation to produce a valid BCD result.
To adjust the operands of a subsequent binary arithmetic operation so that the operation will produce a valid
BCD result.
These instructions operate on both packed and unpacked BCD values. For the purpose of this discussion, the
decimal arithmetic instructions are divided subordinate subgroups of instructions that provide:
Packed BCD adjustments
Unpacked BCD adjustments
7.3 .3 .1 P a cke d B C D Ad ju st m e n t I n st ru ct io n s
The DAA (decimal adjust after addition) and DAS (decimal adjust after subtraction) instructions adjust the results
of operations performed on packed BCD integers (see Section 4.7, BCD and Packed BCD Integers). Adding two
packed BCD values requires two instructions: an ADD instruction followed by a DAA instruction. The ADD instruc-
tion adds (binary addition) the two values and stores the result in the AL register. The DAA instruction then adjusts
the value in the AL register to obtain a valid, 2-digit, packed BCD value and sets the CF flag if a decimal carry
occurred as the result of the addition.
Likewise, subtracting one packed BCD value from another requires a SUB instruction followed by a DAS instruction.
The SUB instruction subtracts (binary subtraction) one BCD value from another and stores the result in the AL
register. The DAS instruction then adjusts the value in the AL register to obtain a valid, 2-digit, packed BCD value
and sets the CF flag if a decimal borrow occurred as the result of the subtraction.
7.3 .3 .2 U n p a cke d B C D Ad ju st m e n t I n st ru ct io n s
The AAA (ASCII adjust after addition), AAS (ASCII adjust after subtraction), AAM (ASCII adjust after multiplica-
tion), and AAD (ASCII adjust before division) instructions adjust the results of arithmetic operations performed
in unpacked BCD values (see Section 4.7, BCD and Packed BCD Integers). All these instructions assume that the
value to be adjusted is stored in the AL register or, in one instance, the AL and AH registers.
The AAA instruction adjusts the contents of the AL register following the addition of two unpacked BCD values. It
converts the binary value in the AL register into a decimal value and stores the result in the AL register in unpacked
BCD format (the decimal number is stored in the lower 4 bits of the register and the upper 4 bits are cleared). If a
decimal carry occurred as a result of the addition, the CF flag is set and the contents of the AH register are incre-
mented by 1.
7-10 Vol. 1
PROGRAMMING WITH GENERAL-PURPOSE INSTRUCTIONS
The AAS instruction adjusts the contents of the AL register following the subtraction of two unpacked BCD values.
Here again, a binary value is converted into an unpacked BCD value. If a borrow was required to complete the
decimal subtract, the CF flag is set and the contents of the AH register are decremented by 1.
The AAM instruction adjusts the contents of the AL register following a multiplication of two unpacked BCD values.
It converts the binary value in the AL register into a decimal value and stores the least significant digit of the result
in the AL register (in unpacked BCD format) and the most significant digit, if there is one, in the AH register (also
in unpacked BCD format).
The AAD instruction adjusts a two-digit BCD value so that when the value is divided with the DIV instruction, a valid
unpacked BCD result is obtained. The instruction converts the BCD value in registers AH (most significant digit) and
AL (least significant digit) into a binary value and stores the result in register AL. When the value in AL is divided by
an unpacked BCD value, the quotient and remainder will be automatically encoded in unpacked BCD format.
7.3 .4 D e cim a l Arit h m e t ic I n st ru ct io n s in 6 4 -B it Mo d e
Decimal arithmetic instructions are not supported in 64-bit mode, They are either invalid or not encodable.
7.3 .5 Lo g ica l I n st ru ct io n s
The logical instructions AND, OR, XOR (exclusive or), and NOT perform the standard Boolean operations for which
they are named. The AND, OR, and XOR instructions require two operands; the NOT instruction operates on a
single operand.
7.3 .6 S h ift a n d R o t a t e I n st ru ct io n s
The shift and rotate instructions rearrange the bits within an operand. For the purpose of this discussion, these
instructions are further divided subordinate subgroups of instructions that:
Shift bits
Double-shift bits (move them between operands)
Rotate bits
7.3 .6 .1 S h if t I n st ru ct io n s
The SAL (shift arithmetic left), SHL (shift logical left), SAR (shift arithmetic right), SHR (shift logical right) instruc-
tions perform an arithmetic or logical shift of the bits in a byte, word, or doubleword.
The SAL and SHL instructions perform the same operation (see Figure 7-6). They shift the source operand left by
from 1 to 31 bit positions. Empty bit positions are cleared. The CF flag is loaded with the last bit shifted out of the
operand.
Vol. 1 7-11
PROGRAMMING WITH GENERAL-PURPOSE INSTRUCTIONS
The SHR instruction shifts the source operand right by from 1 to 31 bit positions (see Figure 7-7). As with the
SHL/SAL instruction, the empty bit positions are cleared and the CF flag is loaded with the last bit shifted out of the
operand.
The SAR instruction shifts the source operand right by from 1 to 31 bit positions (see Figure 7-8). This instruction
differs from the SHR instruction in that it preserves the sign of the source operand by clearing empty bit positions
if the operand is positive or setting the empty bits if the operand is negative. Again, the CF flag is loaded with the
last bit shifted out of the operand.
The SAR and SHR instructions can also be used to perform division by powers of 2 (see SAL/SAR/SHL/SHRShift
Instructions in Chapter 4, Instruction Set Reference, N-Z, of the Intel 64 and IA-32 Architectures Software
Developers Manual, Volume 2B).
F ig u re 7 -6 . S H L/S AL I n st ru ct io n O p e ra t io n
F ig u re 7 -7. S H R I n st ru ct io n O p e ra t io n
1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 1 1 1 X
Initial State
CF
0
0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 1 1 1 0 1
After 1-bit SHL/SAL Instruction
0
0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0
After 10-bit SHL/SAL Instruction
Operand
1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 1 1 1 X
Initial State
CF
0
0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 1 1 1
After 1-bit SHR Instruction
0
0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0
After 10-bit SHR Instruction
Operand
7-12 Vol. 1
PROGRAMMING WITH GENERAL-PURPOSE INSTRUCTIONS
7.3 .6 .2 D o u b le -S h if t I n st ru ct io n s
The SHLD (shift left double) and SHRD (shift right double) instructions shift a specified number of bits from one
operand to another (see Figure 7-9). They are provided to facilitate operations on unaligned bit strings. They can
also be used to implement a variety of bit string move operations.
The SHLD instruction shifts the bits in the destination operand to the left and fills the empty bit positions (in the
destination operand) with bits shifted out of the source operand. The destination and source operands must be the
same length (either words or doublewords). The shift count can range from 0 to 31 bits. The result of this shift
operation is stored in the destination operand, and the source operand is not modified. The CF flag is loaded with
the last bit shifted out of the destination operand.
The SHRD instruction operates the same as the SHLD instruction except bits are shifted to the right in the destina-
tion operand, with the empty bit positions filled with bits shifted out of the source operand.
F ig u re 7 -8 . S AR I n st ru ct io n O p e ra t io n
F ig u re 7 -9 . S H LD a n d S H R D I n st ru ct io n O p e ra t io n s
0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 1 1 X
Initial State (Positive Operand)
CF
0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 1 1
After 1-bit SAR Instruction
1 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 1 1 X
Initial State (Negative Operand)
Operand
1 1 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 1 1
After 1-bit SAR Instruction
CF
Destination (Memory or Register) CF
31 0
Source (Register)
31 0
Destination (Memory or Register) CF
31 0
Source (Register)
31 0
S H R D I n st ru ct io n
S H LD I n st ru ct io n
Vol. 1 7-13
PROGRAMMING WITH GENERAL-PURPOSE INSTRUCTIONS
7.3 .6 .3 R o t a t e I n st ru ct io n s
The ROL (rotate left), ROR (rotate right), RCL (rotate through carry left) and RCR (rotate through carry right)
instructions rotate the bits in the destination operand out of one end and back through the other end (see
Figure 7-10). Unlike a shift, no bits are lost during a rotation. The rotate count can range from 0 to 31.
The ROL instruction rotates the bits in the operand to the left (toward more significant bit locations). The ROR
instruction rotates the operand right (toward less significant bit locations).
The RCL instruction rotates the bits in the operand to the left, through the CF flag. This instruction treats the CF
flag as a one-bit extension on the upper end of the operand. Each bit that exits from the most significant bit loca-
tion of the operand moves into the CF flag. At the same time, the bit in the CF flag enters the least significant bit
location of the operand.
The RCR instruction rotates the bits in the operand to the right through the CF flag.
For all the rotate instructions, the CF flag always contains the value of the last bit rotated out of the operand, even
if the instruction does not use the CF flag as an extension of the operand. The value of this flag can then be tested
by a conditional jump instruction (JC or JNC).
7.3 .7 B it a n d B y t e I n st ru ct io n s
These instructions operate on bit or byte strings. For the purpose of this discussion, they are further divided subor-
dinate subgroups that:
Test and modify a single bit
Scan a bit string
Set a byte given conditions
Test operands and report results
F ig u re 7 -1 0 . R O L, R O R , R C L, a n d R C R I n st ru ct io n O p e ra t io n s
Destination (Memory or Register) CF
31 0
Destination (Memory or Register) CF
0 31
Destination (Memory or Register) CF
31 0
Destination (Memory or Register) CF
31 0
ROL Instruction
RCL Instruction
RCR Instruction
ROR Instruction
7-14 Vol. 1
PROGRAMMING WITH GENERAL-PURPOSE INSTRUCTIONS
7.3 .7.1 B it Te st a n d Mo d if y I n st ru ct io n s
The bit test and modify instructions (see Table 7-3) operate on a single bit, which can be in an operand. The loca-
tion of the bit is specified as an offset from the least significant bit of the operand. When the processor identifies
the bit to be tested and modified, it first loads the CF flag with the current value of the bit. Then it assigns a new
value to the selected bit, as determined by the modify operation for the instruction.
7.3 .7.2 B it S ca n I n st ru ct io n s
The BSF (bit scan forward) and BSR (bit scan reverse) instructions scan a bit string in a source operand for a set bit
and store the bit index of the first set bit found in a destination register. The bit index is the offset from the least
significant bit (bit 0) in the bit string to the first set bit. The BSF instruction scans the source operand low-to-high
(from bit 0 of the source operand toward the most significant bit); the BSR instruction scans high-to-low (from the
most significant bit toward the least significant bit).
7.3 .7.3 B y t e S e t o n C o n d it io n I n st ru ct io n s
The SETcc (set byte on condition) instructions set a destination-operand byte to 0 or 1, depending on the state of
selected status flags (CF, OF, SF, ZF, and PF) in the EFLAGS register. The suffix (cc) added to the SET mnemonic
determines the condition being tested for.
For example, the SETO instruction tests for overflow. If the OF flag is set, the destination byte is set to 1; if OF is
clear, the destination byte is cleared to 0. Appendix B, EFLAGS Condition Codes, lists the conditions it is possible
to test for with this instruction.
7.3 .7.4 Te st I n st ru ct io n
The TEST instruction performs a logical AND of two operands and sets the SF, ZF, and PF flags according to the
results. The flags can then be tested by the conditional jump or loop instructions or the SETcc instructions. The
TEST instruction differs from the AND instruction in that it does not alter either of the operands.
7.3 .8 C o n t ro l T ra n sfe r I n st ru ct io n s
The processor provides both conditional and unconditional control transfer instructions to direct the flow of
program execution. Conditional transfers are taken only for specified states of the status flags in the EFLAGS
register. Unconditional control transfers are always executed.
For the purpose of this discussion, these instructions are further divided subordinate subgroups that process:
Unconditional transfers
Conditional transfers
Software interrupts
7.3 .8 .1 U n co n d it io n a l T ra n sfe r I n st ru ct io n s
The JMP, CALL, RET, INT, and IRET instructions transfer program control to another location (destination address)
in the instruction stream. The destination can be within the same code segment (near transfer) or in a different
code segment (far transfer).
Ta b le 7 -3 . B it Te st a n d Mo d if y I n st ru ct io n s
I n st ru ct io n E ffe ct o n C F F la g E ffe ct o n S e le ct e d B it
BT (Bit Test) CF flag Selected Bit No effect
BTS (Bit Test and Set) CF flag Selected Bit Selected Bit 1
BTR (Bit Test and Reset) CF flag Selected Bit Selected Bit 0
BTC (Bit Test and Complement) CF flag Selected Bit Selected Bit NOT (Selected Bit)
Vol. 1 7-15
PROGRAMMING WITH GENERAL-PURPOSE INSTRUCTIONS
Jump instruction The JMP (jump) instruction unconditionally transfers program control to a destination
instruction. The transfer is one-way; that is, a return address is not saved. A destination operand specifies the
address (the instruction pointer) of the destination instruction. The address can be a relative address or an
absolute address.
A relative address is a displacement (offset) with respect to the address in the EIP register. The destination
address (a near pointer) is formed by adding the displacement to the address in the EIP register. The displacement
is specified with a signed integer, allowing jumps either forward or backward in the instruction stream.
An absolute address is a offset from address 0 of a segment. It can be specified in either of the following ways:
An address in a general-purpose register This address is treated as a near pointer, which is copied into
the EIP register. Program execution then continues at the new address within the current code segment.
An address specified using the standard addressing modes of the processor Here, the address can
be a near pointer or a far pointer. If the address is for a near pointer, the address is translated into an offset and
copied into the EIP register. If the address is for a far pointer, the address is translated into a segment selector
(which is copied into the CS register) and an offset (which is copied into the EIP register).
In protected mode, the JMP instruction also allows jumps to a call gate, a task gate, and a task-state segment.
Call and return instructions The CALL (call procedure) and RET (return from procedure) instructions allow a
jump from one procedure (or subroutine) to another and a subsequent jump back (return) to the calling procedure.
The CALL instruction transfers program control from the current (or calling procedure) to another procedure (the
called procedure). To allow a subsequent return to the calling procedure, the CALL instruction saves the current
contents of the EIP register on the stack before jumping to the called procedure. The EIP register (prior to trans-
ferring program control) contains the address of the instruction following the CALL instruction. When this address
is pushed on the stack, it is referred to as the return instruction pointer or return address.
The address of the called procedure (the address of the first instruction in the procedure being jumped to) is spec-
ified in a CALL instruction the same way as it is in a JMP instruction (see Jump instruction on page 7-15). The
address can be specified as a relative address or an absolute address. If an absolute address is specified, it can be
either a near or a far pointer.
The RET instruction transfers program control from the procedure currently being executed (the called procedure)
back to the procedure that called it (the calling procedure). Transfer of control is accomplished by copying the
return instruction pointer from the stack into the EIP register. Program execution then continues with the instruc-
tion pointed to by the EIP register.
The RET instruction has an optional operand, the value of which is added to the contents of the ESP register as part
of the return operation. This operand allows the stack pointer to be incremented to remove parameters from the
stack that were pushed on the stack by the calling procedure.
See Section 6.3, Calling Procedures Using CALL and RET, for more information on the mechanics of making proce-
dure calls with the CALL and RET instructions.
Return from interrupt instruction When the processor services an interrupt, it performs an implicit call to an
interrupt-handling procedure. The IRET (return from interrupt) instruction returns program control from an inter-
rupt handler to the interrupted procedure (that is, the procedure that was executing when the interrupt occurred).
The IRET instruction performs a similar operation to the RET instruction (see Call and return instructions on page
7-15) except that it also restores the EFLAGS register from the stack. The contents of the EFLAGS register are
automatically stored on the stack along with the return instruction pointer when the processor services an inter-
rupt.
7.3 .8 .2 C o n d it io n a l T ra n sfe r I n st ru ct io n s
The conditional transfer instructions execute jumps or loops that transfer program control to another instruction in
the instruction stream if specified conditions are met. The conditions for control transfer are specified with a set of
condition codes that define various states of the status flags (CF, ZF, OF, PF, and SF) in the EFLAGS register.
Conditional jump instructions The Jcc (conditional) jump instructions transfer program control to a destina-
tion instruction if the conditions specified with the condition code (cc) associated with the instruction are satisfied
(see Table 7-4). If the condition is not satisfied, execution continues with the instruction following the Jcc instruc-
tion. As with the JMP instruction, the transfer is one-way; that is, a return address is not saved.
7-16 Vol. 1
PROGRAMMING WITH GENERAL-PURPOSE INSTRUCTIONS
The destination operand specifies a relative address (a signed offset with respect to the address in the EIP register)
that points to an instruction in the current code segment. The Jcc instructions do not support far transfers;
however, far transfers can be accomplished with a combination of a Jcc and a JMP instruction (see JccJump if
Condition Is Met in Chapter 3, Instruction Set Reference, A-M, of the Intel 64 and IA-32 Architectures Software
Developers Manual, Volume 2A).
Table 7-4 shows the mnemonics for the Jcc instructions and the conditions being tested for each instruction. The
condition code mnemonics are appended to the letter J to form the mnemonic for a Jcc instruction. The instruc-
tions are divided into two groups: unsigned and signed conditional jumps. These groups correspond to the results
of operations performed on unsigned and signed integers respectively. Those instructions listed as pairs (for
example, JA/JNBE) are alternate names for the same instruction. Assemblers provide alternate names to make it
easier to read program listings.
The JCXZ and JECXZ instructions test the CX and ECX registers, respectively, instead of one or more status flags.
See Jump if zero instructions on page 7-17 for more information about these instructions.
Loop instructions The LOOP, LOOPE (loop while equal), LOOPZ (loop while zero), LOOPNE (loop while not
equal), and LOOPNZ (loop while not zero) instructions are conditional jump instructions that use the value of the
ECX register as a count for the number of times to execute a loop. All the loop instructions decrement the count in
the ECX register each time they are executed and terminate a loop when zero is reached. The LOOPE, LOOPZ,
LOOPNE, and LOOPNZ instructions also accept the ZF flag as a condition for terminating the loop before the count
reaches zero.
The LOOP instruction decrements the contents of the ECX register (or the CX register, if the address-size attribute
is 16), then tests the register for the loop-termination condition. If the count in the ECX register is non-zero,
program control is transferred to the instruction address specified by the destination operand. The destination
Ta b le 7 -4 . C o n d it io n a l Ju m p I n st ru ct io n s
I n st ru ct io n Mn e m o n ic C o n d it io n (F la g S t a t e s) D e scrip t io n
U n sig n e d C o n d it io n a l Ju m p s
JA/JNBE (CF or ZF) =0 Above/not below or equal
JAE/JNB CF =0 Above or equal/not below
JB/JNAE CF =1 Below/not above or equal
JBE/JNA (CF or ZF) =1 Below or equal/not above
JC CF =1 Carry
JE/JZ ZF =1 Equal/zero
JNC CF =0 Not carry
JNE/JNZ ZF =0 Not equal/not zero
JNP/JPO PF =0 Not parity/parity odd
JP/JPE PF =1 Parity/parity even
JCXZ CX =0 Register CX is zero
JECXZ ECX =0 Register ECX is zero
S ig n e d C o n d it io n a l Ju m p s
JG/JNLE ((SF xor OF) or ZF) =0 Greater/not less or equal
JGE/JNL (SF xor OF) =0 Greater or equal/not less
JL/JNGE (SF xor OF) =1 Less/not greater or equal
JLE/JNG ((SF xor OF) or ZF) =1 Less or equal/not greater
JNO OF =0 Not overflow
JNS SF =0 Not sign (non-negative)
JO OF =1 Overflow
JS SF =1 Sign (negative)
Vol. 1 7-17
PROGRAMMING WITH GENERAL-PURPOSE INSTRUCTIONS
operand is a relative address (that is, an offset relative to the contents of the EIP register), and it generally points
to the first instruction in the block of code that is to be executed in the loop. When the count in the ECX register
reaches zero, program control is transferred to the instruction immediately following the LOOP instruction,
which terminates the loop. If the count in the ECX register is zero when the LOOP instruction is first executed, the
register is pre-decremented to FFFFFFFFH, causing the loop to be executed 2
32
times.
The LOOPE and LOOPZ instructions perform the same operation (they are mnemonics for the same instruction).
These instructions operate the same as the LOOP instruction, except that they also test the ZF flag.
If the count in the ECX register is not zero and the ZF flag is set, program control is transferred to the destination
operand. When the count reaches zero or the ZF flag is clear, the loop is terminated by transferring program control
to the instruction immediately following the LOOPE/LOOPZ instruction.
The LOOPNE and LOOPNZ instructions (mnemonics for the same instruction) operate the same as the
LOOPE/LOOPPZ instructions, except that they terminate the loop if the ZF flag is set.
Jump if zero instructions The JECXZ (jump if ECX zero) instruction jumps to the location specified in the desti-
nation operand if the ECX register contains the value zero. This instruction can be used in combination with a loop
instruction (LOOP, LOOPE, LOOPZ, LOOPNE, or LOOPNZ) to test the ECX register prior to beginning a loop. As
described in Loop instructions on page 7-16, the loop instructions decrement the contents of the ECX register
before testing for zero. If the value in the ECX register is zero initially, it will be decremented to FFFFFFFFH on the
first loop instruction, causing the loop to be executed 2
32
times. To prevent this problem, a JECXZ instruction can
be inserted at the beginning of the code block for the loop, causing a jump out the loop if the EAX register count is
initially zero. When used with repeated string scan and compare instructions, the JECXZ instruction can determine
whether the loop terminated because the count reached zero or because the scan or compare conditions were
satisfied.
The JCXZ (jump if CX is zero) instruction operates the same as the JECXZ instruction when the 16-bit address-size
attribute is used. Here, the CX register is tested for zero.
7.3 .8 .3 C o n t ro l T ra n sfe r I n st ru ct io n s in 6 4 -B it Mo d e
In 64-bit mode, the operand size for all near branches (CALL, RET, JCC, JCXZ, JMP, and LOOP) is forced to 64 bits.
The listed instructions update the 64-bit RIP without need for a REX operand-size prefix.
Near branches in the following operations are forced to 64-bits (regardless of operand size prefixes):
Truncation of the size of the instruction pointer
Size of a stack pop or push, due to CALL or RET
Size of a stack-pointer increment or decrement, due to CALL or RET
Indirect-branch operand size
Note that the displacement field for relative branches is still limited to 32 bits and the address size for near
branches is not forced.
Address size determines the register size (CX/ECX/RCX) used for JCXZ and LOOP. It also impacts the address
calculation for memory indirect branches. Addresses size is 64 bits by default, although it can be over-ridden to 32
bits (using a prefix).
7.3 .8 .4 S o f t w a re I n t e rru p t I n st ru ct io n s
The INT n (software interrupt), INTO (interrupt on overflow), and BOUND (detect value out of range) instructions
allow a program to explicitly raise a specified interrupt or exception, which in turn causes the handler routine for
the interrupt or exception to be called.
The INT n instruction can raise any of the processors interrupts or exceptions by encoding the vector number or
the interrupt or exception in the instruction. This instruction can be used to support software generated interrupts
or to test the operation of interrupt and exception handlers.
The IRET (return from interrupt) instruction returns program control from an interrupt handler to the interrupted
procedure. The IRET instruction performs a similar operation to the RET instruction.
7-18 Vol. 1
PROGRAMMING WITH GENERAL-PURPOSE INSTRUCTIONS
The CALL (call procedure) and RET (return from procedure) instructions allow a jump from one procedure to
another and a subsequent return to the calling procedure. EFLAGS register contents are automatically stored on
the stack along with the return instruction pointer when the processor services an interrupt.
The INTO instruction raises the overflow exception if the OF flag is set. If the flag is clear, execution continues
without raising the exception. This instruction allows software to access the overflow exception handler explicitly to
check for overflow conditions.
The BOUND instruction compares a signed value against upper and lower bounds, and raises the BOUND range
exceeded exception if the value is less than the lower bound or greater than the upper bound. This instruction is
useful for operations such as checking an array index to make sure it falls within the range defined for the array.
7.3 .8 .5 S o f t w a re I n t e rru p t I n st ru ct io n s in 6 4 -b it Mo d e a n d C o m p a t ib ilit y Mo d e
In 64-bit mode, the stack size is 8 bytes wide. IRET must pop 8-byte items off the stack. SS:RSP pops uncondition-
ally. BOUND is not supported.
In compatibility mode, SS:RSP is popped only if the CPL changes.
7.3 .9 S t rin g O p e ra t io n s
The GP instructions includes a set of string instructions that are designed to access large data structures; these
are introduced in Section 7.3.9.1. Section 7.3.9.2 describes how REP prefixes can be used with these instructions
to perform more complex repeated string operations. Certain processors optimize repeated string operations
with fast-string operation, as described in Section 7.3.9.3. Section 7.3.9.4 explains how string operations can be
used in 64-bit mode.
7.3 .9 .1 S t rin g I n st ru ct io n s
The MOVS (Move String), CMPS (Compare string), SCAS (Scan string), LODS (Load string), and STOS (Store
string) instructions permit large data structures, such as alphanumeric character strings, to be moved and exam-
ined in memory. These instructions operate on individual elements in a string, which can be a byte, word, or
doubleword. The string elements to be operated on are identified with the ESI (source string element) and EDI
(destination string element) registers. Both of these registers contain absolute addresses (offsets into a segment)
that point to a string element.
By default, the ESI register addresses the segment identified with the DS segment register. A segment-override
prefix allows the ESI register to be associated with the CS, SS, ES, FS, or GS segment register. The EDI register
addresses the segment identified with the ES segment register; no segment override is allowed for the EDI register.
The use of two different segment registers in the string instructions permits operations to be performed on strings
located in different segments. Or by associating the ESI register with the ES segment register, both the source and
destination strings can be located in the same segment. (This latter condition can also be achieved by loading the
DS and ES segment registers with the same segment selector and allowing the ESI register to default to the DS
register.)
The MOVS instruction moves the string element addressed by the ESI register to the location addressed by the EDI
register. The assembler recognizes three short forms of this instruction, which specify the size of the string to be
moved: MOVSB (move byte string), MOVSW (move word string), and MOVSD (move doubleword string).
The CMPS instruction subtracts the destination string element from the source string element and updates the
status flags (CF, ZF, OF, SF, PF, and AF) in the EFLAGS register according to the results. Neither string element is
written back to memory. The assembler recognizes three short forms of the CMPS instruction: CMPSB (compare
byte strings), CMPSW (compare word strings), and CMPSD (compare doubleword strings).
The SCAS instruction subtracts the destination string element from the contents of the EAX, AX, or AL register
(depending on operand length) and updates the status flags according to the results. The string element and
register contents are not modified. The following short forms of the SCAS instruction specify the operand length:
SCASB (scan byte string), SCASW (scan word string), and SCASD (scan doubleword string).
The LODS instruction loads the source string element identified by the ESI register into the EAX register (for a
doubleword string), the AX register (for a word string), or the AL register (for a byte string). The short forms for
Vol. 1 7-19
PROGRAMMING WITH GENERAL-PURPOSE INSTRUCTIONS
this instruction are LODSB (load byte string), LODSW (load word string), and LODSD (load doubleword string). This
instruction is usually used in a loop, where other instructions process each element of the string after they are
loaded into the target register.
The STOS instruction stores the source string element from the EAX (doubleword string), AX (word string), or AL
(byte string) register into the memory location identified with the EDI register. The short forms for this instruc-
tion are STOSB (store byte string), STOSW (store word string), and STOSD (store doubleword string). This instruc-
tion is also normally used in a loop. Here a string is commonly loaded into the register with a LODS instruction,
operated on by other instructions, and then stored again in memory with a STOS instruction.
The I/O instructions (see Section 7.3.10, I/O Instructions) also perform operations on strings in memory.
7.3 .9 .2 R e p e a t e d S t rin g O p e ra t io n s
Each of the string instructions described in Section 7.3.9.1 each perform one iteration of a string operation. To
operate strings longer than a doubleword, the string instructions can be combined with a repeat prefix (REP) to
create a repeating instruction or be placed in a loop.
When used in string instructions, the ESI and EDI registers are automatically incremented or decremented after
each iteration of an instruction to point to the next element (byte, word, or doubleword) in the string. String oper-
ations can thus begin at higher addresses and work toward lower ones, or they can begin at lower addresses and
work toward higher ones. The DF flag in the EFLAGS register controls whether the registers are incremented (DF =
0) or decremented (DF = 1). The STD and CLD instructions set and clear this flag, respectively.
The following repeat prefixes can be used in conjunction with a count in the ECX register to cause a string instruc-
tion to repeat:
REP Repeat while the ECX register not zero.
REPE/REPZ Repeat while the ECX register not zero and the ZF flag is set.
REPNE/REPNZ Repeat while the ECX register not zero and the ZF flag is clear.
When a string instruction has a repeat prefix, the operation executes until one of the termination conditions spec-
ified by the prefix is satisfied. The REPE/REPZ and REPNE/REPNZ prefixes are used only with the CMPS and SCAS
instructions. Also, note that a REP STOS instruction is the fastest way to initialize a large block of memory.
7.3 .9 .3 Fa st -S t rin g O p e ra t io n
To improve performance, more recent processors support modifications to the processors operation during the
string store operations initiated with the MOVS, MOVSB, STOS, and STOSB instructions. This optimized operation,
called fast-string operation, is used when the execution of one of those instructions meets certain initial condi-
tions (see below). Instructions using fast-string operation effectively operate on the string in groups that may
include multiple elements of the native data size (byte, word, doubleword, or quadword). With fast-string opera-
tion, the processor recognizes interrupts and data breakpoints only on boundaries between these groups. Fast-
string operation is used only if the source and destination addresses both use either the WB or WC memory types.
The initial conditions for fast-string operation are implementation-specific and may vary with the native string size.
Examples of parameters that may impact the use of fast-string operation include the following:
the alignment indicated in the EDI and ESI alignment registers;
the address order of the string operation;
the value of the initial operation counter (ECX); and
the difference between the source and destination addresses.
N O T E
Initial conditions for fast-string operation in future Intel 64 or IA-32 processor families may differ
from above. The Intel 64 and IA-32 Architectures Optimization Reference Manual may contain
model-specific information.
Software can disable fast-string operation by clearing the fast-string-enable bit (bit 0) of IA32_MISC_ENABLE
MSR. However, Intel recommends that system software always enable fast-string operation.
7-20 Vol. 1
PROGRAMMING WITH GENERAL-PURPOSE INSTRUCTIONS
When fast-string operation is enabled (because IA32_MISC_ENABLE[0] = 1), some processors may further
enhance the operation of the REP MOVSB and REP STOSB instructions. A processors supports these enhancements
if CPUID.(EAX=07H, ECX=0H):EBX[bit 9] is 1. The Intel 64 and IA-32 Architectures Optimization Reference
Manual may include model-specific recommendations for use of these enhancements.
The stores produced by fast-string operation may appear to execute out of order. Software dependent upon
sequential store ordering should not use string operations for the entire data structure to be stored. Data and
semaphores should be separated. Order-dependent code should write to a discrete semaphore variable after any
string operations to allow correctly ordered data to be seen by all processors. Atomicity of load and store operations
is guaranteed only for native data elements of the string with native data size, and only if they are included in a
single cache line. See Section 8.2.4, Fast-String Operation and Out-of-Order Stores of Intel 64 and IA-32 Archi-
tectures Software Developers Manual, Volume 3A.
7.3 .9 .4 S t rin g O p e ra t io n s in 6 4 -B it Mo d e
The behavior of MOVS (Move String), CMPS (Compare string), SCAS (Scan string), LODS (Load string), and STOS
(Store string) instructions in 64-bit mode is similar to their behavior in non-64-bit modes, with the following differ-
ences:
The source operand is specified by RSI or DS:ESI, depending on the address size attribute of the operation.
The destination operand is specified by RDI or DS:EDI, depending on the address size attribute of the
operation.
Operation on 64-bit data is supported by using the REX.W prefix.
When using REP prefixes for string operations in 64-bit mode, the repeat count is specified by RCX or ECX
(depending on the address size attribute of the operation). The default address size is 64 bits.
7.3 .1 0 I /O I n st ru ct io n s
The IN (input from port to register), INS (input from port to string), OUT (output from register to port), and OUTS
(output string to port) instructions move data between the processors I/O ports and either a register or memory.
The register I/O instructions (IN and OUT) move data between an I/O port and the EAX register (32-bit I/O), the
AX register (16-bit I/O), or the AL (8-bit I/O) register. The I/O port being read or written to is specified with an
immediate operand or an address in the DX register.
The block I/O instructions (INS and OUTS) instructions move blocks of data (strings) between an I/O port and
memory. These instructions operate similar to the string instructions (see Section 7.3.9, String Operations). The
ESI and EDI registers are used to specify string elements in memory and the repeat prefixes (REP) are used to
repeat the instructions to implement block moves. The assembler recognizes the following alternate mnemonics for
these instructions: INSB (input byte), INSW (input word), and INSD (input doubleword), and OUTB (output byte),
OUTW (output word), and OUTD (output doubleword).
The INS and OUTS instructions use an address in the DX register to specify the I/O port to be read or written to.
7.3 .1 1 I /O I n st ru ct io n s in 6 4 -B it Mo d e
For I/O instructions to and from memory, the differences in 64-bit mode are:
The source operand is specified by RSI or DS:ESI, depending on the address size attribute of the operation.
The destination operand is specified by RDI or DS:EDI, depending on the address size attribute of the
operation.
Operation on 64-bit data is not encodable and REX prefixes are silently ignored.
Vol. 1 7-21
PROGRAMMING WITH GENERAL-PURPOSE INSTRUCTIONS
7.3 .1 2 E n t e r a n d Le a v e I n st ru ct io n s
The ENTER and LEAVE instructions provide machine-language support for procedure calls in block-structured
languages, such as C and Pascal. These instructions and the call and return mechanism that they support are
described in detail in Section 6.5, Procedure Calls for Block-Structured Languages.
7.3 .1 3 F la g C o n t ro l (E F LAG ) I n st ru ct io n s
The Flag Control (EFLAG) instructions allow the state of selected flags in the EFLAGS register to be read or modi-
fied. For the purpose of this discussion, these instructions are further divided subordinate subgroups of instructions
that manipulate:
Carry and direction flags
The EFLAGS register
Interrupt flags
7.3 .1 3 .1 C a rry a n d D ire ct io n F la g I n st ru ct io n s
The STC (set carry flag), CLC (clear carry flag), and CMC (complement carry flag) instructions allow the CF flags in
the EFLAGS register to be modified directly. They are typically used to initialize the CF flag to a known state before
an instruction that uses the flag in an operation is executed. They are also used in conjunction with the rotate-with-
carry instructions (RCL and RCR).
The STD (set direction flag) and CLD (clear direction flag) instructions allow the DF flag in the EFLAGS register to
be modified directly. The DF flag determines the direction in which index registers ESI and EDI are stepped when
executing string processing instructions. If the DF flag is clear, the index registers are incremented after each iter-
ation of a string instruction; if the DF flag is set, the registers are decremented.
7.3 .1 3 .2 E F LAG S T ra n sfe r I n st ru ct io n s
The EFLAGS transfer instructions allow groups of flags in the EFLAGS register to be copied to a register or memory
or be loaded from a register or memory.
The LAHF (load AH from flags) and SAHF (store AH into flags) instructions operate on five of the EFLAGS status
flags (SF, ZF, AF, PF, and CF). The LAHF instruction copies the status flags to bits 7, 6, 4, 2, and 0 of the AH register,
respectively. The contents of the remaining bits in the register (bits 5, 3, and 1) are unaffected, and the contents
of the EFLAGS register remain unchanged. The SAHF instruction copies bits 7, 6, 4, 2, and 0 from the AH register
into the SF, ZF, AF, PF, and CF flags, respectively in the EFLAGS register.
The PUSHF (push flags), PUSHFD (push flags double), POPF (pop flags), and POPFD (pop flags double) instructions
copy the flags in the EFLAGS register to and from the stack. The PUSHF instruction pushes the lower word of the
EFLAGS register onto the stack (see Figure 7-11). The PUSHFD instruction pushes the entire EFLAGS register onto
the stack (with the RF and VM flags read as clear).
The POPF instruction pops a word from the stack into the EFLAGS register. Only bits 11, 10, 8, 7, 6, 4, 2, and 0 of
the EFLAGS register are affected with all uses of this instruction. If the current privilege level (CPL) of the current
F ig u re 7 -1 1 . F la g s Af fe ct e d b y t h e P U S H F, P O P F, P U S H F D , a n d P O P F D I n st ru ct io n s
PUSHFD/POPFD
PUSHF/POPF
31 29 30 28 27 26 25 24 23 22 21 20 19 18 17 16
0
R
F
I
D
A
C
V
M
15 13 14 12 11 10 9 8 7 6 5 4 3 2 1 0
0
C
F
A
F
P
F
1
D
F
I
F
T
F
S
F
Z
F
N
T
0 0 0 0 0 0 0 0 0 0 0
V
I
P
V
I
F
O
F
I
O
P
L
7-22 Vol. 1
PROGRAMMING WITH GENERAL-PURPOSE INSTRUCTIONS
code segment is 0 (most privileged), the IOPL bits (bits 13 and 12) also are affected. If the I/O privilege level
(IOPL) is greater than or equal to the CPL, numerically, the IF flag (bit 9) also is affected.
The POPFD instruction pops a doubleword into the EFLAGS register. This instruction can change the state of the AC
bit (bit 18) and the ID bit (bit 21), as well as the bits affected by a POPF instruction. The restrictions for changing
the IOPL bits and the IF flag that were given for the POPF instruction also apply to the POPFD instruction.
7.3 .1 3 .3 I n t e rru p t F la g I n st ru ct io n s
The STI (set interrupt flag) and CTI (clear interrupt flag) instructions allow the interrupt IF flag in the EFLAGS
register to be modified directly. The IF flag controls the servicing of hardware-generated interrupts (those received
at the processors INTR pin). If the IF flag is set, the processor services hardware interrupts; if the IF flag is clear,
hardware interrupts are masked.
The ability to execute these instructions depends on the operating mode of the processor and the current privilege
level (CPL) of the program or task attempting to execute these instructions.
7.3 .1 4 F la g C o n t ro l (R F LAG ) I n st ru ct io n s in 6 4 -B it Mo d e
In 64-bit mode, the LAHF and SAHF instructions are supported if CPUID.80000001H:ECX.LAHF-SAHF[bit 0] = 1.
PUSHF and POPF behave the same in 64-bit mode as in non-64-bit mode. PUSHFD always pushes 64-bit RFLAGS
onto the stack (with the RF and VM flags read as clear). POPFD always pops a 64-bit value from the top of the stack
and loads the lower 32 bits into RFLAGS. It then zero extends the upper bits of RFLAGS.
7.3 .1 5 S e g m e n t R e g ist e r I n st ru ct io n s
The processor provides a variety of instructions that address the segment registers of the processor directly. These
instructions are only used when an operating system or executive is using the segmented or the real-address mode
memory model.
For the purpose of this discussion, these instructions are divided subordinate subgroups of instructions that allow:
Segment-register load and store
Far control transfers
Software interrupt calls
Handling of far pointers
7.3 .1 5 .1 S e g m e n t -R e g ist e r Lo a d a n d S t o re I n st ru ct io n s
The MOV instruction (introduced in Section 7.3.1.1, General Data Movement Instructions) and the PUSH and POP
instructions (introduced in Section 7.3.1.4, Stack Manipulation Instructions) can transfer 16-bit segment selec-
tors to and from segment registers (DS, ES, FS, GS, and SS). The transfers are always made to or from a segment
register and a general-purpose register or memory. Transfers between segment registers are not supported.
The POP and MOV instructions cannot place a value in the CS register. Only the far control-transfer versions of the
JMP, CALL, and RET instructions (see Section 7.3.15.2, Far Control Transfer Instructions) affect the CS register
directly.
7.3 .1 5 .2 Fa r C o n t ro l T ra n sfe r I n st ru ct io n s
The JMP and CALL instructions (see Section 7.3.8, Control Transfer Instructions) both accept a far pointer as a
source operand to transfer program control to a segment other than the segment currently being pointed to by the
CS register. When a far call is made with the CALL instruction, the current values of the EIP and CS registers are
both pushed on the stack.
The RET instruction (see Call and return instructions on page 7-15) can be used to execute a far return. Here,
program control is transferred from a code segment that contains a called procedure back to the code segment that
Vol. 1 7-23
PROGRAMMING WITH GENERAL-PURPOSE INSTRUCTIONS
contained the calling procedure. The RET instruction restores the values of the CS and EIP registers for the calling
procedure from the stack.
7.3 .1 5 .3 S o f t w a re I n t e rru p t I n st ru ct io n s
The software interrupt instructions INT, INTO, BOUND, and IRET (see Section 7.3.8.4, Software Interrupt Instruc-
tions) can also call and return from interrupt and exception handler procedures that are located in a code segment
other than the current code segment. With these instructions, however, the switching of code segments is handled
transparently from the application program.
7.3 .1 5 .4 Lo a d Fa r P o in t e r I n st ru ct io n s
The load far pointer instructions LDS (load far pointer using DS), LES (load far pointer using ES), LFS (load far
pointer using FS), LGS (load far pointer using GS), and LSS (load far pointer using SS) load a far pointer from
memory into a segment register and a general-purpose general register. The segment selector part of the far
pointer is loaded into the selected segment register and the offset is loaded into the selected general-purpose
register.
7.3 .1 6 Misce lla n e o u s I n st ru ct io n s
The following instructions perform operations that are of interest to applications programmers. For the purpose of
this discussion, these instructions are further divided into subordinate subgroups of instructions that provide for:
Address computations
Table lookup
Processor identification
NOP and undefined instruction entry
7.3 .1 6 .1 Ad d re ss C o m p u t a t io n I n st ru ct io n
The LEA (load effective address) instruction computes the effective address in memory (offset within a segment)
of a source operand and places it in a general-purpose register. This instruction can interpret any of the processors
addressing modes and can perform any indexing or scaling that may be needed. It is especially useful for initial-
izing the ESI or EDI registers before the execution of string instructions or for initializing the EBX register before an
XLAT instruction.
7.3 .1 6 .2 Ta b le Lo o ku p I n st ru ct io n s
The XLAT and XLATB (table lookup) instructions replace the contents of the AL register with a byte read from a
translation table in memory. The initial value in the AL register is interpreted as an unsigned index into the trans-
lation table. This index is added to the contents of the EBX register (which contains the base address of the table)
to calculate the address of the table entry. These instructions are used for applications such as converting character
codes from one alphabet into another (for example, an ASCII code could be used to look up its EBCDIC equivalent
in a table).
7.3 .1 6 .3 P ro ce sso r I d e n t if ica t io n I n st ru ct io n
The CPUID (processor identification) instruction returns information about the processor on which the instruction
is executed.
7.3 .1 6 .4 N o -O p e ra t io n a n d U n d e f in e d I n st ru ct io n s
The NOP (no operation) instruction increments the EIP register to point at the next instruction, but affects nothing
else.
7-24 Vol. 1
PROGRAMMING WITH GENERAL-PURPOSE INSTRUCTIONS
The UD2 (undefined) instruction generates an invalid opcode exception. Intel reserves the opcode for this instruc-
tion for this function. The instruction is provided to allow software to test an invalid opcode exception handler.
7.3 .1 7 R a n d o m N u m b e r G e n e ra t o r I n st ru ct io n
The RDRAND instruction returns a random number. All Intel processors that support the RDRAND instruction indi-
cate the availability of the RDRAND instruction via reporting CPUID.01H:ECX.RDRAND[bit 30] = 1.
RDRAND returns random numbers that are supplied by a cryptographically secure, deterministic random bit gener-
ator DRBG. The DRBG is designed to meet the NIST SP 800-90A standard. The DRBG is re-seeded frequently from
a on-chip non-deterministic entropy source to guarantee data returned by RDRAND is statistically uniform, non-
periodic and non-deterministic.
In order for the hardware design to meet its security goals, the random number generator continuously tests itself
and the random data it is generating. Runtime failures in the random number generator circuitry or statistically
anomalous data occurring by chance will be detected by the self test hardware and flag the resulting data as being
bad. In such extremely rare cases, the RDRAND instruction will return no data instead of bad data.
Under heavy load, with multiple cores executing RDRAND in parallel, it is possible, though unlikely, for the demand
of random numbers by software processes/threads to exceed the rate at which the random number generator
hardware can supply them. This will lead to the RDRAND instruction returning no data transitorily. The RDRAND
instruction indicates the occurrence of this rare situation by clearing the CF flag.
The RDRAND instruction returns with the carry flag set (CF = 1) to indicate valid data is returned. It is recom-
mended that software using the RDRAND instruction to get random numbers retry for a limited number of itera-
tions while RDRAND returns CF=0 and complete when valid data is returned, indicated with CF=1. This will deal
with transitory underflows. A retry limit should be employed to prevent a hard failure in the RNG (expected to be
extremely rare) leading to a busy loop in software.
The intrinsic primitive for RDRAND is defined to address softwares need for the common cases (CF = 1) and the
rare situations (CF = 0). The intrinsic primitive returns a value that reflects the value of the carry flag returned by
the underlying RDRAND instruction. The example below illustrates the recommended usage of an RDRAND
instrinsic in a utility function, a loop to fetch a 64 bit random value with a retry count limit of 10. A C implementa-
tion might be written as follows:
----------------------------------------------------------------------------------------
#define SUCCESS 1
#define RETRY_LIMIT_EXCEEDED 0
#define RETRY_LIMIT 10
int get_random_64( unsigned __int 64 * arand)
{int i ;
for ( i = 0; i < RETRY_LIMIT; i ++) {
if(_rdrand64_step(arand) ) return SUCCESS;
}
return RETRY_LIMIT_EXCEEDED;
}
-------------------------------------------------------------------------------
Vol. 1 8-1
C H AP T E R 8
P R O G R AMMI N G WI T H T H E X8 7 F P U
The x87 Floating-Point Unit (FPU) provides high-performance floating-point processing capabilities for use in
graphics processing, scientific, engineering, and business applications. It supports the floating-point, integer, and
packed BCD integer data types and the floating-point processing algorithms and exception handling architecture
defined in the IEEE Standard 754 for Binary Floating-Point Arithmetic.
This chapter describes the x87 FPUs execution environment and instruction set. It also provides exception
handling information that is specific to the x87 FPU. Refer to the following chapters or sections of chapters for addi-
tional information about x87 FPU instructions and floating-point operations:
Intel 64 and IA-32 Architectures Software Developers Manual, Volumes 2A & 2B, provide detailed descrip-
tions of x87 FPU instructions.
Section 4.2.2, Floating-Point Data Types, Section 4.2.1.2, Signed Integers, and Section 4.7, BCD and
Packed BCD Integers, describe the floating-point, integer, and BCD data types.
Section 4.9, Overview of Floating-Point Exceptions, Section 4.9.1, Floating-Point Exception Conditions, and
Section 4.9.2, Floating-Point Exception Priority, give an overview of the floating-point exceptions that the x87
FPU can detect and report.
8 .1 X8 7 F P U E XE C U T I O N E N V I R O N ME N T
The x87 FPU represents a separate execution environment within the IA-32 architecture (see Figure 8-1). This
execution environment consists of eight data registers (called the x87 FPU data registers) and the following
special-purpose registers:
Status register
Control register
Tag word register
Last instruction pointer register
Last data (operand) pointer register
Opcode register
These registers are described in the following sections.
The x87 FPU executes instructions from the processors normal instruction stream. The state of the x87 FPU is inde-
pendent from the state of the basic execution environment and from the state of SSE/SSE2/SSE3 extensions.
However, the x87 FPU and Intel MMX technology share state because the MMX registers are aliased to the x87 FPU
data registers. Therefore, when writing code that uses x87 FPU and MMX instructions, the programmer must
explicitly manage the x87 FPU and MMX state (see Section 9.5, Compatibility with x87 FPU Architecture).
8 .1 .1 x 8 7 F P U in 6 4 -B it Mo d e a n d C o m p a t ib ilit y Mo d e
In compatibility mode and 64-bit mode, x87 FPU instructions function like they do in protected mode. Memory
operands are specified using the ModR/M, SIB encoding that is described in Section 3.7.5, Specifying an Offset.
8 .1 .2 x 8 7 F P U D a t a R e g ist e rs
The x87 FPU data registers (shown in Figure 8-1) consist of eight 80-bit registers. Values are stored in these regis-
ters in the double extended-precision floating-point format shown in Figure 4-3. When floating-point, integer, or
packed BCD integer values are loaded from memory into any of the x87 FPU data registers, the values are auto-
matically converted into double extended-precision floating-point format (if they are not already in that format).
When computation results are subsequently transferred back into memory from any of the x87 FPU registers, the
8-2 Vol. 1
PROGRAMMING WITH THE X87 FPU
results can be left in the double extended-precision floating-point format or converted back into a shorter floating-
point format, an integer format, or the packed BCD integer format. (See Section 8.2, x87 FPU Data Types, for a
description of the data types operated on by the x87 FPU.)
The x87 FPU instructions treat the eight x87 FPU data registers as a register stack (see Figure 8-2). All addressing of
the data registers is relative to the register on the top of the stack. The register number of the current top-of-stack
register is stored in the TOP (stack TOP) field in the x87 FPU status word. Load operations decrement TOP by one
and load a value into the new top-of-stack register, and store operations store the value from the current TOP
register in memory and then increment TOP by one. (For the x87 FPU, a load operation is equivalent to a push and
a store operation is equivalent to a pop.) Note that load and store operations are also available that do not push and
pop the stack.
If a load operation is performed when TOP is at 0, register wraparound occurs and the new value of TOP is set to 7.
The floating-point stack-overflow exception indicates when wraparound might cause an unsaved value to be over-
written (see Section 8.5.1.1, Stack Overflow or Underflow Exception (#IS)).
Many floating-point instructions have several addressing modes that permit the programmer to implicitly operate
on the top of the stack, or to explicitly operate on specific registers relative to the TOP. Assemblers support these
F ig u re 8 -1 . x 8 7 F P U E xe cu t io n E n v iro n m e n t
F ig u re 8 -2 . x 8 7 F P U D a t a R e g ist e r S t a ck
0 79
R7
R6
R5
R4
R3
R2
R1
R0
Data Registers
Exponent Significand
78 64 63
15
Control
Register
0
Status
Register
Tag
Register
0 47
Last Instruction Pointer
Last Data (Operand) Pointer
10
Opcode
0
Sign
7
6
5
4
3
2
1
0
FPU Data Register Stack
ST(2)
ST(1)
ST(0)
Top
011B
Growth
Stack
Vol. 1 8-3
PROGRAMMING WITH THE X87 FPU
register addressing modes, using the expression ST(0), or simply ST, to represent the current stack top and ST(i)
to specify the ith register from TOP in the stack (0 i 7). For example, if TOP contains 011B (register 3 is the top
of the stack), the following instruction would add the contents of two registers in the stack (registers 3 and 5):
FADD ST, ST(2);
Figure 8-3 shows an example of how the stack structure of the x87 FPU registers and instructions are typically used
to perform a series of computations. Here, a two-dimensional dot product is computed, as follows:
1. The first instruction (FLD value1) decrements the stack register pointer (TOP) and loads the value 5.6 from
memory into ST(0). The result of this operation is shown in snap-shot (a).
2. The second instruction multiplies the value in ST(0) by the value 2.4 from memory and stores the result in
ST(0), shown in snap-shot (b).
3. The third instruction decrements TOP and loads the value 3.8 in ST(0).
4. The fourth instruction multiplies the value in ST(0) by the value 10.3 from memory and stores the result in
ST(0), shown in snap-shot (c).
5. The fifth instruction adds the value and the value in ST(1) and stores the result in ST(0), shown in snap-shot
(d).
The style of programming demonstrated in this example is supported by the floating-point instruction set. In cases
where the stack structure causes computation bottlenecks, the FXCH (exchange x87 FPU register contents)
instruction can be used to streamline a computation.
8 .1 .2 .1 P a ra m e t e r P a ssin g Wit h t h e x 8 7 F P U R e g ist e r S t a ck
Like the general-purpose registers, the contents of the x87 FPU data registers are unaffected by procedure calls, or
in other words, the values are maintained across procedure boundaries. A calling procedure can thus use the x87
FPU data registers (as well as the procedure stack) for passing parameter between procedures. The called proce-
dure can reference parameters passed through the register stack using the current stack register pointer (TOP)
and the ST(0) and ST(i) nomenclature. It is also common practice for a called procedure to leave a return value or
result in register ST(0) when returning execution to the calling procedure or program.
F ig u re 8 -3 . E x a m p le x 8 7 F P U D o t P ro d u ct C o m p u t a t io n
(a)
R7
R6
R5
R4
R3
R2
R1
R0
Computation
ST(0) 5.6
(b)
R7
R6
R5
R4
R3
R2
R1
R0
ST(0) 13.44
(c)
R7
R6
R5
R4
R3
R2
R1
R0
ST(1)
ST(0)
13.44
(d)
R7
R6
R5
R4
R3
R2
R1
R0
ST(1)
ST(0) 39.14
13.44
52.58
Dot Product = (5.6 x 2.4) + (3.8 x 10.3)
Code:
FLD value1 ;(a) value1 = 5.6
FMUL value2 ;(b) value2 = 2.4
FLD value3 ; value3 = 3.8
FMUL value4 ;(c)value4 = 10.3
FADD ST(1) ;(d)
8-4 Vol. 1
PROGRAMMING WITH THE X87 FPU
When mixing MMX and x87 FPU instructions in the procedures or code sequences, the programmer is responsible
for maintaining the integrity of parameters being passed in the x87 FPU data registers. If an MMX instruction is
executed before the parameters in the x87 FPU data registers have been passed to another procedure, the param-
eters may be lost (see Section 9.5, Compatibility with x87 FPU Architecture).
8 .1 .3 x 8 7 F P U S t a t u s R e g ist e r
The 16-bit x87 FPU status register (see Figure 8-4) indicates the current state of the x87 FPU. The flags in the x87
FPU status register include the FPU busy flag, top-of-stack (TOP) pointer, condition code flags, error summary
status flag, stack fault flag, and exception flags. The x87 FPU sets the flags in this register to show the results of
operations.

The contents of the x87 FPU status register (referred to as the x87 FPU status word) can be stored in memory using
the FSTSW/FNSTSW, FSTENV/FNSTENV, FSAVE/FNSAVE, and FXSAVE instructions. It can also be stored in the AX
register of the integer unit, using the FSTSW/FNSTSW instructions.
8 .1 .3 .1 To p o f S t a ck (T O P ) P o in t e r
A pointer to the x87 FPU data register that is currently at the top of the x87 FPU register stack is contained in bits
11 through 13 of the x87 FPU status word. This pointer, which is commonly referred to as TOP (for top-of-stack),
is a binary value from 0 to 7. See Section 8.1.2, x87 FPU Data Registers, for more information about the TOP
pointer.
8 .1 .3 .2 C o n d it io n C o d e F la g s
The four condition code flags (C0 through C3) indicate the results of floating-point comparison and arithmetic oper-
ations. Table 8-1 summarizes the manner in which the floating-point instructions set the condition code flags.
These condition code bits are used principally for conditional branching and for storage of information used in
exception handling (see Section 8.1.4, Branching and Conditional Moves on Condition Codes).
As shown in Table 8-1, the C1 condition code flag is used for a variety of functions. When both the IE and SF flags
in the x87 FPU status word are set, indicating a stack overflow or underflow exception (#IS), the C1 flag distin-
guishes between overflow (C1 = 1) and underflow (C1 = 0). When the PE flag in the status word is set, indicating
an inexact (rounded) result, the C1 flag is set to 1 if the last rounding by the instruction was upward. The FXAM
instruction sets C1 to the sign of the value being examined.
F ig u re 8 -4 . x 8 7 F P U S t a t u s Wo rd
FPU Busy
15 13 14 11 10 9 8 7 6 5 4 3 2 1 0
B
I
E
P
E
O
E
U
E
Z
E
D
E
TOP
Top of Stack Pointer
Exception Flags
Precision
Underflow
Overflow
Zero Divide
Denormalized Operand
Invalid Operation
Stack Fault
Error Summary Status
Condition
Code
C
2
C
1
C
0
E
S
S
F
C
3
Vol. 1 8-5
PROGRAMMING WITH THE X87 FPU
The C2 condition code flag is used by the FPREM and FPREM1 instructions to indicate an incomplete reduction (or
partial remainder). When a successful reduction has been completed, the C0, C3, and C1 condition code flags are
set to the three least-significant bits of the quotient (Q2, Q1, and Q0, respectively). See FPREM1Partial
Remainder in Chapter 3, Instruction Set Reference, A-M, of the Intel 64 and IA-32 Architectures Software
Developers Manual, Volume 2A, for more information on how these instructions use the condition code flags.
The FPTAN, FSIN, FCOS, and FSINCOS instructions set the C2 flag to 1 to indicate that the source operand is
beyond the allowable range of 2
63
and clear the C2 flag if the source operand is within the allowable range.
Where the state of the condition code flags are listed as undefined in Table 8-1, do not rely on any specific value in
these flags.
8 .1 .3 .3 x 8 7 F P U F lo a t in g -P o in t E x ce p t io n F la g s
The six x87 FPU floating-point exception flags (bits 0 through 5) of the x87 FPU status word indicate that one or
more floating-point exceptions have been detected since the bits were last cleared. The individual exception flags
(IE, DE, ZE, OE, UE, and PE) are described in detail in Section 8.4, x87 FPU Floating-Point Exception Handling.
Each of the exception flags can be masked by an exception mask bit in the x87 FPU control word (see Section 8.1.5,
x87 FPU Control Word). The exception summary status flag (ES, bit 7) is set when any of the unmasked exception
flags are set. When the ES flag is set, the x87 FPU exception handler is invoked, using one of the techniques
described in Section 8.7, Handling x87 FPU Exceptions in Software. (Note that if an exception flag is masked, the
x87 FPU will still set the appropriate flag if the associated exception occurs, but it will not set the ES flag.)
The exception flags are sticky bits (once set, they remain set until explicitly cleared). They can be cleared by
executing the FCLEX/FNCLEX (clear exceptions) instructions, by reinitializing the x87 FPU with the FINIT/FNINIT or
FSAVE/FNSAVE instructions, or by overwriting the flags with an FRSTOR or FLDENV instruction.
The B-bit (bit 15) is included for 8087 compatibility only. It reflects the contents of the ES flag.
Ta b le 8 -1 . C o n d it io n C o d e I n t e rp re t a t io n
I n st ru ct io n C 0 C 3 C 2 C 1
FCOM, FCOMP, FCOMPP, FICOM, FICOMP, FTST,
FUCOM, FUCOMP, FUCOMPP
Result of Comparison Operands
are not
Comparable
0 or #IS
FCOMI, FCOMIP, FUCOMI, FUCOMIP Undefined. (These instructions set the
status flags in the EFLAGS register.)
#IS
FXAM Operand class Sign
FPREM, FPREM1 Q2 Q1 0 =reduction
complete
1 =reduction
incomplete
Q0 or #IS
F2XM1, FADD, FADDP, FBSTP, FCMOVcc,
FIADD, FDIV, FDIVP, FDIVR, FDIVRP, FIDIV,
FIDIVR, FIMUL, FIST, FISTP, FISUB,
FISUBR,FMUL, FMULP, FPATAN, FRNDINT,
FSCALE, FST, FSTP, FSUB, FSUBP, FSUBR,
FSUBRP,FSQRT, FYL2X, FYL2XP1
Undefined Roundup or #IS
FCOS, FSIN, FSINCOS, FPTAN Undefined 0 =source
operand within
range
1 =source
operand out of
range
Roundup or #IS
(Undefined if C2 =
1)
FABS, FBLD, FCHS, FDECSTP, FILD, FINCSTP,
FLD, Load Constants, FSTP (ext. prec.), FXCH,
FXTRACT
Undefined 0 or #IS
8-6 Vol. 1
PROGRAMMING WITH THE X87 FPU
8 .1 .3 .4 S t a ck Fa u lt F la g
The stack fault flag (bit 6 of the x87 FPU status word) indicates that stack overflow or stack underflow has occurred
with data in the x87 FPU data register stack. The x87 FPU explicitly sets the SF flag when it detects a stack overflow
or underflow condition, but it does not explicitly clear the flag when it detects an invalid-arithmetic-operand condi-
tion.
When this flag is set, the condition code flag C1 indicates the nature of the fault: overflow (C1 = 1) and under-
flow (C1 = 0). The SF flag is a sticky flag, meaning that after it is set, the processor does not clear it until it is
explicitly instructed to do so (for example, by an FINIT/FNINIT, FCLEX/FNCLEX, or FSAVE/FNSAVE instruction).
See Section 8.1.7, x87 FPU Tag Word, for more information on x87 FPU stack faults.
8 .1 .4 B ra n ch in g a n d C o n d it io n a l Mo v e s o n C o n d it io n C o d e s
The x87 FPU (beginning with the P6 family processors) supports two mechanisms for branching and performing
conditional moves according to comparisons of two floating-point values. These mechanism are referred to here as
the old mechanism and the new mechanism.
The old mechanism is available in x87 FPUs prior to the P6 family processors and in P6 family processors. This
mechanism uses the floating-point compare instructions (FCOM, FCOMP, FCOMPP, FTST, FUCOMPP, FICOM, and
FICOMP) to compare two floating-point values and set the condition code flags (C0 through C3) according to the
results. The contents of the condition code flags are then copied into the status flags of the EFLAGS register using
a two step process (see Figure 8-5):
1. The FSTSW AX instruction moves the x87 FPU status word into the AX register.
2. The SAHF instruction copies the upper 8 bits of the AX register, which includes the condition code flags, into the
lower 8 bits of the EFLAGS register.
When the condition code flags have been loaded into the EFLAGS register, conditional jumps or conditional moves
can be performed based on the new settings of the status flags in the EFLAGS register.
FLDENV, FRSTOR Each bit loaded from memory
FFREE, FLDCW, FCLEX/FNCLEX, FNOP,
FSTCW/FNSTCW, FSTENV/FNSTENV,
FSTSW/FNSTSW,
Undefined
FINIT/FNINIT, FSAVE/FNSAVE 0 0 0 0
F ig u re 8 -5 . Mo v in g t h e C o n d it io n C o d e s t o t h e E F LAG S R e g ist e r
Ta b le 8 -1 . C o n d it io n C o d e I n t e rp re t a t io n (C o n t d .)
0
Condition
Code
Status
Flag
C0
C1
C2
C3
CF
(none)
PF
ZF
C
F
1
P
F
Z
F
7 31 EFLAGS Register
0
C
2
C
1
C
3
AX Register
0
C
15
0
C
2
C
1
C
3
x87 FPU Status Word
0
C
15
FSTSW AX Instruction
SAHF Instruction
Vol. 1 8-7
PROGRAMMING WITH THE X87 FPU
The new mechanism is available beginning with the P6 family processors. Using this mechanism, the new floating-
point compare and set EFLAGS instructions (FCOMI, FCOMIP, FUCOMI, and FUCOMIP) compare two floating-point
values and set the ZF, PF, and CF flags in the EFLAGS register directly. A single instruction thus replaces the three
instructions required by the old mechanism.
Note also that the FCMOVcc instructions (also new in the P6 family processors) allow conditional moves of floating-
point values (values in the x87 FPU data registers) based on the setting of the status flags (ZF, PF, and CF) in the
EFLAGS register. These instructions eliminate the need for an IF statement to perform conditional moves of
floating-point values.
8 .1 .5 x 8 7 F P U C o n t ro l Wo rd
The 16-bit x87 FPU control word (see Figure 8-6) controls the precision of the x87 FPU and rounding method used.
It also contains the x87 FPU floating-point exception mask bits. The control word is cached in the x87 FPU control
register. The contents of this register can be loaded with the FLDCW instruction and stored in memory with the
FSTCW/FNSTCW instructions.
When the x87 FPU is initialized with either an FINIT/FNINIT or FSAVE/FNSAVE instruction, the x87 FPU control
word is set to 037FH, which masks all floating-point exceptions, sets rounding to nearest, and sets the x87 FPU
precision to 64 bits.
8 .1 .5 .1 x 8 7 F P U F lo a t in g -P o in t E x ce p t io n Ma sk B it s
The exception-flag mask bits (bits 0 through 5 of the x87 FPU control word) mask the 6 floating-point exception
flags in the x87 FPU status word. When one of these mask bits is set, its corresponding x87 FPU floating-point
exception is blocked from being generated.
8 .1 .5 .2 P re cisio n C o n t ro l F ie ld
The precision-control (PC) field (bits 8 and 9 of the x87 FPU control word) determines the precision (64, 53, or 24
bits) of floating-point calculations made by the x87 FPU (see Table 8-2). The default precision is double extended
precision, which uses the full 64-bit significand available with the double extended-precision floating-point format
of the x87 FPU data registers. This setting is best suited for most applications, because it allows applications to take
full advantage of the maximum precision available with the x87 FPU data registers.
F ig u re 8 -6 . x 8 7 F P U C o n t ro l Wo rd
15 13 14 12 11 10 9 8 7 6 5 4 3 2 1 0
X
I
M
P
M
O
M
U
M
Z
M
D
M
RC PC
Infinity Control
Rounding Control
Precision Control
Exception Masks
Precision
Underflow
Overflow
Zero Divide
Denormal Operand
Invalid Operation
Reserved
8-8 Vol. 1
PROGRAMMING WITH THE X87 FPU
The double precision and single precision settings reduce the size of the significand to 53 bits and 24 bits, respec-
tively. These settings are provided to support IEEE Standard 754 and to provide compatibility with the specifica-
tions of certain existing programming languages. Using these settings nullifies the advantages of the double
extended-precision floating-point format's 64-bit significand length. When reduced precision is specified, the
rounding of the significand value clears the unused bits on the right to zeros.
The precision-control bits only affect the results of the following floating-point instructions: FADD, FADDP, FIADD,
FSUB, FSUBP, FISUB, FSUBR, FSUBRP, FISUBR, FMUL, FMULP, FIMUL, FDIV, FDIVP, FIDIV, FDIVR, FDIVRP, FIDIVR,
and FSQRT.
8 .1 .5 .3 R o u n d in g C o n t ro l F ie ld
The rounding-control (RC) field of the x87 FPU control register (bits 10 and 11) controls how the results of x87 FPU
floating-point instructions are rounded. See Section 4.8.4, Rounding, for a discussion of rounding of floating-
point values; See Section 4.8.4.1, Rounding Control (RC) Fields, for the encodings of the RC field.
8 .1 .6 I n fin it y C o n t ro l F la g
The infinity control flag (bit 12 of the x87 FPU control word) is provided for compatibility with the Intel 287 Math
Coprocessor; it is not meaningful for later version x87 FPU coprocessors or IA-32 processors. See Section 4.8.3.3,
Signed Infinities, for information on how the x87 FPUs handle infinity values.
8 .1 .7 x 8 7 F P U Ta g Wo rd
The 16-bit tag word (see Figure 8-7) indicates the contents of each the 8 registers in the x87 FPU data-register
stack (one 2-bit tag per register). The tag codes indicate whether a register contains a valid number, zero, or a
special floating-point number (NaN, infinity, denormal, or unsupported format), or whether it is empty. The x87
FPU tag word is cached in the x87 FPU in the x87 FPU tag word register. When the x87 FPU is initialized with either
an FINIT/FNINIT or FSAVE/FNSAVE instruction, the x87 FPU tag word is set to FFFFH, which marks all the x87 FPU
data registers as empty.
.
Each tag in the x87 FPU tag word corresponds to a physical register (numbers 0 through 7). The current top-of-
stack (TOP) pointer stored in the x87 FPU status word can be used to associate tags with registers relative to ST(0).
Ta b le 8 -2 . P re cisio n C o n t ro l F ie ld (P C )
P re cisio n P C F ie ld
Single Precision (24 bits) 00B
Reserved 01B
Double Precision (53 bits) 10B
Double Extended Precision (64 bits) 11B
F ig u re 8 -7. x 8 7 F P U Ta g Wo rd
0 15
TAG Values
TAG(7) TAG(5) TAG(6) TAG(4) TAG(3) TAG(2) TAG(1) TAG(0)
00 Valid
01 Zero
10 Special: invalid (NaN, unsupported), infinity, or denormal
11 Empty
Vol. 1 8-9
PROGRAMMING WITH THE X87 FPU
The x87 FPU uses the tag values to detect stack overflow and underflow conditions (see Section 8.5.1.1, Stack
Overflow or Underflow Exception (#IS)).
Application programs and exception handlers can use this tag information to check the contents of an x87 FPU data
register without performing complex decoding of the actual data in the register. To read the tag register, it must be
stored in memory using either the FSTENV/FNSTENV or FSAVE/FNSAVE instructions. The location of the tag word
in memory after being saved with one of these instructions is shown in Figures 8-9 through 8-12.
Software cannot directly load or modify the tags in the tag register. The FLDENV and FRSTOR instructions load an
image of the tag register into the x87 FPU; however, the x87 FPU uses those tag values only to determine if the
data registers are empty (11B) or non-empty (00B, 01B, or 10B).
If the tag register image indicates that a data register is empty, the tag in the tag register for that data register is
marked empty (11B); if the tag register image indicates that the data register is non-empty, the x87 FPU reads the
actual value in the data register and sets the tag for the register accordingly. This action prevents a program from
setting the values in the tag register to incorrectly represent the actual contents of non-empty data registers.
8 .1 .8 x 8 7 F P U I n st ru ct io n a n d D a t a (O p e ra n d ) P o in t e rs
The x87 FPU stores pointers to the instruction and data (operand) for the last non-control instruction executed.
These are the x87 FPU instruction pointer and x87 FPU data (operand) pointers; software can save these pointers
to provide state information for exception handlers. The pointers are illustrated in Figure 8-1 (the figure illustrates
the pointers as used outside 64-bit mode; see below).
Note that the value in the x87 FPU data pointer register is always a pointer to a memory operand, If the last non-
control instruction that was executed did not have a memory operand, the value in the data pointer register is
undefined (reserved).
The contents of the x87 FPU instruction and data pointer registers remain unchanged when any of the control
instructions (FCLEX/FNCLEX, FLDCW, FSTCW/FNSTCW, FSTSW/FNSTSW, FSTENV/FNSTENV, FLDENV, and
WAIT/FWAIT) are executed.
For all the x87 FPUs and NPXs except the 8087, the x87 FPU instruction pointer points to any prefixes that preceded
the instruction. For the 8087, the x87 FPU instruction pointer points only to the actual opcode.
The x87 FPU instruction and data pointers each consists of an offset and a segment selector. On processors that
support IA-32e mode, each offset comprises 64 bits; on other processors, each offset comprises 32 bits. Each
segment selector comprises 16 bits.
The pointers are accessed by the FINIT/FNINIT, FLDENV, FRSTOR, FSAVE/FNSAVE, FSTENV/FNSTENV, FXRSTOR,
FXSAVE, XRSTOR, XSAVE, and XSAVEOPT instructions as follows:
FINIT/FNINIT. Each instruction clears each 64-bit offset and 16-bit segment selector.
FLDENV, FRSTOR. These instructions use the memory formats given in Figures 8-9 through 8-12:
For each 64-bit offset, each instruction loads the lower 32 bits from memory and clears the upper 32 bits.
If CR0.PE = 1, each instruction loads each 16-bit segment selector from memory; otherwise, it clears each
16-bit segment selector.
FSAVE/FNSAVE, FSTENV/FNSTENV. These instructions use the memory formats given in Figures 8-9 through
8-12.
Each instruction saves the lower 32 bits of each 64-bit offset into memory. the upper 32 bits are not saved.
If CR0.PE = 1, each instruction saves each 16-bit segment selector into memory. If
CPUID.(EAX=07H,ECX=0H):EBX[bit 13] = 1, the processor deprecates the segment selectors of the x87
FPU instruction and data pointers; it saves each segment selector as 0000H.
After saving these data into memory, FSAVE/FNSAVE clears each 64-bit offset and 16-bit segment selector.
FXRSTOR, XRSTOR. These instructions load data from a memory image whose format depend on operating
mode and the REX prefix. The memory formats are given in Tables 3-53, 3-56, and 3-57 in Chapter 3,
Instruction Set Reference, A-M, of the Intel 64 and IA-32 Architectures Software Developers Manual,
Volume 2A.
Outside of 64-bit mode or if REX.W = 0, the instructions operate as follows:
8-10 Vol. 1
PROGRAMMING WITH THE X87 FPU
For each 64-bit offset, each instruction loads the lower 32 bits from memory and clears the upper 32
bits.
Each instruction loads each 16-bit segment selector from memory.
In 64-bit mode with REX.W = 1, the instructions operate as follows:
Each instruction loads each 64-bit offset from memory.
Each instruction clears each 16-bit segment selector.
FXSAVE, XSAVE, and XSAVEOPT. These instructions store data into a memory image whose format depend on
operating mode and the REX prefix. The memory formats are given in Tables 3-53, 3-56, and 3-57 in Chapter
3, Instruction Set Reference, A-M, of the Intel 64 and IA-32 Architectures Software Developers Manual,
Volume 2A.
Outside of 64-bit mode or if REX.W = 0, the instructions operate as follows:
Each instruction saves the lower 32 bits of each 64-bit offset into memory. The upper 32 bits are not
saved.
Each instruction saves each 16-bit segment selector into memory. If
CPUID.(EAX=07H,ECX=0H):EBX[bit 13] = 1, the processor deprecates the segment selectors of the
x87 FPU instruction and data pointers; it saves each segment selector as 0000H.
In 64-bit mode with REX.W = 1, each instruction saves each 64-bit offset into memory. The 16-bit segment
selectors are not saved.
8 .1 .9 La st I n st ru ct io n O p co d e
The x87 FPU stores the opcode of the last non-control instruction executed in an 11-bit x87 FPU opcode register.
(This information provides state information for exception handlers.) Only the first and second opcode bytes (after
all prefixes) are stored in the x87 FPU opcode register. Figure 8-8 shows the encoding of these two bytes. Since the
upper 5 bits of the first opcode byte are the same for all floating-point opcodes (11011B), only the lower 3 bits of
this byte are stored in the opcode register.
8 .1 .9 .1 Fo p co d e C o m p a t ib ilit y S u b -m o d e
Beginning with the Pentium 4 and Intel Xeon processors, the IA-32 architecture provides program control over the
storing of the last instruction opcode (sometimes referred to as the fopcode). Here, bit 2 of the
IA32_MISC_ENABLE MSR enables (set) or disables (clear) the fopcode compatibility mode.
If FOP code compatibility mode is enabled, the FOP is defined as it has always been in previous IA32 implementa-
tions (always defined as the FOP of the last non-transparent FP instruction executed before a
FSAVE/FSTENV/FXSAVE). If FOP code compatibility mode is disabled (default), FOP is only valid if the last non-trans-
parent FP instruction executed before a FSAVE/FSTENV/FXSAVE had an unmasked exception.
F ig u re 8 -8 . C o n t e n t s o f x 8 7 F P U O p co d e R e g ist e rs
0
x87 FPU Opcode Register
10
0
2nd Instruction Byte
7 0
1st Instruction Byte
7 2
7 8
Vol. 1 8-11
PROGRAMMING WITH THE X87 FPU
The fopcode compatibility mode should be enabled only when x87 FPU floating-point exception handlers are
designed to use the fopcode to analyze program performance or restart a program after an exception has been
handled.
8 .1 .1 0 S a v in g t h e x 8 7 F P U s S t a t e w it h F S T E N V/F N S T E N V a n d F S AVE /F N S AVE
The FSTENV/FNSTENV and FSAVE/FNSAVE instructions store x87 FPU state information in memory for use by
exception handlers and other system and application software. The FSTENV/FNSTENV instruction saves the
contents of the status, control, tag, x87 FPU instruction pointer, x87 FPU data pointer, and opcode registers. The
FSAVE/FNSAVE instruction stores that information plus the contents of the x87 FPU data registers. Note that the
FSAVE/FNSAVE instruction also initializes the x87 FPU to default values (just as the FINIT/FNINIT instruction does)
after it has saved the original state of the x87 FPU.
The manner in which this information is stored in memory depends on the operating mode of the processor
(protected mode or real-address mode) and on the operand-size attribute in effect (32-bit or 16-bit). See Figures
8-9 through 8-12. In virtual-8086 mode or SMM, the real-address mode formats shown in Figure 8-12 is used. See
Chapter 34, System Management Mode, of the Intel 64 and IA-32 Architectures Software Developers Manual,
Volume 3C, for information on using the x87 FPU while in SMM.
The FLDENV and FRSTOR instructions allow x87 FPU state information to be loaded from memory into the x87 FPU.
Here, the FLDENV instruction loads only the status, control, tag, x87 FPU instruction pointer, x87 FPU data pointer,
and opcode registers, and the FRSTOR instruction loads all the x87 FPU registers, including the x87 FPU stack
registers.
F ig u re 8 -9 . P ro t e ct e d Mo d e x 8 7 F P U S t a t e I m a g e in Me m o ry, 3 2 -B it Fo rm a t
F ig u re 8 -1 0 . R e a l Mo d e x 8 7 F P U S t a t e I m a g e in Me m o ry, 3 2 -B it Fo rm a t
0 31
0
4
8
12
16
20
24
32-Bit Protected Mode Format
Control Word
Bits 10:0 of opcode
Status Word
Tag Word
FPU Instruction Pointer Selector
FPU Data Pointer Selector
FPU Data Pointer Offset
0 0 0 0 0
FPU Instruction Pointer Offset
16 15
For instructions that also store x87 FPU data registers, the eight
80-bit registers (R0-R7) follow the above structure in sequence.
0 31
0
4
8
12
16
20
24
32-Bit Real-Address Mode Format
Control Word
Bits 31:16 of FPU DP
Bits 31:16 of FPU IP
Status Word
Tag Word
Bits 10:0 of opcode
0 0 0 0 0 0 0 0 0 0 0 0
Bits 15:0 of FPU DP
0 0 0 0
Bits 15:0 of FPU IP
0 0 0 0
16 15
For instructions that also store x87 FPU data registers, the eight
80-bit registers (R0-R7) follow the above structure in sequence.
8-12 Vol. 1
PROGRAMMING WITH THE X87 FPU
8 .1 .1 1 S a v in g t h e x 8 7 F P U s S t a t e w it h F XS AVE
The FXSAVE and FXRSTOR instructions save and restore, respectively, the x87 FPU state along with the state of the
XMM registers and the MXCSR register. Using the FXSAVE instruction to save the x87 FPU state has two benefits:
(1) FXSAVE executes faster than FSAVE, and (2) FXSAVE saves the entire x87 FPU, MMX, and XMM state in one
operation. See Section 10.5, FXSAVE and FXRSTOR Instructions, for additional information about these instruc-
tions.
8 .2 X8 7 F P U D AT A T YP E S
The x87 FPU recognizes and operates on the following seven data types (see Figures 8-13): single-precision
floating point, double-precision floating point, double extended-precision floating point, signed word integer,
signed doubleword integer, signed quadword integer, and packed BCD decimal integers.
For detailed information about these data types, see Section 4.2.2, Floating-Point Data Types, Section 4.2.1.2,
Signed Integers, and Section 4.7, BCD and Packed BCD Integers.
With the exception of the 80-bit double extended-precision floating-point format, all of these data types exist in
memory only. When they are loaded into x87 FPU data registers, they are converted into double extended-preci-
sion floating-point format and operated on in that format.
Denormal values are also supported in each of the floating-point types, as required by IEEE Standard 754. When a
denormal number in single-precision or double-precision floating-point format is used as a source operand and the
denormal exception is masked, the x87 FPU automatically normalizes the number when it is converted to double
extended-precision format.
F ig u re 8 -1 1 . P ro t e ct e d Mo d e x 8 7 F P U S t a t e I m a g e in Me m o ry, 1 6 -B it F o rm a t
F ig u re 8 -1 2 . R e a l Mo d e x 8 7 F P U S t a t e I m a g e in Me m o ry, 1 6 -B it F o rm a t
0
0
2
4
6
8
10
12
16-Bit Protected Mode Format
Control Word
15
Status Word
Tag Word
FPU Instruction Pointer Selector
FPU Data Pointer Selector
FPU Data Pointer Offset
FPU Instruction Pointer Offset
0
0
2
4
6
8
10
12
16-Bit Real-Address Mode and
Control Word
15
Status Word
Tag Word
Virtual-8086 Mode Format
0 0 0 0 0 0 0 0 0 0 0 0
Bits 10:0 of opcode 0
Bits 15:0 of FPU IP
IP[19:16]
DP[19:16]
Bits 15:0 of FPU DP
Vol. 1 8-13
PROGRAMMING WITH THE X87 FPU
When stored in memory, the least significant byte of an x87 FPU data-type value is stored at the initial address
specified for the value. Successive bytes from the value are then stored in successively higher addresses in
memory. The floating-point instructions load and store memory operands using only the initial address of the
operand.
As a general rule, values should be stored in memory in double-precision format. This format provides sufficient
range and precision to return correct results with a minimum of programmer attention. The single-precision format
is useful for debugging algorithms, because rounding problems will manifest themselves more quickly in this
format. The double extended-precision format is normally reserved for holding intermediate results in the x87 FPU
registers and constants. Its extra length is designed to shield final results from the effects of rounding and over-
flow/underflow in intermediate calculations. However, when an application requires the maximum range and preci-
sion of the x87 FPU (for data storage, computations, and results), values can be stored in memory in double
extended-precision format.
8 .2 .1 I n d e fin it e s
For each x87 FPU data type, one unique encoding is reserved for representing the special value indefinite. The
x87 FPU produces indefinite values as responses to some masked floating-point invalid-operation exceptions. See
Tables 4-1, 4-3, and 4-4 for the encoding of the integer indefinite, QNaN floating-point indefinite, and packed BCD
integer indefinite, respectively.
The binary integer encoding 100..00B represents either of two things, depending on the circumstances of its use:
The largest negative number supported by the format (2
15
, 2
31
, or 2
63
)
The integer indefinite value
If this encoding is used as a source operand (as in an integer load or integer arithmetic instruction), the x87 FPU
interprets it as the largest negative number representable in the format being used. If the x87 FPU detects an
invalid operation when storing an integer value in memory with an FIST/FISTP instruction and the invalid-operation
F ig u re 8 -1 3 . x 8 7 F P U D a t a T y p e Fo rm a t s
0
Packed BCD Integers
79
D0
0
Quadword Integer
63
4 Bits = 1 BCD Digit
0
Doubleword Integer
31
0
Word Integer
15
Sign
D1 D2 D3 D4 D5 D6 D7 D8 D9 D10 D11 D12 D13 D14 D15 D16 D17
78 7271
X
62
14
30
0
Double Extended-Precision Floating-Point
79
Sign
78 6463
0
Double-Precision Floating-Point
6362
0
Single-Precision Floating-Point
3130 23 22
Fraction Exp. Sign
Implied Integer
Implied Integer
Sign Exponent Fraction
52 51
Fraction Exponent
62
Integer
Sign
Sign
Sign
8-14 Vol. 1
PROGRAMMING WITH THE X87 FPU
exception is masked, the x87 FPU stores the integer indefinite encoding in the destination operand as a masked
response to the exception. In situations where the origin of a value with this encoding may be ambiguous, the
invalid-operation exception flag can be examined to see if the value was produced as a response to an exception.
8 .2 .2 U n su p p o rt e d D o u b le E x t e n d e d -P re cisio n
F lo a t in g -P o in t E n co d in g s a n d P se u d o -D e n o rm a ls
The double extended-precision floating-point format permits many encodings that do not fall into any of the cate-
gories shown in Table 4-3. Table 8-3 shows these unsupported encodings. Some of these encodings were supported
by the Intel 287 math coprocessor; however, most of them are not supported by the Intel 387 math coprocessor
and later IA-32 processors. These encodings are no longer supported due to changes made in the final version of
IEEE Standard 754 that eliminated these encodings.
Specifically, the categories of encodings formerly known as pseudo-NaNs, pseudo-infinities, and un-normal
numbers are not supported and should not be used as operand values. The Intel 387 math coprocessor and later
IA-32 processors generate an invalid-operation exception when these encodings are encountered as operands.
Beginning with the Intel 387 math coprocessor, the encodings formerly known as pseudo-denormal numbers are
not generated by IA-32 processors. When encountered as operands, however, they are handled correctly; that is,
they are treated as denormals and a denormal exception is generated. Pseudo-denormal numbers should not be
used as operand values. They are supported by current IA-32 processors (as described here) to support legacy
code.
Ta b le 8 -3 . U n su p p o rt e d D o u b le E x t e n d e d -P re cisio n F lo a t in g -P o in t E n co d in g s a n d P se u d o -D e n o rm a ls
C la ss S ig n B ia se d E x p o n e n t
S ig n ifica n d
I n t e g e r F ra ct io n
Positive
Pseudo-NaNs
Quiet
0
.
0
11..11
.
11..11
0 11..11
.
10..00
Signaling
0
.
0
11..11
.
11..11
0 01..11
.
00..01
Positive Floating Point Pseudo-infinity 0 11..11 0 00..00
Unnormals
0
.
0
11..10
.
00..01
0 11..11
.
00..00
Pseudo-denormals 0
.
0
00..00
.
00..00
1 11..11
.
00..00
Negative Floating Point Pseudo-denormals 1
.
1
00..00
.
00..00
1 11..11
.
00..00
Unnormals
1
.
1
11..10
.
00..01
0 11..01
.
00..00
Pseudo-infinity 1 11..11 0 00..00
Negative Pseudo-NaNs
Signaling
1
.
1
11..11
.
11..11
0 01..11
.
00..01
Quiet
1
.
1
11..11
.
11..11
0 11..11
.
10..00
15 bits 63 bits
Vol. 1 8-15
PROGRAMMING WITH THE X87 FPU
8 .3 X8 6 F P U I N S T R U C T I O N S E T
The floating-point instructions that the x87 FPU supports can be grouped into six functional categories:
Data transfer instructions
Basic arithmetic instructions
Comparison instructions
Transcendental instructions
Load constant instructions
x87 FPU control instructions
See Section 5.2, x87 FPU Instructions, for a list of the floating-point instructions by category.
The following section briefly describes the instructions in each category. Detailed descriptions of the floating-point
instructions are given in the Intel 64 and IA-32 Architectures Software Developers Manual, Volumes 3A & 3B.
8 .3 .1 E sca p e (E S C ) I n st ru ct io n s
All of the instructions in the x87 FPU instruction set fall into a class of instructions known as escape (ESC) instruc-
tions. All of these instructions have a common opcode format, where the first byte of the opcode is one of the
numbers from D8H through DFH.
8 .3 .2 x 8 7 F P U I n st ru ct io n O p e ra n d s
Most floating-point instructions require one or two operands, located on the x87 FPU data-register stack or in
memory. (None of the floating-point instructions accept immediate operands.)
When an operand is located in a data register, it is referenced relative to the ST(0) register (the register at the top
of the register stack), rather than by a physical register number. Often the ST(0) register is an implied operand.
Operands in memory can be referenced using the same operand addressing methods described in Section 3.7,
Operand Addressing.
8 .3 .3 D a t a T ra n sfe r I n st ru ct io n s
The data transfer instructions (see Table 8-4) perform the following operations:
Load a floating-point, integer, or packed BCD operand from memory into the ST(0) register.
Store the value in an ST(0) register to memory in floating-point, integer, or packed BCD format.
Move values between registers in the x87 FPU register stack.
The FLD (load floating point) instruction pushes a floating-point operand from memory onto the top of the x87 FPU
data-register stack. If the operand is in single-precision or double-precision floating-point format, it is automati-
cally converted to double extended-precision floating-point format. This instruction can also be used to push the
value in a selected x87 FPU data register onto the top of the register stack.
The FILD (load integer) instruction converts an integer operand in memory into double extended-precision
floating-point format and pushes the value onto the top of the register stack. The FBLD (load packed decimal)
instruction performs the same load operation for a packed BCD operand in memory.
8-16 Vol. 1
PROGRAMMING WITH THE X87 FPU
The FST (store floating point) and FIST (store integer) instructions store the value in register ST(0) in memory in
the destination format (floating point or integer, respectively). Again, the format conversion is carried out automat-
ically.
The FSTP (store floating point and pop), FISTP (store integer and pop), and FBSTP (store packed decimal and pop)
instructions store the value in the ST(0) registers into memory in the destination format (floating point, integer, or
packed BCD), then performs a pop operation on the register stack. A pop operation causes the ST(0) register to be
marked empty and the stack pointer (TOP) in the x87 FPU control work to be incremented by 1. The FSTP instruc-
tion can also be used to copy the value in the ST(0) register to another x87 FPU register [ST(i)].
The FXCH (exchange register contents) instruction exchanges the value in a selected register in the stack [ST(i)]
with the value in ST(0).
The FCMOVcc (conditional move) instructions move the value in a selected register in the stack [ST(i)] to register
ST(0) if a condition specified with a condition code (cc) is satisfied (see Table 8-5). The condition being tested for
is represented by the status flags in the EFLAGS register. The condition code mnemonics are appended to the
letters FCMOV to form the mnemonic for a FCMOVcc instruction.
Like the CMOVcc instructions, the FCMOVcc instructions are useful for optimizing small IF constructions. They also
help eliminate branching overhead for IF operations and the possibility of branch mispredictions by the processor.
Software can check if the FCMOVcc instructions are supported by checking the processors feature information with
the CPUID instruction.
Ta b le 8 -4 . D a t a T ra n sfe r I n st ru ct io n s
F lo a t in g P o in t I n t e g e r P a cke d D e cim a l
FLD Load Floating Point FILD Load Integer FBLD Load Packed
Decimal
FST Store Floating Point FIST Store Integer
FSTP Store Floating Point and
Pop
FISTP Store Integer
and Pop
FBSTP Store Packed
Decimal and Pop
FXCH Exchange Register
Contents
FCMOVcc Conditional Move
Ta b le 8 -5 . F lo a t in g -P o in t C o n d it io n a l Mo v e I n st ru ct io n s
I n st ru ct io n Mn e m o n ic S t a t u s F la g S t a t e s C o n d it io n D e scrip t io n
FCMOVB CF=1 Below
FCMOVNB CF=0 Not below
FCMOVE ZF=1 Equal
FCMOVNE ZF=0 Not equal
I n st ru ct io n Mn e m o n ic S t a t u s F la g S t a t e s C o n d it io n D e scrip t io n
FCMOVBE CF=1 or ZF=1 Below or equal
FCMOVNBE CF=0 or ZF=0 Not below nor equal
FCMOVU PF=1 Unordered
FCMOVNU PF=0 Not unordered
Vol. 1 8-17
PROGRAMMING WITH THE X87 FPU
8 .3 .4 Lo a d C o n st a n t I n st ru ct io n s
The following instructions push commonly used constants onto the top [ST(0)] of the x87 FPU register stack:
FLDZ Load +0.0
FLD1 Load +1.0
FLDPI Load
FLDL2T Load log
2
10
FLDL2E Load log
2
e
FLDLG2 Load log
10
2
FLDLN2 Load log
e
2
The constant values have full double extended-precision floating-point precision (64 bits) and are accurate to
approximately 19 decimal digits. They are stored internally in a format more precise than double extended-preci-
sion floating point. When loading the constant, the x87 FPU rounds the more precise internal constant according
to the RC (rounding control) field of the x87 FPU control word. The inexact-result exception (#P) is not generated
as a result of this rounding, nor is the C1 flag set in the x87 FPU status word if the value is rounded up. See
Section 8.3.8, Pi, for information on the constant.
8 .3 .5 B a sic Arit h m e t ic I n st ru ct io n s
The following floating-point instructions perform basic arithmetic operations on floating-point numbers. Where
applicable, these instructions match IEEE Standard 754:
FADD/FADDP Add floating point
FIADD Add integer to floating point
FSUB/FSUBP Subtract floating point
FISUB Subtract integer from floating point
FSUBR/FSUBRP Reverse subtract floating point
FISUBR Reverse subtract floating point from integer
FMUL/FMULP Multiply floating point
FIMUL Multiply integer by floating point
FDIV/FDIVP Divide floating point
FIDIV Divide floating point by integer
FDIVR/FDIVRP Reverse divide
FIDIVR Reverse divide integer by floating point
FABS Absolute value
FCHS Change sign
FSQRT Square root
FPREM Partial remainder
FPREM1 IEEE partial remainder
FRNDINT Round to integral value
FXTRACT Extract exponent and significand
The add, subtract, multiply and divide instructions operate on the following types of operands:
Two x87 FPU data registers
An x87 FPU data register and a floating-point or integer value in memory
See Section 8.1.2, x87 FPU Data Registers, for a description of how operands are referenced on the data register
stack.
Operands in memory can be in single-precision floating-point, double-precision floating-point, word-integer, or
doubleword-integer format. They are converted to double extended-precision floating-point format automatically.
8-18 Vol. 1
PROGRAMMING WITH THE X87 FPU
Reverse versions of the subtract (FSUBR) and divide (FDIVR) instructions enable efficient coding. For example, the
following options are available with the FSUB and FSUBR instructions for operating on values in a specified x87 FPU
data register ST(i) and the ST(0) register:
FSUB:
ST(0) ST(0) ST(i)
ST(i) ST(i) ST(0)
FSUBR:
ST(0) ST(i) ST(0)
ST(i) ST(0) ST(i)
These instructions eliminate the need to exchange values between the ST(0) register and another x87 FPU register
to perform a subtraction or division.
The pop versions of the add, subtract, multiply, and divide instructions offer the option of popping the x87 FPU
register stack following the arithmetic operation. These instructions operate on values in the ST(i) and ST(0) regis-
ters, store the result in the ST(i) register, and pop the ST(0) register.
The FPREM instruction computes the remainder from the division of two operands in the manner used by the Intel
8087 and Intel 287 math coprocessors; the FPREM1 instruction computes the remainder in the manner specified in
IEEE Standard 754.
The FSQRT instruction computes the square root of the source operand.
The FRNDINT instruction returns a floating-point value that is the integral value closest to the source value in the
direction of the rounding mode specified in the RC field of the x87 FPU control word.
The FABS, FCHS, and FXTRACT instructions perform convenient arithmetic operations. The FABS instruction
produces the absolute value of the source operand. The FCHS instruction changes the sign of the source operand.
The FXTRACT instruction separates the source operand into its exponent and fraction and stores each value in a
register in floating-point format.
8 .3 .6 C o m p a riso n a n d C la ssifica t io n I n st ru ct io n s
The following instructions compare or classify floating-point values:
FCOM/FCOMP/FCOMPPCompare floating point and set x87 FPU
condition code flags.
FUCOM/FUCOMP/FUCOMPPUnordered compare floating point and set
x87 FPU condition code flags.
FICOM/FICOMPCompare integer and set x87 FPU
condition code flags.
FCOMI/FCOMIPCompare floating point and set EFLAGS
status flags.
FUCOMI/FUCOMIPUnordered compare floating point and
set EFLAGS status flags.
FTST Test (compare floating point with 0.0).
FXAMExamine.
Comparison of floating-point values differ from comparison of integers because floating-point values have four
(rather than three) mutually exclusive relationships: less than, equal, greater than, and unordered.
The unordered relationship is true when at least one of the two values being compared is a NaN or in an unsup-
ported format. This additional relationship is required because, by definition, NaNs are not numbers, so they
cannot have less than, equal, or greater than relationships with other floating-point values.
The FCOM, FCOMP, and FCOMPP instructions compare the value in register ST(0) with a floating-point source
operand and set the condition code flags (C0, C2, and C3) in the x87 FPU status word according to the results (see
Table 8-6).
Vol. 1 8-19
PROGRAMMING WITH THE X87 FPU
If an unordered condition is detected (one or both of the values are NaNs or in an undefined format), a floating-
point invalid-operation exception is generated.
The pop versions of the instruction pop the x87 FPU register stack once or twice after the comparison operation is
complete.
The FUCOM, FUCOMP, and FUCOMPP instructions operate the same as the FCOM, FCOMP, and FCOMPP instructions.
The only difference is that with the FUCOM, FUCOMP, and FUCOMPP instructions, if an unordered condition is
detected because one or both of the operands are QNaNs, the floating-point invalid-operation exception is not
generated.
The FICOM and FICOMP instructions also operate the same as the FCOM and FCOMP instructions, except that the
source operand is an integer value in memory. The integer value is automatically converted into an double
extended-precision floating-point value prior to making the comparison. The FICOMP instruction pops the x87 FPU
register stack following the comparison operation.
The FTST instruction performs the same operation as the FCOM instruction, except that the value in register ST(0)
is always compared with the value 0.0.
The FCOMI and FCOMIP instructions were introduced into the IA-32 architecture in the P6 family processors. They
perform the same comparison as the FCOM and FCOMP instructions, except that they set the status flags (ZF, PF,
and CF) in the EFLAGS register to indicate the results of the comparison (see Table 8-7) instead of the x87 FPU
condition code flags. The FCOMI and FCOMIP instructions allow condition branch instructions (Jcc) to be executed
directly from the results of their comparison.
Software can check if the FCOMI and FCOMIP instructions are supported by checking the processors feature infor-
mation with the CPUID instruction.
The FUCOMI and FUCOMIP instructions operate the same as the FCOMI and FCOMIP instructions, except that they
do not generate a floating-point invalid-operation exception if the unordered condition is the result of one or both
of the operands being a QNaN. The FCOMIP and FUCOMIP instructions pop the x87 FPU register stack following the
comparison operation.
The FXAM instruction determines the classification of the floating-point value in the ST(0) register (that is, whether
the value is zero, a denormal number, a normal finite number, , a NaN, or an unsupported format) or that the
register is empty. It sets the x87 FPU condition code flags to indicate the classification (see FXAMExamine in
Chapter 3, Instruction Set Reference, A-M, of the Intel 64 and IA-32 Architectures Software Developers
Manual, Volume 2A). It also sets the C1 flag to indicate the sign of the value.
8 .3 .6 .1 B ra n ch in g o n t h e x 8 7 F P U C o n d it io n C o d e s
The processor does not offer any control-flow instructions that branch on the setting of the condition code flags
(C0, C2, and C3) in the x87 FPU status word. To branch on the state of these flags, the x87 FPU status word must
Ta b le 8 -6 . S e t t in g o f x 8 7 F P U C o n d it io n C o d e F la g s fo r F lo a t in g -P o in t N u m b e r C o m p a riso n s
C o n d it io n C 3 C 2 C 0
ST(0) >Source Operand 0 0 0
ST(0) <Source Operand 0 0 1
ST(0) =Source Operand 1 0 0
Unordered 1 1 1
Ta b le 8 -7. S e t t in g o f E F LAG S S t a t u s F la g s fo r F lo a t in g -P o in t N u m b e r C o m p a riso n s
C o m p a riso n R e su lt s Z F P F C F
ST0 > ST(i) 0 0 0
ST0 < ST(i) 0 0 1
ST0 = ST(i) 1 0 0
Unordered 1 1 1
8-20 Vol. 1
PROGRAMMING WITH THE X87 FPU
first be moved to the AX register in the integer unit. The FSTSW AX (store status word) instruction can be used for
this purpose. When these flags are in the AX register, the TEST instruction can be used to control conditional
branching as follows:
1. Check for an unordered result. Use the TEST instruction to compare the contents of the AX register with the
constant 0400H (see Table 8-8). This operation will clear the ZF flag in the EFLAGS register if the condition code
flags indicate an unordered result; otherwise, the ZF flag will be set. The JNZ instruction can then be used to
transfer control (if necessary) to a procedure for handling unordered operands.
2. Check ordered comparison result. Use the constants given in Table 8-8 in the TEST instruction to test for a less
than, equal to, or greater than result, then use the corresponding conditional branch instruction to transfer
program control to the appropriate procedure or section of code.
If a program or procedure has been thoroughly tested and it incorporates periodic checks for QNaN results, then it
is not necessary to check for the unordered result every time a comparison is made.
See Section 8.1.4, Branching and Conditional Moves on Condition Codes, for another technique for branching on
x87 FPU condition codes.
Some non-comparison x87 FPU instructions update the condition code flags in the x87 FPU status word. To ensure
that the status word is not altered inadvertently, store it immediately following a comparison operation.
8 .3 .7 T rig o n o m e t ric I n st ru ct io n s
The following instructions perform four common trigonometric functions:
FSIN Sine
FCOS Cosine
FSINCOS Sine and cosine
FPTAN Tangent
FPATAN Arctangent
These instructions operate on the top one or two registers of the x87 FPU register stack and they return their
results to the stack. The source operands for the FSIN, FCOS, FSINCOS, and FPTAN instructions must be given in
radians; the source operand for the FPATAN instruction is given in rectangular coordinate units.
The FSINCOS instruction returns both the sine and the cosine of a source operand value. It operates faster than
executing the FSIN and FCOS instructions in succession.
The FPATAN instruction computes the arctangent of ST(1) divided by ST(0), returning a result in radians. It is
useful for converting rectangular coordinates to polar coordinates.
8 .3 .8 P i
When the argument (source operand) of a trigonometric function is within the range of the function, the argument
is automatically reduced by the appropriate multiple of 2 through the same reduction mechanism used by the
FPREM and FPREM1 instructions. The internal value of that the x87 FPU uses for argument reduction and other
computations is as follows:
=0.f 2
2
Ta b le 8 -8 . T E S T I n st ru ct io n C o n st a n t s fo r C o n d it io n a l B ra n ch in g
O rd e r C o n st a n t B ra n ch
ST(0) > Source Operand 4500H JZ
ST(0) < Source Operand 0100H JNZ
ST(0) = Source Operand 4000H JNZ
Unordered 0400H JNZ
Vol. 1 8-21
PROGRAMMING WITH THE X87 FPU
where:
f =C90FDAA2 2168C234 C
(The spaces in the fraction above indicate 32-bit boundaries.)
This internal value has a 66-bit mantissa, which is 2 bits more than is allowed in the significand of an double
extended-precision floating-point value. (Since 66 bits is not an even number of hexadecimal digits, two additional
zeros have been added to the value so that it can be represented in hexadecimal format. The least-significant
hexadecimal digit (C) is thus 1100B, where the two least-significant bits represent bits 67 and 68 of the
mantissa.)
This value of has been chosen to guarantee no loss of significance in a source operand, provided the operand is
within the specified range for the instruction.
If the results of computations that explicitly use are to be used in the FSIN, FCOS, FSINCOS, or FPTAN instruc-
tions, the full 66-bit fraction of should be used. This insures that the results are consistent with the argument-
reduction algorithms that these instructions use. Using a rounded version of can cause inaccuracies in result
values, which if propagated through several calculations, might result in meaningless results.
A common method of representing the full 66-bit fraction of is to separate the value into two numbers (high and
low) that when added together give the value for shown earlier in this section with the full 66-bit fraction:
=high +low
For example, the following two values (given in scientific notation with the fraction in hexadecimal and the expo-
nent in decimal) represent the 33 most-significant and the 33 least-significant bits of the fraction:
high (unnormalized) =0.C90FDAA20 * 2
+2

low (unnormalized) =0.42D184698 * 2
31
These values encoded in the IEEE double-precision floating-point format are as follows:
high =400921FB 54400000
low =3DE0B461 1A600000
(Note that in the IEEE double-precision floating-point format, the exponents are biased (by 1023) and the fractions
are normalized.)
Similar versions of can also be written in double extended-precision floating-point format.
When using this two-part value in an algorithm, parallel computations should be performed on each part, with the
results kept separate. When all the computations are complete, the two results can be added together to form the
final result.
The complications of maintaining a consistent value of for argument reduction can be avoided, either by applying
the trigonometric functions only to arguments within the range of the automatic reduction mechanism, or by
performing all argument reductions (down to a magnitude less than /4) explicitly in software.
8 .3 .9 Lo g a rit h m ic, E x p o n e n t ia l, a n d S ca le
The following instructions provide two different logarithmic functions, an exponential function and a scale function:
FYL2X Logarithm
FYL2XP1 Logarithm epsilon
F2XM1 Exponential
FSCALE Scale
The FYL2X and FYL2XP1 instructions perform two different base 2 logarithmic operations. The FYL2X instruction
computes (y log
2
x). This operation permits the calculation of the log of any base using the following equation:
log
b
x =(1/log
2
b) log
2
x
The FYL2XP1 instruction computes (y log
2
(x + 1)). This operation provides optimum accuracy for values of x that
are close to 0.
The F2XM1 instruction computes (2
x
1). This instruction only operates on source values in the range 1.0 to +1.0.
8-22 Vol. 1
PROGRAMMING WITH THE X87 FPU
The FSCALE instruction multiplies the source operand by a power of 2.
8 .3 .1 0 T ra n sce n d e n t a l I n st ru ct io n Accu ra cy
New transcendental instruction algorithms were incorporated into the IA-32 architecture beginning with the
Pentium processors. These new algorithms (used in transcendental instructions FSIN, FCOS, FSINCOS, FPTAN,
FPATAN, F2XM1, FYL2X, and FYL2XP1) allow a higher level of accuracy than was possible in earlier IA-32 processors
and x87 math coprocessors. The accuracy of these instructions is measured in terms of units in the last place
(ulp). For a given argument x, let f(x) and F(x) be the correct and computed (approximate) function values,
respectively. The error in ulps is defined to be:
where k is an integer such that:
With the Pentium processor and later IA-32 processors, the worst case error on transcendental functions is less
than 1 ulp when rounding to the nearest (even) and less than 1.5 ulps when rounding in other modes. The func-
tions are guaranteed to be monotonic, with respect to the input operands, throughout the domain supported by the
instruction.
The instructions FYL2X and FYL2XP1 are two operand instructions and are guaranteed to be within 1 ulp only when
y equals 1. When y is not equal to 1, the maximum ulp error is always within 1.35 ulps in round to nearest mode.
(For the two operand functions, monotonicity was proved by holding one of the operands constant.)
8 .3 .1 1 x 8 7 F P U C o n t ro l I n st ru ct io n s
The following instructions control the state and modes of operation of the x87 FPU. They also allow the status of the
x87 FPU to be examined:
FINIT/FNINIT Initialize x87 FPU
FLDCW Load x87 FPU control word
FSTCW/FNSTCWStore x87 FPU control word
FSTSW/FNSTSWStore x87 FPU status word
FCLEX/FNCLEXClear x87 FPU exception flags
FLDENV Load x87 FPU environment
FSTENV/FNSTENVStore x87 FPU environment
FRSTOR Restore x87 FPU state
FSAVE/FNSAVESave x87 FPU state
FINCSTP Increment x87 FPU register stack pointer
FDECSTP Decrement x87 FPU register stack pointer
FFREE Free x87 FPU register
FNOP No operation
WAIT/FWAIT Check for and handle pending unmasked
x87 FPU exceptions
The FINIT/FNINIT instructions initialize the x87 FPU and its internal registers to default values.
error
f x ( ) F x ( )
2
k 63
---------------------------
=
1 2
k
f x ( ) 2. <
Vol. 1 8-23
PROGRAMMING WITH THE X87 FPU
The FLDCW instructions loads the x87 FPU control word register with a value from memory. The FSTCW/FNSTCW
and FSTSW/FNSTSW instructions store the x87 FPU control and status words, respectively, in memory (or for an
FSTSW/FNSTSW instruction in a general-purpose register).
The FSTENV/FNSTENV and FSAVE/FNSAVE instructions save the x87 FPU environment and state, respectively, in
memory. The x87 FPU environment includes all the x87 FPUs control and status registers; the x87 FPU state
includes the x87 FPU environment and the data registers in the x87 FPU register stack. (The FSAVE/FNSAVE
instruction also initializes the x87 FPU to default values, like the FINIT/FNINIT instruction, after it saves the original
state of the x87 FPU.)
The FLDENV and FRSTOR instructions load the x87 FPU environment and state, respectively, from memory into the
x87 FPU. These instructions are commonly used when switching tasks or contexts.
The WAIT/FWAIT instructions are synchronization instructions. (They are actually mnemonics for the same
opcode.) These instructions check the x87 FPU status word for pending unmasked x87 FPU exceptions. If any
pending unmasked x87 FPU exceptions are found, they are handled before the processor resumes execution of the
instructions (integer, floating-point, or system instruction) in the instruction stream. The WAIT/FWAIT instructions
are provided to allow synchronization of instruction execution between the x87 FPU and the processors integer
unit. See Section 8.6, x87 FPU Exception Synchronization, for more information on the use of the WAIT/FWAIT
instructions.
8 .3 .1 2 Wa it in g v s. N o n -w a it in g I n st ru ct io n s
All of the x87 FPU instructions except a few special control instructions perform a wait operation (similar to the
WAIT/FWAIT instructions), to check for and handle pending unmasked x87 FPU floating-point exceptions, before
they perform their primary operation (such as adding two floating-point numbers). These instructions are called
waiting instructions. Some of the x87 FPU control instructions, such as FSTSW/FNSTSW, have both a waiting and
a non-waiting version. The waiting version (with the F prefix) executes a wait operation before it performs its
primary operation; whereas, the non-waiting version (with the FN prefix) ignores pending unmasked exceptions.
Non-waiting instructions allow software to save the current x87 FPU state without first handling pending exceptions
or to reset or reinitialize the x87 FPU without regard for pending exceptions.
N O T E S
When operating a Pentium or Intel486 processor in MS-DOS compatibility mode, it is possible
(under unusual circumstances) for a non-waiting instruction to be interrupted prior to being
executed to handle a pending x87 FPU exception. The circumstances where this can happen and
the resulting action of the processor are described in Section D.2.1.3, No-Wait x87 FPU Instruc-
tions Can Get x87 FPU Interrupt in Window.
When operating a P6 family, Pentium 4, or Intel Xeon processor in MS-DOS compatibility mode,
non-waiting instructions can not be interrupted in this way (see Section D.2.2, MS-DOS* Compat-
ibility Sub-mode in the P6 Family and Pentium 4 Processors).
8 .3 .1 3 U n su p p o rt e d x 8 7 F P U I n st ru ct io n s
The Intel 8087 instructions FENI and FDISI and the Intel 287 math coprocessor instruction FSETPM perform no
function in the Intel 387 math coprocessor and later IA-32 processors. If these opcodes are detected in the instruc-
tion stream, the x87 FPU performs no specific operation and no internal x87 FPU states are affected.
8 .4 X8 7 F P U F LO AT I N G -P O I N T E XC E P T I O N H AN D LI N G
The x87 FPU detects the six classes of exception conditions described in Section 4.9, Overview of Floating-Point
Exceptions:
Invalid operation (#I), with two subclasses:
Stack overflow or underflow (#IS)
8-24 Vol. 1
PROGRAMMING WITH THE X87 FPU
Invalid arithmetic operation (#IA)
Denormalized operand (#D)
Divide-by-zero (#Z)
Numeric overflow (#O)
Numeric underflow (#U)
Inexact result (precision) (#P)
Each of the six exception classes has a corresponding flag bit in the x87 FPU status word and a mask bit in the x87
FPU control word (see Section 8.1.3, x87 FPU Status Register, and Section 8.1.5, x87 FPU Control Word, respec-
tively). In addition, the exception summary (ES) flag in the status word indicates when one or more unmasked
exceptions has been detected. The stack fault (SF) flag (also in the status word) distinguishes between the two
types of invalid-operation exceptions.
The mask bits can be set with FLDCW, FRSTOR, or FXRSTOR; they can be read with either FSTCW/FNSTCW,
FSAVE/FNSAVE, or FXSAVE. The flag bits can be read with the FSTSW/FNSTSW, FSAVE/FNSAVE, or FXSAVE
instruction.
N O T E
Section 4.9.1, Floating-Point Exception Conditions, provides a general overview of how the IA-32
processor detects and handles the various classes of floating-point exceptions. This information
pertains to x87 FPU as well as SSE/SSE2/SSE3 extensions.
The following sections give specific information about how the x87 FPU handles floating-point exceptions that are
unique to the x87 FPU.
8 .4 .1 Arit h m e t ic v s. N o n -a rit h m e t ic I n st ru ct io n s
When dealing with floating-point exceptions, it is useful to distinguish between arithmetic instructions and non-
arithmetic instructions. Non-arithmetic instructions have no operands or do not make substantial changes to
their operands. Arithmetic instructions do make significant changes to their operands; in particular, they make
changes that could result in floating-point exceptions being signaled. Table 8-9 lists the non-arithmetic and arith-
metic instructions. It should be noted that some non-arithmetic instructions can signal a floating-point stack (fault)
exception, but this exception is not the result of an operation on an operand.
Ta b le 8 -9 . Arit h m e t ic a n d N o n -a rit h m e t ic I n st ru ct io n s
N o n -a rit h m e t ic I n st ru ct io n s Arit h m e t ic I n st ru ct io n s
FABS F2XM1
FCHS FADD/FADDP
FCLEX FBLD
FDECSTP FBSTP
FFREE FCOM/FCOMP/FCOMPP
FINCSTP FCOS
FINIT/FNINIT FDIV/FDIVP/FDIVR/FDIVRP
FLD (register-to-register) FIADD
FLD (extended format frommemory) FICOM/FICOMP
FLD constant FIDIV/FIDIVR
FLDCW FILD
FLDENV FIMUL
FNOP FIST/FISTP
1
FRSTOR FISUB/FISUBR
Vol. 1 8-25
PROGRAMMING WITH THE X87 FPU
8 .5 X8 7 F P U F LO AT I N G -P O I N T E XC E P T I O N C O N D I T I O N S
The following sections describe the various conditions that cause a floating-point exception to be generated by the
x87 FPU and the masked response of the x87 FPU when these conditions are detected. Intel 64 and IA-32 Archi-
tectures Software Developers Manual, Volumes 2A & 2B, list the floating-point exceptions that can be signaled for
each floating-point instruction.
See Section 4.9.2, Floating-Point Exception Priority, for a description of the rules for exception precedence when
more than one floating-point exception condition is detected for an instruction.
8 .5 .1 I n v a lid O p e ra t io n E x ce p t io n
The floating-point invalid-operation exception occurs in response to two sub-classes of operations:
Stack overflow or underflow (#IS)
Invalid arithmetic operand (#IA)
The flag for this exception (IE) is bit 0 of the x87 FPU status word, and the mask bit (IM) is bit 0 of the x87 FPU
control word. The stack fault flag (SF) of the x87 FPU status word indicates the type of operation that caused the
exception. When the SF flag is set to 1, a stack operation has resulted in stack overflow or underflow; when the flag
is cleared to 0, an arithmetic instruction has encountered an invalid operand. Note that the x87 FPU explicitly sets
the SF flag when it detects a stack overflow or underflow condition, but it does not explicitly clear the flag when it
detects an invalid-arithmetic-operand condition. As a result, the state of the SF flag can be 1 following an invalid-
arithmetic-operation exception, if it was not cleared from the last time a stack overflow or underflow condition
occurred. See Section 8.1.3.4, Stack Fault Flag, for more information about the SF flag.
FSAVE/FNSAVE FLD (single and double)
FST/FSTP (register-to-register) FMUL/FMULP
FSTP (extended format to memory) FPATAN
FSTCW/FNSTCW FPREM/FPREM1
FSTENV/FNSTENV FPTAN
FSTSW/FNSTSW FRNDINT
WAIT/FWAIT FSCALE
FXAM FSIN
FXCH FSINCOS
FSQRT
FST/FSTP (single and double)
FSUB/FSUBP/FSUBR/FSUBRP
FTST
FUCOM/FUCOMP/FUCOMPP
FXTRACT
FYL2X/FYL2XP1
N O T E :
1. The FISTTP instruction in SSE3 is an arithmetic x87 FPU instruction.
Ta b le 8 -9 . Arit h m e t ic a n d N o n -a rit h m e t ic I n st ru ct io n s (C o n t d .)
N o n -a rit h m e t ic I n st ru ct io n s Arit h m e t ic I n st ru ct io n s
8-26 Vol. 1
PROGRAMMING WITH THE X87 FPU
8 .5 .1 .1 S t a ck O v e rf lo w o r U n d e rflo w E x ce p t io n (# I S )
The x87 FPU tag word keeps track of the contents of the registers in the x87 FPU register stack (see Section 8.1.7,
x87 FPU Tag Word). It then uses this information to detect two different types of stack faults:
Stack overflow An instruction attempts to load a non-empty x87 FPU register from memory. A non-empty
register is defined as a register containing a zero (tag value of 01), a valid value (tag value of 00), or a special
value (tag value of 10).
Stack underflow An instruction references an empty x87 FPU register as a source operand, including
attempting to write the contents of an empty register to memory. An empty register has a tag value of 11.
N O T E S
The term stack overflow originates from the situation where the program has loaded (pushed) eight
values from memory onto the x87 FPU register stack and the next value pushed on the stack causes
a stack wraparound to a register that already contains a value.
The term stack underflow originates from the opposite situation. Here, a program has stored
(popped) eight values from the x87 FPU register stack to memory and the next value popped from
the stack causes stack wraparound to an empty register.
When the x87 FPU detects stack overflow or underflow, it sets the IE flag (bit 0) and the SF flag (bit 6) in the x87
FPU status word to 1. It then sets condition-code flag C1 (bit 9) in the x87 FPU status word to 1 if stack overflow
occurred or to 0 if stack underflow occurred.
If the invalid-operation exception is masked, the x87 FPU returns the floating point, integer, or packed decimal
integer indefinite value to the destination operand, depending on the instruction being executed. This value over-
writes the destination register or memory location specified by the instruction.
If the invalid-operation exception is not masked, a software exception handler is invoked (see Section 8.7,
Handling x87 FPU Exceptions in Software) and the top-of-stack pointer (TOP) and source operands remain
unchanged.
8 .5 .1 .2 I n v a lid Arit h m e t ic O p e ra n d E x ce p t io n (# I A)
The x87 FPU is able to detect a variety of invalid arithmetic operations that can be coded in a program. These oper-
ations are listed in Table 8-10. (This list includes the invalid operations defined in IEEE Standard 754.)
When the x87 FPU detects an invalid arithmetic operand, it sets the IE flag (bit 0) in the x87 FPU status word to 1.
If the invalid-operation exception is masked, the x87 FPU then returns an indefinite value or QNaN to the destina-
tion operand and/or sets the floating-point condition codes as shown in Table 8-10. If the invalid-operation excep-
tion is not masked, a software exception handler is invoked (see Section 8.7, Handling x87 FPU Exceptions in
Software) and the top-of-stack pointer (TOP) and source operands remain unchanged.
Ta b le 8 -1 0 . I n v a lid Arit h m e t ic O p e ra t io n s a n d t h e
Ma ske d R e sp o n se s t o T h e m
C o n d it io n Ma ske d R e sp o n se
Any arithmetic operation on an operand that is in an unsupported
format.
Return the QNaN floating-point indefinite value to the
destination operand.
Any arithmetic operation on a SNaN. Return a QNaN to the destination operand (see Table 4-7).
Ordered compare and test operations: one or both operands are
NaNs.
Set the condition code flags (C0, C2, and C3) in the x87 FPU
status word or the CF, PF, and ZF flags in the EFLAGS register to
111B (not comparable).
Addition: operands are opposite-signed infinities.
Subtraction: operands are like-signed infinities.
Return the QNaN floating-point indefinite value to the
destination operand.
Multiplication: by 0; 0 by . Return the QNaN floating-point indefinite value to the
destination operand.
Division: by ; 0 by 0. Return the QNaN floating-point indefinite value to the
destination operand.
Vol. 1 8-27
PROGRAMMING WITH THE X87 FPU
Normally, when one or both of the source operands is a QNaN (and neither is an SNaN or in an unsupported
format), an invalid-operand exception is not generated. An exception to this rule is most of the compare instruc-
tions (such as the FCOM and FCOMI instructions) and the floating-point to integer conversion instructions
(FIST/FISTP and FBSTP). With these instructions, a QNaN source operand will generate an invalid-operand excep-
tion.
8 .5 .2 D e n o rm a l O p e ra n d E x ce p t io n (# D )
The x87 FPU signals the denormal-operand exception under the following conditions:
If an arithmetic instruction attempts to operate on a denormal operand (see Section 4.8.3.2, Normalized and
Denormalized Finite Numbers).
If an attempt is made to load a denormal single-precision or double-precision floating-point value into an x87
FPU register. (If the denormal value being loaded is a double extended-precision floating-point value, the
denormal-operand exception is not reported.)
The flag (DE) for this exception is bit 1 of the x87 FPU status word, and the mask bit (DM) is bit 1 of the x87 FPU
control word.
When a denormal-operand exception occurs and the exception is masked, the x87 FPU sets the DE flag, then
proceeds with the instruction. The denormal operand in single- or double-precision floating-point format is auto-
matically normalized when converted to the double extended-precision floating-point format. Subsequent opera-
tions will benefit from the additional precision of the internal double extended-precision floating-point format.
When a denormal-operand exception occurs and the exception is not masked, the DE flag is set and a software
exception handler is invoked (see Section 8.7, Handling x87 FPU Exceptions in Software). The top-of-stack
pointer (TOP) and source operands remain unchanged.
For additional information about the denormal-operation exception, see Section 4.9.1.2, Denormal Operand
Exception (#D).
8 .5 .3 D iv id e -B y -Z e ro E x ce p t io n (# Z )
The x87 FPU reports a floating-point divide-by-zero exception whenever an instruction attempts to divide a finite
non-zero operand by 0. The flag (ZE) for this exception is bit 2 of the x87 FPU status word, and the mask bit (ZM)
is bit 2 of the x87 FPU control word. The FDIV, FDIVP, FDIVR, FDIVRP, FIDIV, and FIDIVR instructions and the other
instructions that perform division internally (FYL2X and FXTRACT) can report the divide-by-zero exception.
Remainder instructions FPREM, FPREM1: modulus (divisor) is 0 or
dividend is .
Return the QNaN floating-point indefinite; clear condition code
flag C2 to 0.
Trigonometric instructions FCOS, FPTAN, FSIN, FSINCOS: source
operand is .
Return the QNaN floating-point indefinite; clear condition code
flag C2 to 0.
FSQRT: negative operand (except FSQRT (0) =0); FYL2X: negative
operand (except FYL2X (0) =); FYL2XP1: operand more
negative than 1.
Return the QNaN floating-point indefinite value to the
destination operand.
FBSTP: Converted value cannot be represented in 18 decimal digits,
or source value is an SNaN, QNaN, , or in an unsupported
format.
Store packed BCD integer indefinite value in the destination
operand.
FIST/FISTP: Converted value exceeds representable integer range
of the destination operand, or source value is an SNaN, QNaN, ,
or in an unsupported format.
Store integer indefinite value in the destination operand.
FXCH: one or both registers are tagged empty. Load empty registers with the QNaN floating-point indefinite
value, then perform the exchange.
Ta b le 8 -1 0 . I n v a lid Arit h m e t ic O p e ra t io n s a n d t h e
Ma ske d R e sp o n se s t o T h e m (C o n t d .)
8-28 Vol. 1
PROGRAMMING WITH THE X87 FPU
When a divide-by-zero exception occurs and the exception is masked, the x87 FPU sets the ZE flag and returns the
values shown in Table 8-10. If the divide-by-zero exception is not masked, the ZE flag is set, a software exception
handler is invoked (see Section 8.7, Handling x87 FPU Exceptions in Software), and the top-of-stack pointer
(TOP) and source operands remain unchanged.
8 .5 .4 N u m e ric O v e rflo w E x ce p t io n (# O )
The x87 FPU reports a floating-point numeric overflow exception (#O) whenever the rounded result of an arith-
metic instruction exceeds the largest allowable finite value that will fit into the floating-point format of the destina-
tion operand. (See Section 4.9.1.4, Numeric Overflow Exception (#O), for additional information about the
numeric overflow exception.)
When using the x87 FPU, numeric overflow can occur on arithmetic operations where the result is stored in an x87
FPU data register. It can also occur on store floating-point operations (using the FST and FSTP instructions), where
a within-range value in a data register is stored in memory in a single-precision or double-precision floating-point
format. The numeric overflow exception cannot occur when storing values in an integer or BCD integer format.
Instead, the invalid-arithmetic-operand exception is signaled.
The flag (OE) for the numeric-overflow exception is bit 3 of the x87 FPU status word, and the mask bit (OM) is bit
3 of the x87 FPU control word.
When a numeric-overflow exception occurs and the exception is masked, the x87 FPU sets the OE flag and returns
one of the values shown in Table 4-10. The value returned depends on the current rounding mode of the x87 FPU
(see Section 8.1.5.3, Rounding Control Field).
The action that the x87 FPU takes when numeric overflow occurs and the numeric-overflow exception is not
masked, depends on whether the instruction is supposed to store the result in memory or on the register stack.
Destination is a memory location The OE flag is set and a software exception handler is invoked (see
Section 8.7, Handling x87 FPU Exceptions in Software). The top-of-stack pointer (TOP) and source and
destination operands remain unchanged. Because the data in the stack is in double extended-precision format,
the exception handler has the option either of re-executing the store instruction after proper adjustment of the
operand or of rounding the significand on the stack to the destination's precision as the standard requires. The
exception handler should ultimately store a value into the destination location in memory if the program is to
continue.
Destination is the register stack The significand of the result is rounded according to current settings of
the precision and rounding control bits in the x87 FPU control word and the exponent of the result is adjusted
by dividing it by 2
24576
. (For instructions not affected by the precision field, the significand is rounded to
double-extended precision.) The resulting value is stored in the destination operand. Condition code bit C1 in
the x87 FPU status word (called in this situation the round-up bit) is set if the significand was rounded upward
and cleared if the result was rounded toward 0. After the result is stored, the OE flag is set and a software
exception handler is invoked. The scaling bias value 24,576 is equal to 3 2
13
. Biasing the exponent by 24,576
normally translates the number as nearly as possible to the middle of the double extended-precision floating-
point exponent range so that, if desired, it can be used in subsequent scaled operations with less risk of causing
further exceptions.
When using the FSCALE instruction, massive overflow can occur, where the result is too large to be repre-
sented, even with a bias-adjusted exponent. Here, if overflow occurs again, after the result has been biased, a
properly signed is stored in the destination operand.
Ta b le 8 -1 1 . D iv id e -B y -Z e ro C o n d it io n s a n d t h e Ma ske d R e sp o n se s t o T h e m
C o n d it io n Ma ske d R e sp o n se
Divide or reverse divide operation with a
0 divisor.
Returns an signed with the exclusive OR of the sign of the two operands to the
destination operand.
FYL2X instruction. Returns an signed with the opposite sign of the non-zero operand to the destination
operand.
FXTRACT instruction. ST(1) is set to ; ST(0) is set to 0 with the same sign as the source operand.
Vol. 1 8-29
PROGRAMMING WITH THE X87 FPU
8 .5 .5 N u m e ric U n d e rflo w E x ce p t io n (# U )
The x87 FPU detects a floating-point numeric underflow condition whenever the rounded result of an arithmetic
instruction is tiny; that is, less than the smallest possible normalized, finite value that will fit into the floating-point
format of the destination operand. (See Section 4.9.1.5, Numeric Underflow Exception (#U), for additional infor-
mation about the numeric underflow exception.)
Like numeric overflow, numeric underflow can occur on arithmetic operations where the result is stored in an x87
FPU data register. It can also occur on store floating-point operations (with the FST and FSTP instructions), where
a within-range value in a data register is stored in memory in the smaller single-precision or double-precision
floating-point formats. A numeric underflow exception cannot occur when storing values in an integer or BCD
integer format, because a tiny value is always rounded to an integral value of 0 or 1, depending on the rounding
mode in effect.
The flag (UE) for the numeric-underflow exception is bit 4 of the x87 FPU status word, and the mask bit (UM) is bit
4 of the x87 FPU control word.
When a numeric-underflow condition occurs and the exception is masked, the x87 FPU performs the operation
described in Section 4.9.1.5, Numeric Underflow Exception (#U).
When the exception is not masked, the action of the x87 FPU depends on whether the instruction is supposed to
store the result in a memory location or on the x87 FPU resister stack.
Destination is a memory location (Can occur only with a store instruction.) The UE flag is set and a
software exception handler is invoked (see Section 8.7, Handling x87 FPU Exceptions in Software). The top-
of-stack pointer (TOP) and source and destination operands remain unchanged, and no result is stored in
memory.
Because the data in the stack is in double extended-precision format, the exception handler has the option
either of re-exchanges the store instruction after proper adjustment of the operand or of rounding the
significand on the stack to the destination's precision as the standard requires. The exception handler should
ultimately store a value into the destination location in memory if the program is to continue.
Destination is the register stack The significand of the result is rounded according to current settings of
the precision and rounding control bits in the x87 FPU control word and the exponent of the result is adjusted
by multiplying it by 2
24576
. (For instructions not affected by the precision field, the significand is rounded to
double extended precision.) The resulting value is stored in the destination operand. Condition code bit C1 in
the x87 FPU status register (acting here as a round-up bit) is set if the significand was rounded upward and
cleared if the result was rounded toward 0. After the result is stored, the UE flag is set and a software exception
handler is invoked. The scaling bias value 24,576 is the same as is used for the overflow exception and has the
same effect, which is to translate the result as nearly as possible to the middle of the double extended-
precision floating-point exponent range.
When using the FSCALE instruction, massive underflow can occur, where the result is too tiny to be repre-
sented, even with a bias-adjusted exponent. Here, if underflow occurs again after the result has been biased,
a properly signed 0 is stored in the destination operand.
8 .5 .6 I n e x a ct -R e su lt (P re cisio n ) E x ce p t io n (# P )
The inexact-result exception (also called the precision exception) occurs if the result of an operation is not exactly
representable in the destination format. (See Section 4.9.1.6, Inexact-Result (Precision) Exception (#P), for
additional information about the numeric overflow exception.) Note that the transcendental instructions (FSIN,
FCOS, FSINCOS, FPTAN, FPATAN, F2XM1, FYL2X, and FYL2XP1) by nature produce inexact results.
The inexact-result exception flag (PE) is bit 5 of the x87 FPU status word, and the mask bit (PM) is bit 5 of the x87
FPU control word.
If the inexact-result exception is masked when an inexact-result condition occurs and a numeric overflow or under-
flow condition has not occurred, the x87 FPU handles the exception as describe in Section 4.9.1.6, Inexact-Result
(Precision) Exception (#P), with one additional action. The C1 (round-up) bit in the x87 FPU status word is set to
indicate whether the inexact result was rounded up (C1 is set) or not rounded up (C1 is cleared). In the not
rounded up case, the least-significant bits of the inexact result are truncated so that the result fits in the destina-
tion format.
8-30 Vol. 1
PROGRAMMING WITH THE X87 FPU
If the inexact-result exception is not masked when an inexact result occurs and numeric overflow or underflow has
not occurred, the x87 FPU handles the exception as described in the previous paragraph and, in addition, invokes
a software exception handler.
If an inexact result occurs in conjunction with numeric overflow or underflow, the x87 FPU carries out one of the
following operations:
If an inexact result occurs in conjunction with masked overflow or underflow, the OE or UE flag and the PE flag
are set and the result is stored as described for the overflow or underflow exceptions (see Section 8.5.4,
Numeric Overflow Exception (#O), or Section 8.5.5, Numeric Underflow Exception (#U)). If the inexact
result exception is unmasked, the x87 FPU also invokes a software exception handler.
If an inexact result occurs in conjunction with unmasked overflow or underflow and the destination operand is
a register, the OE or UE flag and the PE flag are set, the result is stored as described for the overflow or
underflow exceptions (see Section 8.5.4, Numeric Overflow Exception (#O), or Section 8.5.5, Numeric
Underflow Exception (#U)) and a software exception handler is invoked.
If an unmasked numeric overflow or underflow exception occurs and the destination operand is a memory location
(which can happen only for a floating-point store), the inexact-result condition is not reported and the C1 flag is
cleared.
8 .6 X8 7 F P U E XC E P T I O N S YN C H R O N I Z AT I O N
Because the integer unit and x87 FPU are separate execution units, it is possible for the processor to execute
floating-point, integer, and system instructions concurrently. No special programming techniques are required to
gain the advantages of concurrent execution. (Floating-point instructions are placed in the instruction stream along
with the integer and system instructions.) However, concurrent execution can cause problems for floating-point
exception handlers.
This problem is related to the way the x87 FPU signals the existence of unmasked floating-point exceptions.
(Special exception synchronization is not required for masked floating-point exceptions, because the x87 FPU
always returns a masked result to the destination operand.)
When a floating-point exception is unmasked and the exception condition occurs, the x87 FPU stops further execu-
tion of the floating-point instruction and signals the exception event. On the next occurrence of a floating-point
instruction or a WAIT/FWAIT instruction in the instruction stream, the processor checks the ES flag in the x87 FPU
status word for pending floating-point exceptions. If floating-point exceptions are pending, the x87 FPU makes an
implicit call (traps) to the floating-point software exception handler. The exception handler can then execute
recovery procedures for selected or all floating-point exceptions.
Synchronization problems occur in the time between the moment when the exception is signaled and when it is
actually handled. Because of concurrent execution, integer or system instructions can be executed during this
time. It is thus possible for the source or destination operands for a floating-point instruction that faulted to be
overwritten in memory, making it impossible for the exception handler to analyze or recover from the exception.
To solve this problem, an exception synchronizing instruction (either a floating-point instruction or a WAIT/FWAIT
instruction) can be placed immediately after any floating-point instruction that might present a situation where
state information pertaining to a floating-point exception might be lost or corrupted. Floating-point instructions
that store data in memory are prime candidates for synchronization. For example, the following three lines of code
have the potential for exception synchronization problems:
FILD COUNT ;Floating-point instruction
INC COUNT ;Integer instruction
FSQRT ;Subsequent floating-point instruction
In this example, the INC instruction modifies the source operand of the floating-point instruction, FILD. If an
exception is signaled during the execution of the FILD instruction, the INC instruction would be allowed to overwrite
the value stored in the COUNT memory location before the floating-point exception handler is called. With the
COUNT variable modified, the floating-point exception handler would not be able to recover from the error.
Rearranging the instructions, as follows, so that the FSQRT instruction follows the FILD instruction, synchronizes
floating-point exception handling and eliminates the possibility of the COUNT variable being overwritten before the
floating-point exception handler is invoked.
Vol. 1 8-31
PROGRAMMING WITH THE X87 FPU
FILD COUNT ;Floating-point instruction
FSQRT ;Subsequent floating-point instruction synchronizes
;any exceptions generated by the FILD instruction.
INC COUNT ;Integer instruction
The FSQRT instruction does not require any synchronization, because the results of this instruction are stored in
the x87 FPU data registers and will remain there, undisturbed, until the next floating-point or WAIT/FWAIT instruc-
tion is executed. To absolutely insure that any exceptions emanating from the FSQRT instruction are handled (for
example, prior to a procedure call), a WAIT instruction can be placed directly after the FSQRT instruction.
Note that some floating-point instructions (non-waiting instructions) do not check for pending unmasked excep-
tions (see Section 8.3.11, x87 FPU Control Instructions). They include the FNINIT, FNSTENV, FNSAVE, FNSTSW,
FNSTCW, and FNCLEX instructions. When an FNINIT, FNSTENV, FNSAVE, or FNCLEX instruction is executed, all
pending exceptions are essentially lost (either the x87 FPU status register is cleared or all exceptions are masked).
The FNSTSW and FNSTCW instructions do not check for pending interrupts, but they do not modify the x87 FPU
status and control registers. A subsequent waiting floating-point instruction can then handle any pending excep-
tions.
8 .7 H AN D LI N G X8 7 F P U E XC E P T I O N S I N S O F T WAR E
The x87 FPU in Pentium and later IA-32 processors provides two different modes of operation for invoking a soft-
ware exception handler for floating-point exceptions: native mode and MS-DOS compatibility mode. The mode of
operation is selected by CR0.NE[bit 5]. (See Chapter 2, System Architecture Overview, in the Intel 64 and
IA-32 Architectures Software Developers Manual, Volume 3A, for more information about the NE flag.)
8 .7.1 N a t iv e Mo d e
The native mode for handling floating-point exceptions is selected by setting CR0.NE[bit 5] to 1. In this mode, if
the x87 FPU detects an exception condition while executing a floating-point instruction and the exception is
unmasked (the mask bit for the exception is cleared), the x87 FPU sets the flag for the exception and the ES flag in
the x87 FPU status word. It then invokes the software exception handler through the floating-point-error exception
(#MF, vector 16), immediately before execution of any of the following instructions in the processors instruction
stream:
The next floating-point instruction, unless it is one of the non-waiting instructions (FNINIT, FNCLEX, FNSTSW,
FNSTCW, FNSTENV, and FNSAVE).
The next WAIT/FWAIT instruction.
The next MMX instruction.
If the next floating-point instruction in the instruction stream is a non-waiting instruction, the x87 FPU executes the
instruction without invoking the software exception handler.
8 .7.2 MS -D O S * C o m p a t ib ilit y S u b -m o d e
If CR0.NE[bit 5] is 0, the MS-DOS compatibility mode for handling floating-point exceptions is selected. In this
mode, the software exception handler for floating-point exceptions is invoked externally using the processors
FERR#, INTR, and IGNNE# pins. This method of reporting floating-point errors and invoking an exception handler
is provided to support the floating-point exception handling mechanism used in PC systems that are running the
MS-DOS or Windows* 95 operating system.
Using FERR# and IGNNE# to handle floating-point exception is deprecated by modern operating systems, this
approach also limits newer processors to operate with one logical processor active.
The MS-DOS compatibility mode is typically used as follows to invoke the floating-point exception handler:
1. If the x87 FPU detects an unmasked floating-point exception, it sets the flag for the exception and the ES flag
in the x87 FPU status word.
8-32 Vol. 1
PROGRAMMING WITH THE X87 FPU
2. If the IGNNE# pin is deasserted, the x87 FPU then asserts the FERR# pin either immediately, or else delayed
(deferred) until just before the execution of the next waiting floating-point instruction or MMX instruction.
Whether the FERR# pin is asserted immediately or delayed depends on the type of processor, the instruction,
and the type of exception.
3. If a preceding floating-point instruction has set the exception flag for an unmasked x87 FPU exception, the
processor freezes just before executing the next WAIT instruction, waiting floating-point instruction, or MMX
instruction. Whether the FERR# pin was asserted at the preceding floating-point instruction or is just now being
asserted, the freezing of the processor assures that the x87 FPU exception handler will be invoked before the
new floating-point (or MMX) instruction gets executed.
4. The FERR# pin is connected through external hardware to IRQ13 of a cascaded, programmable interrupt
controller (PIC). When the FERR# pin is asserted, the PIC is programmed to generate an interrupt 75H.
5. The PIC asserts the INTR pin on the processor to signal the interrupt 75H.
6. The BIOS for the PC system handles the interrupt 75H by branching to the interrupt 02H (NMI) interrupt
handler.
7. The interrupt 02H handler determines if the interrupt is the result of an NMI interrupt or a floating-point
exception.
8. If a floating-point exception is detected, the interrupt 02H handler branches to the floating-point exception
handler.
If the IGNNE# pin is asserted, the processor ignores floating-point error conditions. This pin is provided to inhibit
floating-point exceptions from being generated while the floating-point exception handler is servicing a previously
signaled floating-point exception.
Appendix D, Guidelines for Writing x87 FPU Exception Handlers, describes the MS-DOS compatibility mode in
much greater detail. This mode is somewhat more complicated in the Intel486 and Pentium processor implemen-
tations, as described in Appendix D.
8 .7.3 H a n d lin g x 8 7 F P U E x ce p t io n s in S o ft w a re
Section 4.9.3, Typical Actions of a Floating-Point Exception Handler, shows actions that may be carried out by a
floating-point exception handler. The state of the x87 FPU can be saved with the FSTENV/FNSTENV or
FSAVE/FNSAVE instructions (see Section 8.1.10, Saving the x87 FPUs State with FSTENV/FNSTENV and
FSAVE/FNSAVE).
If the faulting floating-point instruction is followed by one or more non-floating-point instructions, it may not be
useful to re-execute the faulting instruction. See Section 8.6, x87 FPU Exception Synchronization, for more infor-
mation on synchronizing floating-point exceptions.
In cases where the handler needs to restart program execution with the faulting instruction, the IRET instruction
cannot be used directly. The reason for this is that because the exception is not generated until the next floating-
point or WAIT/FWAIT instruction following the faulting floating-point instruction, the return instruction pointer on
the stack may not point to the faulting instruction. To restart program execution at the faulting instruction, the
exception handler must obtain a pointer to the instruction from the saved x87 FPU state information, load it into the
return instruction pointer location on the stack, and then execute the IRET instruction.
See Section D.3.4, x87 FPU Exception Handling Examples, for general examples of floating-point exception
handlers and for specific examples of how to write a floating-point exception handler when using the MS-DOS
compatibility mode.
Vol. 1 9-1
C H AP T E R 9
P R O G R AMMI N G WI T H I N T E L MMX TE C H N O LO G Y
The Intel MMX technology was introduced into the IA-32 architecture in the PentiumII processor family and
Pentium processor with MMX technology. The extensions introduced in MMX technology support a single-instruc-
tion, multiple-data (SIMD) execution model that is designed to accelerate the performance of advanced media and
communications applications.
This chapter describes MMX technology.
9 .1 O VE R V I E W O F MMX TE C H N O LO G Y
MMX technology defines a simple and flexible SIMD execution model to handle 64-bit packed integer data. This
model adds the following features to the IA-32 architecture, while maintaining backwards compatibility with all IA-
32 applications and operating-system code:
Eight new 64-bit data registers, called MMX registers
Three new packed data types:
64-bit packed byte integers (signed and unsigned)
64-bit packed word integers (signed and unsigned)
64-bit packed doubleword integers (signed and unsigned)
Instructions that support the new data types and to handle MMX state management
Extensions to the CPUID instruction
MMX technology is accessible from all the IA32-architecture execution modes (protected mode, real address mode,
and virtual 8086 mode). It does not add any new modes to the architecture.
The following sections of this chapter describe MMX technologys programming environment, including MMX
register set, data types, and instruction set. Additional instructions that operate on MMX registers have been added
to the IA-32 architecture by the SSE/SSE2 extensions.
For more information, see:
Section 10.4.4, SSE 64-Bit SIMD Integer Instructions, describes MMX instructions added to the IA-32 archi-
tecture with the SSE extensions.
Section 11.4.2, SSE2 64-Bit and 128-Bit SIMD Integer Instructions, describes MMX instructions added to the
IA-32 architecture with SSE2 extensions.
Intel 64 and IA-32 Architectures Software Developers Manual, Volumes 2A & 2B, give detailed descriptions
of MMX instructions.
Chapter 12, Intel MMX Technology System Programming, in the Intel 64 and IA-32 Architectures
Software Developers Manual, Volume 3B, describes the manner in which MMX technology is integrated into the
IA-32 system programming model.
9 .2 T H E MMX TE C H N O LO G Y P R O G R AMMI N G E N V I R O N ME N T
Figure 9-1 shows the execution environment for MMX technology. All MMX instructions operate on MMX registers,
the general-purpose registers, and/or memory as follows:
MMX registers These eight registers (see Figure 9-1) are used to perform operations on 64-bit packed
integer data. They are named MM0 through MM7.
9-2 Vol. 1
PROGRAMMING WITH INTELMMXTECHNOLOGY
General-purpose registers The eight general-purpose registers (see Figure 3-5) are used with existing
IA-32 addressing modes to address operands in memory. (MMX registers cannot be used to address memory).
General-purpose registers are also used to hold operands for some MMX technology operations. They are EAX,
EBX, ECX, EDX, EBP, ESI, EDI, and ESP.
9 .2 .1 MMX Te ch n o lo g y in 6 4 -B it Mo d e a n d C o m p a t ib ilit y Mo d e
In compatibility mode and 64-bit mode, MMX instructions function like they do in protected mode. Memory oper-
ands are specified using the ModR/M, SIB encoding described in Section 3.7.5.
9 .2 .2 MMX R e g ist e rs
The MMX register set consists of eight 64-bit registers (see Figure 9-2), that are used to perform calculations on
the MMX packed integer data types. Values in MMX registers have the same format as a 64-bit quantity in memory.
The MMX registers have two data access modes: 64-bit access mode and 32-bit access mode. The 64-bit access
mode is used for:
64-bit memory accesses
64-bit transfers between MMX registers
All pack, logical, and arithmetic instructions
Some unpack instructions
The 32-bit access mode is used for:
32-bit memory accesses
32-bit transfer between general-purpose registers and MMX registers
Some unpack instructions
F ig u re 9 -1 . MMX Te ch n o lo g y E xe cu t io n E n v iro n m e n t
0
2
32
-1
Eight 32-Bit
Address Space
General-Purpose
Eight 64-Bit
MMX Registers
Registers
Vol. 1 9-3
PROGRAMMING WITH INTELMMXTECHNOLOGY
Although MMX registers are defined in the IA-32 architecture as separate registers, they are aliased to the registers
in the FPU data register stack (R0 through R7).
See also Section 9.5, Compatibility with x87 FPU Architecture.
9 .2 .3 MMX D a t a T y p e s
MMX technology introduced the following 64-bit data types to the IA-32 architecture (see Figure 9-3):
64-bit packed byte integers eight packed bytes
64-bit packed word integers four packed words
64-bit packed doubleword integers two packed doublewords
MMX instructions move 64-bit packed data types (packed bytes, packed words, or packed doublewords) and the
quadword data type between MMX registers and memory or between MMX registers in 64-bit blocks. However,
when performing arithmetic or logical operations on the packed data types, MMX instructions operate in parallel on
the individual bytes, words, or doublewords contained in MMX registers (see Section 9.2.5, Single Instruction,
Multiple Data (SIMD) Execution Model).
9 .2 .4 Me m o ry D a t a Fo rm a t s
When stored in memory: bytes, words and doublewords in the packed data types are stored in consecutive
addresses. The least significant byte, word, or doubleword is stored at the lowest address and the most significant
byte, word, or doubleword is stored at the high address. The ordering of bytes, words, or doublewords in memory
is always little endian. That is, the bytes with the low addresses are less significant than the bytes with high
addresses.
F ig u re 9 -2 . MMX R e g ist e r S e t
F ig u re 9 -3 . D a t a T y p e s I n t ro d u ce d w it h t h e MMX Te ch n o lo g y
MM7
MM6
MM5
MM4
MM3
MM2
MM1
MM0
63 0
Packed Word Integers
Packed Byte Integers
Packed Doubleword Integers
0 63
0 63
0 63
9-4 Vol. 1
PROGRAMMING WITH INTELMMXTECHNOLOGY
9 .2 .5 S in g le I n st ru ct io n , Mu lt ip le D a t a (S I MD ) E xe cu t io n Mo d e l
MMX technology uses the single instruction, multiple data (SIMD) technique for performing arithmetic and logical
operations on bytes, words, or doublewords packed into MMX registers (see Figure 9-4). For example, the PADDSW
instruction adds 4 signed word integers from one source operand to 4 signed word integers in a second source
operand and stores 4 word integer results in a destination operand. This SIMD technique speeds up software
performance by allowing the same operation to be carried out on multiple data elements in parallel. MMX tech-
nology supports parallel operations on byte, word, and doubleword data elements when contained in MMX regis-
ters.
The SIMD execution model supported in the MMX technology directly addresses the needs of modern media,
communications, and graphics applications, which often use sophisticated algorithms that perform the same oper-
ations on a large number of small data types (bytes, words, and doublewords). For example, most audio data is
represented in 16-bit (word) quantities. The MMX instructions can operate on 4 words simultaneously with one
instruction. Video and graphics information is commonly represented as palletized 8-bit (byte) quantities. In
Figure 9-4, one MMX instruction operates on 8 bytes simultaneously.
9 .3 S AT U R AT I O N AN D WR AP AR O U N D MO D E S
When performing integer arithmetic, an operation may result in an out-of-range condition, where the true result
cannot be represented in the destination format. For example, when performing arithmetic on signed word inte-
gers, positive overflow can occur when the true signed result is larger than 16 bits.
The MMX technology provides three ways of handling out-of-range conditions:
Wraparound arithmetic With wraparound arithmetic, a true out-of-range result is truncated (that is, the
carry or overflow bit is ignored and only the least significant bits of the result are returned to the destination).
Wraparound arithmetic is suitable for applications that control the range of operands to prevent out-of-range
results. If the range of operands is not controlled, however, wraparound arithmetic can lead to large errors. For
example, adding two large signed numbers can cause positive overflow and produce a negative result.
Signed saturation arithmetic With signed saturation arithmetic, out-of-range results are limited to the
representable range of signed integers for the integer size being operated on (see Table 9-1). For example, if
positive overflow occurs when operating on signed word integers, the result is saturated to 7FFFH, which is
the largest positive integer that can be represented in 16 bits; if negative overflow occurs, the result is
saturated to 8000H.
Unsigned saturation arithmetic With unsigned saturation arithmetic, out-of-range results are limited to
the representable range of unsigned integers for the integer size. So, positive overflow when operating on
unsigned byte integers results in FFH being returned and negative overflow results in 00H being returned.
.
F ig u re 9 -4 . S I MD E xe cu t io n Mo d e l
X3 X2 X1 X0
Y3 Y2 Y1 Y0
X3 OP Y3 X2 OP Y2 X1 OP Y1 X0 OP Y0
OP OP OP OP
Source 1
Source 2
Destination
Vol. 1 9-5
PROGRAMMING WITH INTELMMXTECHNOLOGY
Saturation arithmetic provides an answer for many overflow situations. For example, in color calculations, satura-
tion causes a color to remain pure black or pure white without allowing inversion. It also prevents wraparound arti-
facts from entering into computations when range checking of source operands it not used.
MMX instructions do not indicate overflow or underflow occurrence by generating exceptions or setting flags in the
EFLAGS register.
9 .4 MMX I N S T R U C T I O N S
The MMX instruction set consists of 47 instructions, grouped into the following categories:
Data transfer
Arithmetic
Comparison
Conversion
Unpacking
Logical
Shift
Empty MMX state instruction (EMMS)
Table 9-2 gives a summary of the instructions in the MMX instruction set. The following sections give a brief over-
view of the instructions within each group.
N O T E S
The MMX instructions described in this chapter are those instructions that are available in an IA-32
processor when CPUID.01H:EDX.MMX[bit 23] = 1.
Section 10.4.4, SSE 64-Bit SIMD Integer Instructions, and Section 11.4.2, SSE2 64-Bit and 128-
Bit SIMD Integer Instructions, list additional instructions included with SSE/SSE2 extensions that
operate on the MMX registers but are not considered part of the MMX instruction set.
Ta b le 9 -1 . D a t a R a n g e Lim it s fo r S a t u ra t io n
D a t a T y p e Lo w e r Lim it U p p e r Lim it
H e x a d e cim a l D e cim a l H e x a d e cim a l D e cim a l
Signed Byte 80H -128 7FH 127
Signed Word 8000H -32,768 7FFFH 32,767
Unsigned Byte 00H 0 FFH 255
Unsigned Word 0000H 0 FFFFH 65,535
9-6 Vol. 1
PROGRAMMING WITH INTELMMXTECHNOLOGY
9 .4 .1 D a t a T ra n sfe r I n st ru ct io n s
The MOVD (Move 32 Bits) instruction transfers 32 bits of packed data from memory to an MMX register and vice
versa; or from a general-purpose register to an MMX register and vice versa.
The MOVQ (Move 64 Bits) instruction transfers 64 bits of packed data from memory to an MMX register and vice
versa; or transfers data between MMX registers.
9 .4 .2 Arit h m e t ic I n st ru ct io n s
The arithmetic instructions perform addition, subtraction, multiplication, and multiply/add operations on packed
data types.
The PADDB/PADDW/PADDD (add packed integers) instructions and the PSUBB/PSUBW/ PSUBD (subtract packed
integers) instructions add or subtract the corresponding signed or unsigned data elements of the source and desti-
Ta b le 9 -2 . MMX I n st ru ct io n S e t S u m m a ry
C a t e g o ry Wra p a ro u n d S ig n e d S a t u ra t io n U n sig n e d S a t u ra t io n
Arithmetic Addition
Subtraction
Multiplication
Multiply and Add
PADDB, PADDW, PADDD
PSUBB, PSUBW, PSUBD
PMULL, PMULH
PMADD
PADDSB, PADDSW
PSUBSB, PSUBSW
PADDUSB, PADDUSW
PSUBUSB, PSUBUSW
Comparison Compare for Equal
Compare for Greater
Than
PCMPEQB, PCMPEQW,
PCMPEQD
PCMPGTPB, PCMPGTPW,
PCMPGTPD
Conversion Pack PACKSSWB,
PACKSSDW
PACKUSWB
Unpack Unpack High
Unpack Low
PUNPCKHBW,
PUNPCKHWD,
PUNPCKHDQ
PUNPCKLBW,
PUNPCKLWD,
PUNPCKLDQ
P a cke d F u ll Q u a d w o rd
Logical And
And Not
Or
Exclusive OR
PAND
PANDN
POR
PXOR
Shift Shift Left Logical
Shift Right Logical
Shift Right Arithmetic
PSLLW, PSLLD
PSRLW, PSRLD
PSRAW, PSRAD
PSLLQ
PSRLQ
D o u b le w o rd T ra n sfe rs Q u a d w o rd T ra n sfe rs
Data Transfer Register to Register
Load from Memory
Store to Memory
MOVD
MOVD
MOVD
MOVQ
MOVQ
MOVQ
Empty MMX State E MMS
Vol. 1 9-7
PROGRAMMING WITH INTELMMXTECHNOLOGY
nation operands in wraparound mode. These instructions operate on packed byte, word, and doubleword data
types.
The PADDSB/PADDSW (add packed signed integers with signed saturation) instructions and the PSUBSB/PSUBSW
(subtract packed signed integers with signed saturation) instructions add or subtract the corresponding signed
data elements of the source and destination operands and saturate the result to the limits of the signed data-type
range. These instructions operate on packed byte and word data types.
The PADDUSB/PADDUSW (add packed unsigned integers with unsigned saturation) instructions and the
PSUBUSB/PSUBUSW (subtract packed unsigned integers with unsigned saturation) instructions add or subtract the
corresponding unsigned data elements of the source and destination operands and saturate the result to the limits
of the unsigned data-type range. These instructions operate on packed byte and word data types.
The PMULHW (multiply packed signed integers and store high result) and PMULLW (multiply packed signed inte-
gers and store low result) instructions perform a signed multiply of the corresponding words of the source and
destination operands and write the high-order or low-order 16 bits of each of the results, respectively, to the desti-
nation operand.
The PMADDWD (multiply and add packed integers) instruction computes the products of the corresponding signed
words of the source and destination operands. The four intermediate 32-bit doubleword products are summed in
pairs (high-order pair and low-order pair) to produce two 32-bit doubleword results.
9 .4 .3 C o m p a riso n I n st ru ct io n s
The PCMPEQB/PCMPEQW/PCMPEQD (compare packed data for equal) instructions and the
PCMPGTB/PCMPGTW/PCMPGTD (compare packed signed integers for greater than) instructions compare the corre-
sponding signed data elements (bytes, words, or doublewords) in the source and destination operands for equal to
or greater than, respectively.
These instructions generate a mask of ones or zeros which are written to the destination operand. Logical opera-
tions can use the mask to select packed elements. This can be used to implement a packed conditional move oper-
ation without a branch or a set of branch instructions. No flags in the EFLAGS register are affected.
9 .4 .4 C o n v e rsio n I n st ru ct io n s
The PACKSSWB (pack words into bytes with signed saturation) and PACKSSDW (pack doublewords into words with
signed saturation) instructions convert signed words into signed bytes and signed doublewords into signed words,
respectively, using signed saturation.
PACKUSWB (pack words into bytes with unsigned saturation) converts signed words into unsigned bytes, using
unsigned saturation.
9 .4 .5 U n p a ck I n st ru ct io n s
The PUNPCKHBW/PUNPCKHWD/PUNPCKHDQ (unpack high-order data elements) instructions and the
PUNPCKLBW/PUNPCKLWD/PUNPCKLDQ (unpack low-order data elements) instructions unpack bytes, words, or
doublewords from the high- or low-order data elements of the source and destination operands and interleave
them in the destination operand. By placing all 0s in the source operand, these instructions can be used to convert
byte integers to word integers, word integers to doubleword integers, or doubleword integers to quadword inte-
gers.
9 .4 .6 Lo g ica l I n st ru ct io n s
PAND (bitwise logical AND), PANDN (bitwise logical AND NOT), POR (bitwise logical OR), and PXOR (bitwise logical
exclusive OR) perform bitwise logical operations on the quadword source and destination operands.
9-8 Vol. 1
PROGRAMMING WITH INTELMMXTECHNOLOGY
9 .4 .7 S h ift I n st ru ct io n s
The logical shift left, logical shift right and arithmetic shift right instructions shift each element by a specified
number of bit positions.
The PSLLW/PSLLD/PSLLQ (shift packed data left logical) instructions and the PSRLW/PSRLD/PSRLQ (shift packed
data right logical) instructions perform a logical left or right shift of the data elements and fill the empty high or low
order bit positions with zeros. These instructions operate on packed words, doublewords, and quadwords.
The PSRAW/PSRAD (shift packed data right arithmetic) instructions perform an arithmetic right shift, copying the
sign bit for each data element into empty bit positions on the upper end of each data element. This instruction
operates on packed words and doublewords.
9 .4 .8 E MMS I n st ru ct io n
The EMMS instruction empties the MMX state by setting the tags in x87 FPU tag word to 11B, indicating empty
registers. This instruction must be executed at the end of an MMX routine before calling other routines that can
execute floating-point instructions. See Section 9.6.3, Using the EMMS Instruction, for more information on the
use of this instruction.
9 .5 C O MP AT I B I LI T Y WI T H X8 7 F P U AR C H I T E C T U R E
The MMX state is aliased to the x87 FPU state. No new states or modes have been added to IA-32 architecture to
support the MMX technology. The same floating-point instructions that save and restore the x87 FPU state also
handle the MMX state (for example, during context switching).
MMX technology uses the same interface techniques between the x87 FPU and the operating system (primarily for
task switching purposes). For more details, see Chapter 12, Intel MMX Technology System Programming, in
the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3A.
9 .5 .1 MMX I n st ru ct io n s a n d t h e x 8 7 F P U Ta g Wo rd
After each MMX instruction, the entire x87 FPU tag word is set to valid (00B). The EMMS instruction (empty MMX
state) sets the entire x87 FPU tag word to empty (11B).
Chapter 12, Intel MMX Technology System Programming, in the Intel 64 and IA-32 Architectures Software
Developers Manual, Volume 3A, provides additional information about the effects of x87 FPU and MMX instructions
on the x87 FPU tag word. For a description of the tag word, see Section 8.1.7, x87 FPU Tag Word.
9 .6 WR I T I N G AP P LI C AT I O N S WI T H MMX C O D E
The following sections give guidelines for writing application code that uses MMX technology.
9 .6 .1 C h e ck in g fo r MMX Te ch n o lo g y S u p p o rt
Before an application attempts to use the MMX technology, it should check that it is present on the processor. Check
by following these steps:
1. Check that the processor supports the CPUID instruction by attempting to execute the CPUID instruction. If the
processor does not support the CPUID instruction, this will generate an invalid-opcode exception (#UD).
2. Check that the processor supports the MMX technology
(if CPUID.01H:EDX.MMX[bit 23] = 1).
3. Check that emulation of the x87 FPU is disabled (if CR0.EM[bit 2] = 0).
If the processor attempts to execute an unsupported MMX instruction or attempts to execute an MMX instruction
with CR0.EM[bit 2] set, this generates an invalid-opcode exception (#UD).
Vol. 1 9-9
PROGRAMMING WITH INTELMMXTECHNOLOGY
Example 9-1 illustrates how to use the CPUID instruction to detect the MMX technology. This example does not
represent the entire CPUID sequence, but shows the portion used for detection of MMX technology.
E x a m p le 9 -1 . P a rt ia l R o u t in e fo r D e t e ct in g MMX Te ch n o lo g y w it h t h e C P U I D I n st ru ct io n
... ; identify existence of CPUID instruction
... ; identify Intel processor
mov EAX, 1 ; request for feature flags
CPUID ; 0FH, 0A2H CPUID instruction
test EDX, 00800000H ; Is IA MMX technology bit (Bit 23 of EDX) set?
jnz ; MMX_Technology_Found
9 .6 .2 T ra n sit io n s B e t w e e n x 8 7 F P U a n d MMX C o d e
Applications can contain both x87 FPU floating-point and MMX instructions. However, because the MMX registers
are aliased to the x87 FPU register stack, care must be taken when making transitions between x87 FPU instruc-
tions and MMX instructions to prevent incoherent or unexpected results.
When an MMX instruction (other than the EMMS instruction) is executed, the processor changes the x87 FPU state
as follows:
The TOS (top of stack) value of the x87 FPU status word is set to 0.
The entire x87 FPU tag word is set to the valid state (00B in all tag fields).
When an MMX instruction writes to an MMX register, it writes ones (11B) to the exponent part of the corre-
sponding floating-point register (bits 64 through 79).
The net result of these actions is that any x87 FPU state prior to the execution of the MMX instruction is essentially
lost.
When an x87 FPU instruction is executed, the processor assumes that the current state of the x87 FPU register
stack and control registers is valid and executes the instruction without any preparatory modifications to the x87
FPU state.
If the application contains both x87 FPU floating-point and MMX instructions, the following guidelines are recom-
mended:
When transitioning between x87 FPU and MMX code, save the state of any x87 FPU data or control registers
that need to be preserved for future use. The FSAVE and FXSAVE instructions save the entire x87 FPU state.
When transitioning between MMX and x87 FPU code, do the following:
Save any data in the MMX registers that needs to be preserved for future use. FSAVE and FXSAVE also save
the state of MMX registers.
Execute the EMMS instruction to clear the MMX state from the x87 data and control registers.
The following sections describe the use of the EMMS instruction and give additional guidelines for mixing x87 FPU
and MMX code.
9 .6 .3 U sin g t h e E MMS I n st ru ct io n
As described in Section 9.6.2, Transitions Between x87 FPU and MMX Code, when an MMX instruction executes,
the x87 FPU tag word is marked valid (00B). In this state, the execution of subsequent x87 FPU instructions may
produce unexpected x87 FPU floating-point exceptions and/or incorrect results because the x87 FPU register stack
appears to contain valid data. The EMMS instruction is provided to prevent this problem by marking the x87 FPU
tag word as empty.
The EMMS instruction should be used in each of the following cases:
When an application using the x87 FPU instructions calls an MMX technology library/DLL (use the EMMS
instruction at the end of the MMX code).
9-10 Vol. 1
PROGRAMMING WITH INTELMMXTECHNOLOGY
When an application using MMX instructions calls a x87 FPU floating-point library/DLL (use the EMMS
instruction before calling the x87 FPU code).
When a switch is made between MMX code in a task or thread and other tasks or threads in cooperative
operating systems, unless it is certain that more MMX instructions will be executed before any x87 FPU code.
EMMS is not required when mixing MMX technology instructions with SSE/SSE2/SSE3 instructions (see Section
11.6.7, Interaction of SSE/SSE2 Instructions with x87 FPU and MMX Instructions).
9 .6 .4 Mix in g MMX a n d x 8 7 F P U I n st ru ct io n s
An application can contain both x87 FPU floating-point and MMX instructions. However, frequent transitions
between MMX and x87 FPU instructions are not recommended, because they can degrade performance in some
processor implementations. When mixing MMX code with x87 FPU code, follow these guidelines:
Keep the code in separate modules, procedures, or routines.
Do not rely on register contents across transitions between x87 FPU and MMX code modules.
When transitioning between MMX code and x87 FPU code, save the MMX register state (if it will be needed in
the future) and execute an EMMS instruction to empty the MMX state.
When transitioning between x87 FPU code and MMX code, save the x87 FPU state if it will be needed in the
future.
9 .6 .5 I n t e rfa cin g w it h MMX C o d e
MMX technology enables direct access to all the MMX registers. This means that all existing interface conventions
that apply to the use of the processors general-purpose registers (EAX, EBX, etc.) also apply to the use of MMX
registers.
An efficient interface to MMX routines might pass parameters and return values through the MMX registers or
through a combination of memory locations (via the stack) and MMX registers. Do not use the EMMS instruction or
mix MMX and x87 FPU code when using to the MMX registers to pass parameters.
If a high-level language that does not support the MMX data types directly is used, the MMX data types can be
defined as a 64-bit structure containing packed data types.
When implementing MMX instructions in high-level languages, other approaches can be taken, such as:
Passing parameters to an MMX routine by passing a pointer to a structure via the stack.
Returning a value from a function by returning a pointer to a structure.
9 .6 .6 U sin g MMX C o d e in a Mu lt it a sk in g O p e ra t in g S y st e m E n v iro n m e n t
An application needs to identify the nature of the multitasking operating system on which it runs. Each task retains
its own state which must be saved when a task switch occurs. The processor state (context) consists of the
general-purpose registers and the floating-point and MMX registers.
Operating systems can be classified into two types:
Cooperative multitasking operating system
Preemptive multitasking operating system
Cooperative multitasking operating systems do not save the FPU or MMX state when performing a context switch.
Therefore, the application needs to save the relevant state before relinquishing direct or indirect control to the
operating system.
Preemptive multitasking operating systems are responsible for saving and restoring the FPU and MMX state when
performing a context switch. Therefore, the application does not have to save or restore the FPU and MMX state.
Vol. 1 9-11
PROGRAMMING WITH INTELMMXTECHNOLOGY
9 .6 .7 E x ce p t io n H a n d lin g in MMX C o d e
MMX instructions generate the same type of memory-access exceptions as other IA-32 instructions (page fault,
segment not present, and limit violations). Existing exception handlers do not have to be modified to handle these
types of exceptions for MMX code.
Unless there is a pending floating-point exception, MMX instructions do not generate numeric exceptions. There-
fore, there is no need to modify existing exception handlers or add new ones to handle numeric exceptions.
If a floating-point exception is pending, the subsequent MMX instruction generates a numeric error exception
(interrupt 16 and/or assertion of the FERR# pin). The MMX instruction resumes execution upon return from the
exception handler.
9 .6 .8 R e g ist e r Ma p p in g
MMX registers and their tags are mapped to physical locations of the floating-point registers and their tags.
Register aliasing and mapping is described in more detail in Chapter 12, Intel MMX Technology System
Programming, in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3A.
9 .6 .9 E ffe ct o f I n st ru ct io n P re fixe s o n MMX I n st ru ct io n s
Table 9-3 describes the effect of instruction prefixes on MMX instructions. Unpredictable behavior can range from
being treated as a reserved operation on one generation of IA-32 processors to generating an invalid opcode
exception on another generation of processors.
See Instruction Prefixes in Chapter 2, Instruction Format, of the Intel 64 and IA-32 Architectures Software
Developers Manual, Volume 2A, for a description of the instruction prefixes.
Ta b le 9 -3 . E f fe ct o f P re fixe s o n MMX I n st ru ct io n s
P re fix T y p e E ffe ct o n MMX I n st ru ct io n s
Address Size Prefix (67H) Affects instructions with a memory operand.
Reserved for instructions without a memory operand and may result in
unpredictable behavior.
Operand Size (66H) Reserved and may result in unpredictable behavior.
Segment Override (2EH, 36H, 3EH, 26H, 64H,
65H)
Affects instructions with a memory operand.
Reserved for instructions without a memory operand and may result in
unpredictable behavior.
Repeat Prefix (F3H) Reserved and may result in unpredictable behavior.
Repeat NE Prefix(F2H) Reserved and may result in unpredictable behavior.
Lock Prefix (F0H) Reserved; generates invalid opcode exception (#UD).
Branch Hint Prefixes (2EH and 3EH) Reserved and may result in unpredictable behavior.
9-12 Vol. 1
PROGRAMMING WITH INTELMMXTECHNOLOGY
Vol. 1 10-1
C H AP T E R 1 0
P R O G R AMMI N G WI T H
S T R E AMI N G S I MD E XT E N S I O N S (S S E )
The streaming SIMD extensions (SSE) were introduced into the IA-32 architecture in the Pentium III processor
family. These extensions enhance the performance of IA-32 processors for advanced 2-D and 3-D graphics, motion
video, image processing, speech recognition, audio synthesis, telephony, and video conferencing.
This chapter describes SSE. Chapter 11, Programming with Streaming SIMD Extensions 2 (SSE2), provides infor-
mation to assist in writing application programs that use SSE2 extensions. Chapter 12, Programming with SSE3,
SSSE3, SSE4 and AESNI, provides this information for SSE3 extensions.
1 0 .1 O VE R V I E W O F S S E E XT E N S I O N S
Intel MMX technology introduced single-instruction multiple-data (SIMD) capability into the IA-32 architecture,
with the 64-bit MMX registers, 64-bit packed integer data types, and instructions that allowed SIMD operations to
be performed on packed integers. SSE extensions expand the SIMD execution model by adding facilities for
handling packed and scalar single-precision floating-point values contained in 128-bit registers.
If CPUID.01H:EDX.SSE[bit 25] = 1, SSE extensions are present.
SSE extensions add the following features to the IA-32 architecture, while maintaining backward compatibility with
all existing IA-32 processors, applications and operating systems.
Eight 128-bit data registers (called XMM registers) in non-64-bit modes; sixteen XMM registers are available in
64-bit mode.
The 32-bit MXCSR register, which provides control and status bits for operations performed on XMM registers.
The 128-bit packed single-precision floating-point data type (four IEEE single-precision floating-point values
packed into a double quadword).
Instructions that perform SIMD operations on single-precision floating-point values and that extend SIMD
operations that can be performed on integers:
128-bit Packed and scalar single-precision floating-point instructions that operate on data located in MMX
registers
64-bit SIMD integer instructions that support additional operations on packed integer operands located in
MMX registers
Instructions that save and restore the state of the MXCSR register.
Instructions that support explicit prefetching of data, control of the cacheability of data, and control the
ordering of store operations.
Extensions to the CPUID instruction.
These features extend the IA-32 architectures SIMD programming model in four important ways:
The ability to perform SIMD operations on four packed single-precision floating-point values enhances the
performance of IA-32 processors for advanced media and communications applications that use computation-
intensive algorithms to perform repetitive operations on large arrays of simple, native data elements.
The ability to perform SIMD single-precision floating-point operations in XMM registers and SIMD integer
operations in MMX registers provides greater flexibility and throughput for executing applications that operate
on large arrays of floating-point and integer data.
Cache control instructions provide the ability to stream data in and out of XMM registers without polluting the
caches and the ability to prefetch data to selected cache levels before it is actually used. Applications that
require regular access to large amounts of data benefit from these prefetching and streaming store capabilities.
The SFENCE (store fence) instruction provides greater control over the ordering of store operations when using
weakly-ordered memory types.
10-2 Vol. 1
PROGRAMMING WITH STREAMING SIMD EXTENSIONS (SSE)
SSE extensions are fully compatible with all software written for IA-32 processors. All existing software continues
to run correctly, without modification, on processors that incorporate SSE extensions. Enhancements to CPUID
permit detection of SSE extensions. SSE extensions are accessible from all IA-32 execution modes: protected
mode, real address mode, and virtual-8086 mode.
The following sections of this chapter describe the programming environment for SSE extensions, including: XMM
registers, the packed single-precision floating-point data type, and SSE instructions. For additional information,
see:
Section 11.6, Writing Applications with SSE/SSE2 Extensions.
Section 11.5, SSE, SSE2, and SSE3 Exceptions, describes the exceptions that can be generated with
SSE/SSE2/SSE3 instructions.
Intel 64 and IA-32 Architectures Software Developers Manual, Volumes 2A & 2B, provide a detailed
description of these instructions.
Chapter 13, System Programming for Instruction Set Extensions and Processor Extended States, in the
Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3A, gives guidelines for integrating
these extensions into an operating-system environment.
1 0 .2 S S E P R O G R AMMI N G E N VI R O N ME N T
Figure 10-1 shows the execution environment for the SSE extensions. All SSE instructions operate on the XMM
registers, MMX registers, and/or memory as follows:
XMM registers These eight registers (see Figure 10-2 and Section 10.2.2, XMM Registers) are used to
operate on packed or scalar single-precision floating-point data. Scalar operations are operations performed on
individual (unpacked) single-precision floating-point values stored in the low doubleword of an XMM register.
XMM registers are referenced by the names XMM0 through XMM7.
MXCSR register This 32-bit register (see Figure 10-3 and Section 10.2.3, MXCSR Control and Status
Register) provides status and control bits used in SIMD floating-point operations.
MMX registers These eight registers (see Figure 9-2) are used to perform operations on 64-bit packed
integer data. They are also used to hold operands for some operations performed between the MMX and XMM
registers. MMX registers are referenced by the names MM0 through MM7.
General-purpose registers The eight general-purpose registers (see Figure 3-5) are used along with the
existing IA-32 addressing modes to address operands in memory. (MMX and XMM registers cannot be used to
F ig u re 1 0 -1 . S S E E xe cu t io n E n v iro n m e n t
0
2
32
-1
Eight 32-Bit
32 Bits EFLAGS Register
Address Space
General-Purpose
Eight 64-Bit
MMX Registers
Eight 128-Bit
XMM Registers
32 Bits MXCSR Register
Registers
Vol. 1 10-3
PROGRAMMING WITH STREAMING SIMD EXTENSIONS (SSE)
address memory). The general-purpose registers are also used to hold operands for some SSE instructions and
are referenced as EAX, EBX, ECX, EDX, EBP, ESI, EDI, and ESP.
EFLAGS register This 32-bit register (see Figure 3-8) is used to record result of some compare operations.
1 0 .2 .1 S S E in 6 4 -B it Mo d e a n d C o m p a t ib ilit y Mo d e
In compatibility mode, SSE extensions function like they do in protected mode. In 64-bit mode, eight additional
XMM registers are accessible. Registers XMM8-XMM15 are accessed by using REX prefixes. Memory operands are
specified using the ModR/M, SIB encoding described in Section 3.7.5.
Some SSE instructions may be used to operate on general-purpose registers. Use the REX.W prefix to access 64-
bit general-purpose registers. Note that if a REX prefix is used when it has no meaning, the prefix is ignored.
1 0 .2 .2 XMM R e g ist e rs
Eight 128-bit XMM data registers were introduced into the IA-32 architecture with SSE extensions (see
Figure 10-2). These registers can be accessed directly using the names XMM0 to XMM7; and they can be accessed
independently from the x87 FPU and MMX registers and the general-purpose registers (that is, they are not aliased
to any other of the processors registers).
SSE instructions use the XMM registers only to operate on packed single-precision floating-point operands. SSE2
extensions expand the functions of the XMM registers to operand on packed or scalar double-precision floating-
point operands and packed integer operands (see Section 11.2, SSE2 Programming Environment, and Section
12.1, Programming Environment and Data types).
XMM registers can only be used to perform calculations on data; they cannot be used to address memory.
Addressing memory is accomplished by using the general-purpose registers.
Data can be loaded into XMM registers or written from the registers to memory in 32-bit, 64-bit, and 128-bit incre-
ments. When storing the entire contents of an XMM register in memory (128-bit store), the data is stored in 16
consecutive bytes, with the low-order byte of the register being stored in the first byte in memory.
1 0 .2 .3 MXC S R C o n t ro l a n d S t a t u s R e g ist e r
The 32-bit MXCSR register (see Figure 10-3) contains control and status information for SSE, SSE2, and SSE3
SIMD floating-point operations. This register contains:
flag and mask bits for SIMD floating-point exceptions
rounding control field for SIMD floating-point operations
F ig u re 1 0 -2 . XMM R e g ist e rs
XMM7
XMM6
XMM5
XMM4
XMM3
XMM2
XMM1
XMM0
127 0
10-4 Vol. 1
PROGRAMMING WITH STREAMING SIMD EXTENSIONS (SSE)
flush-to-zero flag that provides a means of controlling underflow conditions on SIMD floating-point operations
denormals-are-zeros flag that controls how SIMD floating-point instructions handle denormal source operands
The contents of this register can be loaded from memory with the LDMXCSR and FXRSTOR instructions and stored
in memory with STMXCSR and FXSAVE.
Bits 16 through 31 of the MXCSR register are reserved and are cleared on a power-up or reset of the processor;
attempting to write a non-zero value to these bits, using either the FXRSTOR or LDMXCSR instructions, will result
in a general-protection exception (#GP) being generated.
1 0 .2 .3 .1 S I MD F lo a t in g -P o in t Ma sk a n d F la g B it s
Bits 0 through 5 of the MXCSR register indicate whether a SIMD floating-point exception has been detected. They
are sticky flags. That is, after a flag is set, it remains set until explicitly cleared. To clear these flags, use the
LDMXCSR or the FXRSTOR instruction to write zeroes to them.
Bits 7 through 12 provide individual mask bits for the SIMD floating-point exceptions. An exception type is masked
if the corresponding mask bit is set, and it is unmasked if the bit is clear. These mask bits are set upon a power-up
or reset. This causes all SIMD floating-point exceptions to be initially masked.
If LDMXCSR or FXRSTOR clears a mask bit and sets the corresponding exception flag bit, a SIMD floating-point
exception will not be generated as a result of this change. The unmasked exception will be generated only upon the
execution of the next SSE/SSE2/SSE3 instruction that detects the unmasked exception condition.
For more information about the use of the SIMD floating-point exception mask and flag bits, see Section 11.5,
SSE, SSE2, and SSE3 Exceptions, and Section 12.8, SSE3/SSSE3 and SSE4 Exceptions.
1 0 .2 .3 .2 S I MD F lo a t in g -P o in t R o u n d in g C o n t ro l F ie ld
Bits 13 and 14 of the MXCSR register (the rounding control [RC] field) control how the results of SIMD floating-point
instructions are rounded. See Section 4.8.4, Rounding, for a description of the function and encoding of the
rounding control bits.
1 0 .2 .3 .3 F lu sh -To -Z e ro
Bit 15 (FZ) of the MXCSR register enables the flush-to-zero mode, which controls the masked response to a SIMD
floating-point underflow condition. When the underflow exception is masked and the flush-to-zero mode is
enabled, the processor performs the following operations when it detects a floating-point underflow condition:
F ig u re 1 0 -3 . MXC S R C o n t ro l/S t a t u s R e g ist e r
31 16
Overflow Mask
Divide-by-Zero Mask
Denormal Operation Mask
Invalid Operation Mask
Denormals Are Zeros*
Precision Flag
Underflow Flag
Underflow Mask
Flush to Zero
Rounding Control
15 13 14 12 11 10 9 8 7 6 5 4 3 2 1 0
Precision Mask
Overflow Flag
Divide-by-Zero Flag
Denormal Flag
Invalid Operation Flag
F
Z
R
C
P
M
Z
E
O
E
U
E
P
E
I
M
D
M
Z
M
O
M
U
M
Reserved
D
E E
I
D
A
Z
* The denormals-are-zeros flag was introduced in the Pentium 4 and Intel Xeon processor.
Vol. 1 10-5
PROGRAMMING WITH STREAMING SIMD EXTENSIONS (SSE)
Returns a zero result with the sign of the true result
Sets the precision and underflow exception flags
If the underflow exception is not masked, the flush-to-zero bit is ignored.
The flush-to-zero mode is not compatible with IEEE Standard 754. The IEEE-mandated masked response to under-
flow is to deliver the denormalized result (see Section 4.8.3.2, Normalized and Denormalized Finite Numbers).
The flush-to-zero mode is provided primarily for performance reasons. At the cost of a slight precision loss, faster
execution can be achieved for applications where underflows are common and rounding the underflow result to
zero can be tolerated.
The flush-to-zero bit is cleared upon a power-up or reset of the processor, disabling the flush-to-zero mode.
1 0 .2 .3 .4 D e n o rm a ls-Are -Z e ro s
Bit 6 (DAZ) of the MXCSR register enables the denormals-are-zeros mode, which controls the processors response
to a SIMD floating-point denormal operand condition. When the denormals-are-zeros flag is set, the processor
converts all denormal source operands to a zero with the sign of the original operand before performing any
computations on them. The processor does not set the denormal-operand exception flag (DE), regardless of the
setting of the denormal-operand exception mask bit (DM); and it does not generate a denormal-operand exception
if the exception is unmasked.
The denormals-are-zeros mode is not compatible with IEEE Standard 754 (see Section 4.8.3.2, Normalized and
Denormalized Finite Numbers). The denormals-are-zeros mode is provided to improve processor performance for
applications such as streaming media processing, where rounding a denormal operand to zero does not appre-
ciably affect the quality of the processed data.
The denormals-are-zeros flag is cleared upon a power-up or reset of the processor, disabling the denormals-are-
zeros mode.
The denormals-are-zeros mode was introduced in the Pentium 4 and Intel Xeon processor with the SSE2 exten-
sions; however, it is fully compatible with the SSE SIMD floating-point instructions (that is, the denormals-are-
zeros flag affects the operation of the SSE SIMD floating-point instructions). In earlier IA-32 processors and in
some models of the Pentium 4 processor, this flag (bit 6) is reserved. See Section 11.6.3, Checking for the DAZ
Flag in the MXCSR Register, for instructions for detecting the availability of this feature.
Attempting to set bit 6 of the MXCSR register on processors that do not support the DAZ flag will cause a general-
protection exception (#GP). See Section 11.6.6, Guidelines for Writing to the MXCSR Register, for instructions for
preventing such general-protection exceptions by using the MXCSR_MASK value returned by the FXSAVE instruc-
tion.
1 0 .2 .4 C o m p a t ib ilit y o f S S E E x t e n sio n s w it h S S E 2 /S S E 3 /MMX a n d t h e x 8 7 F P U
The state (XMM registers and MXCSR register) introduced into the IA-32 execution environment with the SSE
extensions is shared with SSE2 and SSE3 extensions. SSE/SSE2/SSE3 instructions are fully compatible; they can
be executed together in the same instruction stream with no need to save state when switching between instruc-
tion sets.
XMM registers are independent of the x87 FPU and MMX registers, so SSE/SSE2/SSE3 operations performed on the
XMM registers can be performed in parallel with operations on the x87 FPU and MMX registers (see Section 11.6.7,
Interaction of SSE/SSE2 Instructions with x87 FPU and MMX Instructions).
The FXSAVE and FXRSTOR instructions save and restore the SSE/SSE2/SSE3 states along with the x87 FPU and
MMX state.
1 0 .3 S S E D AT A T YP E S
SSE extensions introduced one data type, the 128-bit packed single-precision floating-point data type, to the IA-
32 architecture (see Figure 10-4). This data type consists of four IEEE 32-bit single-precision floating-point values
10-6 Vol. 1
PROGRAMMING WITH STREAMING SIMD EXTENSIONS (SSE)
packed into a double quadword. (See Figure 4-3 for the layout of a single-precision floating-point value; refer to
Section 4.2.2, Floating-Point Data Types, for a detailed description of the single-precision floating-point format.)
This 128-bit packed single-precision floating-point data type is operated on in the XMM registers or in memory.
Conversion instructions are provided to convert two packed single-precision floating-point values into two packed
doubleword integers or a scalar single-precision floating-point value into a doubleword integer (see Figure 11-8).
SSE extensions provide conversion instructions between XMM registers and MMX registers, and between XMM
registers and general-purpose bit registers. See Figure 11-8.
The address of a 128-bit packed memory operand must be aligned on a 16-byte boundary, except in the following
cases:
The MOVUPS instruction supports unaligned accesses.
Scalar instructions that use a 4-byte memory operand that is not subject to alignment requirements.
Figure 4-2 shows the byte order of 128-bit (double quadword) data types in memory.
1 0 .4 S S E I N S T R U C T I O N S E T
SSE instructions are divided into four functional groups
Packed and scalar single-precision floating-point instructions
64-bit SIMD integer instructions
State management instructions
Cacheability control, prefetch, and memory ordering instructions
The following sections give an overview of each of the instructions in these groups.
1 0 .4 .1 S S E P a cke d a n d S ca la r F lo a t in g -P o in t I n st ru ct io n s
The packed and scalar single-precision floating-point instructions are divided into the following subgroups:
Data movement instructions
Arithmetic instructions
Logical instructions
Comparison instructions
Shuffle instructions
Conversion instructions
The packed single-precision floating-point instructions perform SIMD operations on packed single-precision
floating-point operands (see Figure 10-5). Each source operand contains four single-precision floating-point
values, and the destination operand contains the results of the operation (OP) performed in parallel on the corre-
sponding values (X0 and Y0, X1 and Y1, X2 and Y2, and X3 and Y3) in each operand.
F ig u re 1 0 -4 . 1 2 8 -B it P a cke d S in g le -P re cisio n F lo a t in g -P o in t D a t a T y p e
0 127
Contains 4 Single-Precision
Floating-Point Values
64 63 31 32 95 96
Vol. 1 10-7
PROGRAMMING WITH STREAMING SIMD EXTENSIONS (SSE)
The scalar single-precision floating-point instructions operate on the low (least significant) doublewords of the two
source operands (X0 and Y0); see Figure 10-6. The three most significant doublewords (X1, X2, and X3) of the first
source operand are passed through to the destination. The scalar operations are similar to the floating-point oper-
ations performed in the x87 FPU data registers with the precision control field in the x87 FPU control word set for
single precision (24-bit significand), except that x87 stack operations use a 15-bit exponent range for the result,
while SSE operations use an 8-bit exponent range.
1 0 .4 .1 .1 S S E D a t a Mo v e m e n t I n st ru ct io n s
SSE data movement instructions move single-precision floating-point data between XMM registers and between an
XMM register and memory.
The MOVAPS (move aligned packed single-precision floating-point values) instruction transfers a double quadword
operand containing four packed single-precision floating-point values from memory to an XMM register and vice
versa, or between XMM registers. The memory address must be aligned to a 16-byte boundary; otherwise, a
general-protection exception (#GP) is generated.
The MOVUPS (move unaligned packed single-precision, floating-point) instruction performs the same operations as
the MOVAPS instruction, except that 16-byte alignment of a memory address is not required.
The MOVSS (move scalar single-precision floating-point) instruction transfers a 32-bit single-precision floating-
point operand from memory to the low doubleword of an XMM register and vice versa, or between XMM registers.
The MOVLPS (move low packed single-precision floating-point) instruction moves two packed single-precision
floating-point values from memory to the low quadword of an XMM register and vice versa. The high quadword of
the register is left unchanged.
F ig u re 1 0 -5 . P a cke d S in g le -P re cisio n F lo a t in g -P o in t O p e ra t io n
F ig u re 1 0 -6 . S ca la r S in g le -P re cisio n F lo a t in g -P o in t O p e ra t io n
X3 X2 X1 X0
Y3 Y2 Y1 Y0
X3 OP Y3 X2 OP Y2 X1 OP Y1 X0 OP Y0
OP OP OP OP
X3 X2 X1 X0
Y3 Y2 Y1 Y0
X3 X2 X1 X0 OP Y0
OP
10-8 Vol. 1
PROGRAMMING WITH STREAMING SIMD EXTENSIONS (SSE)
The MOVHPS (move high packed single-precision floating-point) instruction moves two packed single-precision
floating-point values from memory to the high quadword of an XMM register and vice versa. The low quadword of
the register is left unchanged.
The MOVLHPS (move packed single-precision floating-point low to high) instruction moves two packed single-
precision floating-point values from the low quadword of the source XMM register into the high quadword of the
destination XMM register. The low quadword of the destination register is left unchanged.
The MOVHLPS (move packed single-precision floating-point high to low) instruction moves two packed single-
precision floating-point values from the high quadword of the source XMM register into the low quadword of the
destination XMM register. The high quadword of the destination register is left unchanged.
The MOVMSKPS (move packed single-precision floating-point mask) instruction transfers the most significant bit of
each of the four packed single-precision floating-point numbers in an XMM register to a general-purpose register.
This 4-bit value can then be used as a condition to perform branching.
1 0 .4 .1 .2 S S E Arit h m e t ic I n st ru ct io n s
SSE arithmetic instructions perform addition, subtraction, multiply, divide, reciprocal, square root, reciprocal of
square root, and maximum/minimum operations on packed and scalar single-precision floating-point values.
The ADDPS (add packed single-precision floating-point values) and SUBPS (subtract packed single-precision
floating-point values) instructions add and subtract, respectively, two packed single-precision floating-point oper-
ands.
The ADDSS (add scalar single-precision floating-point values) and SUBSS (subtract scalar single-precision floating-
point values) instructions add and subtract, respectively, the low single-precision floating-point values of two oper-
ands and store the result in the low doubleword of the destination operand.
The MULPS (multiply packed single-precision floating-point values) instruction multiplies two packed single-preci-
sion floating-point operands.
The MULSS (multiply scalar single-precision floating-point values) instruction multiplies the low single-precision
floating-point values of two operands and stores the result in the low doubleword of the destination operand.
The DIVPS (divide packed, single-precision floating-point values) instruction divides two packed single-precision
floating-point operands.
The DIVSS (divide scalar single-precision floating-point values) instruction divides the low single-precision
floating-point values of two operands and stores the result in the low doubleword of the destination operand.
The RCPPS (compute reciprocals of packed single-precision floating-point values) instruction computes the approx-
imate reciprocals of values in a packed single-precision floating-point operand.
The RCPSS (compute reciprocal of scalar single-precision floating-point values) instruction computes the approxi-
mate reciprocal of the low single-precision floating-point value in the source operand and stores the result in the
low doubleword of the destination operand.
The SQRTPS (compute square roots of packed single-precision floating-point values) instruction computes the
square roots of the values in a packed single-precision floating-point operand.
The SQRTSS (compute square root of scalar single-precision floating-point values) instruction computes the square
root of the low single-precision floating-point value in the source operand and stores the result in the low double-
word of the destination operand.
The RSQRTPS (compute reciprocals of square roots of packed single-precision floating-point values) instruction
computes the approximate reciprocals of the square roots of the values in a packed single-precision floating-point
operand.
The RSQRTSS (reciprocal of square root of scalar single-precision floating-point value) instruction computes the
approximate reciprocal of the square root of the low single-precision floating-point value in the source operand and
stores the result in the low doubleword of the destination operand.
The MAXPS (return maximum of packed single-precision floating-point values) instruction compares the corre-
sponding values from two packed single-precision floating-point operands and returns the numerically greater
value from each comparison to the destination operand.
Vol. 1 10-9
PROGRAMMING WITH STREAMING SIMD EXTENSIONS (SSE)
The MAXSS (return maximum of scalar single-precision floating-point values) instruction compares the low values
from two packed single-precision floating-point operands and returns the numerically greater value from the
comparison to the low doubleword of the destination operand.
The MINPS (return minimum of packed single-precision floating-point values) instruction compares the corre-
sponding values from two packed single-precision floating-point operands and returns the numerically lesser value
from each comparison to the destination operand.
The MINSS (return minimum of scalar single-precision floating-point values) instruction compares the low values
from two packed single-precision floating-point operands and returns the numerically lesser value from the
comparison to the low doubleword of the destination operand.
1 0 .4 .2 S S E Lo g ica l I n st ru ct io n s
SSE logical instructions perform AND, AND NOT, OR, and XOR operations on packed single-precision floating-point
values.
The ANDPS (bitwise logical AND of packed single-precision floating-point values) instruction returns the logical
AND of two packed single-precision floating-point operands.
The ANDNPS (bitwise logical AND NOT of packed single-precision, floating-point values) instruction returns the
logical AND NOT of two packed single-precision floating-point operands.
The ORPS (bitwise logical OR of packed single-precision, floating-point values) instruction returns the logical OR of
two packed single-precision floating-point operands.
The XORPS (bitwise logical XOR of packed single-precision, floating-point values) instruction returns the logical
XOR of two packed single-precision floating-point operands.
1 0 .4 .2 .1 S S E C o m p a riso n I n st ru ct io n s
The compare instructions compare packed and scalar single-precision floating-point values and return the results
of the comparison either to the destination operand or to the EFLAGS register.
The CMPPS (compare packed single-precision floating-point values) instruction compares the corresponding values
from two packed single-precision floating-point operands, using an immediate operand as a predicate, and returns
a 32-bit mask result of all 1s or all 0s for each comparison to the destination operand. The value of the immediate
operand allows the selection of any of 8 compare conditions: equal, less than, less than equal, unordered, not
equal, not less than, not less than or equal, or ordered.
The CMPSS (compare scalar single-precision, floating-point values) instruction compares the low values from two
packed single-precision floating-point operands, using an immediate operand as a predicate, and returns a 32-bit
mask result of all 1s or all 0s for the comparison to the low doubleword of the destination operand. The immediate
operand selects the compare conditions as with the CMPPS instruction.
The COMISS (compare scalar single-precision floating-point values and set EFLAGS) and UCOMISS (unordered
compare scalar single-precision floating-point values and set EFLAGS) instructions compare the low values of two
packed single-precision floating-point operands and set the ZF, PF, and CF flags in the EFLAGS register to show the
result (greater than, less than, equal, or unordered). These two instructions differ as follows: the COMISS instruc-
tion signals a floating-point invalid-operation (#I) exception when a source operand is either a QNaN or an SNaN;
the UCOMISS instruction only signals an invalid-operation exception when a source operand is an SNaN.
1 0 .4 .2 .2 S S E S h u ffle a n d U n p a ck I n st ru ct io n s
SSE shuffle and unpack instructions shuffle or interleave the contents of two packed single-precision floating-point
values and store the results in the destination operand.
The SHUFPS (shuffle packed single-precision floating-point values) instruction places any two of the four packed
single-precision floating-point values from the destination operand into the two low-order doublewords of the
destination operand, and places any two of the four packed single-precision floating-point values from the source
operand in the two high-order doublewords of the destination operand (see Figure 10-7). By using the same
register for the source and destination operands, the SHUFPS instruction can shuffle four single-precision floating-
point values into any order.
10-10 Vol. 1
PROGRAMMING WITH STREAMING SIMD EXTENSIONS (SSE)
The UNPCKHPS (unpack and interleave high packed single-precision floating-point values) instruction performs an
interleaved unpack of the high-order single-precision floating-point values from the source and destination oper-
ands and stores the result in the destination operand (see Figure 10-8).
The UNPCKLPS (unpack and interleave low packed single-precision floating-point values) instruction performs an
interleaved unpack of the low-order single-precision floating-point values from the source and destination oper-
ands and stores the result in the destination operand (see Figure 10-9).
F ig u re 1 0 -7. S H U F P S I n st ru ct io n , P a cke d S h u f f le O p e ra t io n
F ig u re 1 0 -8 . U N P C K H P S I n st ru ct io n , H ig h U n p a ck a n d I n t e rle a v e O p e ra t io n
F ig u re 1 0 -9 . U N P C K LP S I n st ru ct io n , Lo w U n p a ck a n d I n t e rle a v e O p e ra t io n
X3 X2 X1 X0
Y3 Y2 Y1 Y0
Y3 ... Y0 Y3 ... Y0 X3 ... X0 X3 ... X0
DEST
SRC
DEST
X3 X2 X1 X0
Y3 Y2 Y1 Y0
Y3 X3 Y2 X2
DEST
SRC
DEST
X3 X2 X1 X0
Y3 Y2 Y1 Y0
Y1 X1 Y0 X0
DEST
SRC
DEST
Vol. 1 10-11
PROGRAMMING WITH STREAMING SIMD EXTENSIONS (SSE)
1 0 .4 .3 S S E C o n v e rsio n I n st ru ct io n s
SSE conversion instructions (see Figure 11-8) support packed and scalar conversions between single-precision
floating-point and doubleword integer formats.
The CVTPI2PS (convert packed doubleword integers to packed single-precision floating-point values) instruction
converts two packed signed doubleword integers into two packed single-precision floating-point values. When the
conversion is inexact, the result is rounded according to the rounding mode selected in the MXCSR register.
The CVTSI2SS (convert doubleword integer to scalar single-precision floating-point value) instruction converts a
signed doubleword integer into a single-precision floating-point value. When the conversion is inexact, the result is
rounded according to the rounding mode selected in the MXCSR register.
The CVTPS2PI (convert packed single-precision floating-point values to packed doubleword integers) instruction
converts two packed single-precision floating-point values into two packed signed doubleword integers. When the
conversion is inexact, the result is rounded according to the rounding mode selected in the MXCSR register. The
CVTTPS2PI (convert with truncation packed single-precision floating-point values to packed doubleword integers)
instruction is similar to the CVTPS2PI instruction, except that truncation is used to round a source value to an
integer value (see Section 4.8.4.2, Truncation with SSE and SSE2 Conversion Instructions).
The CVTSS2SI (convert scalar single-precision floating-point value to doubleword integer) instruction converts a
single-precision floating-point value into a signed doubleword integer. When the conversion is inexact, the result is
rounded according to the rounding mode selected in the MXCSR register. The CVTTSS2SI (convert with truncation
scalar single-precision floating-point value to doubleword integer) instruction is similar to the CVTSS2SI instruc-
tion, except that truncation is used to round the source value to an integer value (see Section 4.8.4.2, Truncation
with SSE and SSE2 Conversion Instructions).
1 0 .4 .4 S S E 6 4 -B it S I MD I n t e g e r I n st ru ct io n s
SSE extensions add the following 64-bit packed integer instructions to the IA-32 architecture. These instructions
operate on data in MMX registers and 64-bit memory locations.
N O T E
When SSE2 extensions are present in an IA-32 processor, these instructions are extended to
operate on 128-bit operands in XMM registers and 128-bit memory locations.
The PAVGB (compute average of packed unsigned byte integers) and PAVGW (compute average of packed
unsigned word integers) instructions compute a SIMD average of two packed unsigned byte or word integer oper-
ands, respectively. For each corresponding pair of data elements in the packed source operands, the elements are
added together, a 1 is added to the temporary sum, and that result is shifted right one bit position.
The PEXTRW (extract word) instruction copies a selected word from an MMX register into a general-purpose
register.
The PINSRW (insert word) instruction copies a word from a general-purpose register or from memory into a
selected word location in an MMX register.
The PMAXUB (maximum of packed unsigned byte integers) instruction compares the corresponding unsigned byte
integers in two packed operands and returns the greater of each comparison to the destination operand.
The PMINUB (minimum of packed unsigned byte integers) instruction compares the corresponding unsigned byte
integers in two packed operands and returns the lesser of each comparison to the destination operand.
The PMAXSW (maximum of packed signed word integers) instruction compares the corresponding signed word
integers in two packed operands and returns the greater of each comparison to the destination operand.
The PMINSW (minimum of packed signed word integers) instruction compares the corresponding signed word inte-
gers in two packed operands and returns the lesser of each comparison to the destination operand.
The PMOVMSKB (move byte mask) instruction creates an 8-bit mask from the packed byte integers in an MMX
register and stores the result in the low byte of a general-purpose register. The mask contains the most significant
bit of each byte in the MMX register. (When operating on 128-bit operands, a 16-bit mask is created.)
10-12 Vol. 1
PROGRAMMING WITH STREAMING SIMD EXTENSIONS (SSE)
The PMULHUW (multiply packed unsigned word integers and store high result) instruction performs a SIMD
unsigned multiply of the words in the two source operands and returns the high word of each result to an MMX
register.
The PSADBW (compute sum of absolute differences) instruction computes the SIMD absolute differences of the
corresponding unsigned byte integers in two source operands, sums the differences, and stores the sum in the low
word of the destination operand.
The PSHUFW (shuffle packed word integers) instruction shuffles the words in the source operand according to the
order specified by an 8-bit immediate operand and returns the result to the destination operand.
1 0 .4 .5 MXC S R S t a t e Ma n a g e m e n t I n st ru ct io n s
The MXCSR state management instructions (LDMXCSR and STMXCSR) load and save the state of the MXCSR
register, respectively. The LDMXCSR instruction loads the MXCSR register from memory, while the STMXCSR
instruction stores the contents of the register to memory.
1 0 .4 .6 C a ch e a b ilit y C o n t ro l, P re fe t ch , a n d Me m o ry O rd e rin g I n st ru ct io n s
SSE extensions introduce several new instructions to give programs more control over the caching of data. They
also introduces the PREFETCHh instructions, which provide the ability to prefetch data to a specified cache level,
and the SFENCE instruction, which enforces program ordering on stores. These instructions are described in the
following sections.
1 0 .4 .6 .1 C a ch e a b ilit y C o n t ro l I n st ru ct io n s
The following three instructions enable data from the MMX and XMM registers to be stored to memory using a non-
temporal hint. The non-temporal hint directs the processor to when possible store the data to memory without
writing the data into the cache hierarchy. See Section 10.4.6.2, Caching of Temporal vs. Non-Temporal Data, for
information about non-temporal stores and hints.
The MOVNTQ (store quadword using non-temporal hint) instruction stores packed integer data from an MMX
register to memory, using a non-temporal hint.
The MOVNTPS (store packed single-precision floating-point values using non-temporal hint) instruction stores
packed floating-point data from an XMM register to memory, using a non-temporal hint.
The MASKMOVQ (store selected bytes of quadword) instruction stores selected byte integers from an MMX register
to memory, using a byte mask to selectively write the individual bytes. This instruction also uses a non-temporal
hint.
1 0 .4 .6 .2 C a ch in g o f Te m p o ra l v s. N o n -Te m p o ra l D a t a
Data referenced by a program can be temporal (data will be used again) or non-temporal (data will be referenced
once and not reused in the immediate future). For example, program code is generally temporal, whereas, multi-
media data, such as the display list in a 3-D graphics application, is often non-temporal. To make efficient use of
the processors caches, it is generally desirable to cache temporal data and not cache non-temporal data. Over-
loading the processors caches with non-temporal data is sometimes referred to as polluting the caches. The SSE
and SSE2 cacheability control instructions enable a program to write non-temporal data to memory in a manner
that minimizes pollution of caches.
These SSE and SSE2 non-temporal store instructions minimize cache pollutions by treating the memory being
accessed as the write combining (WC) type. If a program specifies a non-temporal store with one of these instruc-
tions and the destination region is mapped as cacheable memory (write back [WB], write through [WT] or WC
memory type), the processor will do the following:
If the memory location being written to is present in the cache hierarchy, the data in the caches is evicted.
The non-temporal data is written to memory with WC semantics.
Vol. 1 10-13
PROGRAMMING WITH STREAMING SIMD EXTENSIONS (SSE)
See also: Chapter 11, Memory Cache Control, in the Intel 64 and IA-32 Architectures Software Developers
Manual, Volume 3A.
Using the WC semantics, the store transaction will be weakly ordered, meaning that the data may not be written to
memory in program order, and the store will not write allocate (that is, the processor will not fetch the corre-
sponding cache line into the cache hierarchy, prior to performing the store). Also, different processor implementa-
tions may choose to collapse and combine these stores.
The memory type of the region being written to can override the non-temporal hint, if the memory address speci-
fied for the non-temporal store is in uncacheable memory. Uncacheable as referred to here means that the region
being written to has been mapped with either an uncacheable (UC) or write protected (WP) memory type.
In general, WC semantics require software to ensure coherence, with respect to other processors and other system
agents (such as graphics cards). Appropriate use of synchronization and fencing must be performed for producer-
consumer usage models. Fencing ensures that all system agents have global visibility of the stored data; for
instance, failure to fence may result in a written cache line staying within a processor and not being visible to other
agents.
For processors that implement non-temporal stores by updating data in-place that already resides in the cache
hierarchy, the destination region should also be mapped as WC. If mapped as WB or WT, there is the potential for
speculative processor reads to bring the data into the caches; in this case, non-temporal stores would then update
in place, and data would not be flushed from the processor by a subsequent fencing operation.
The memory type visible on the bus in the presence of memory type aliasing is implementation specific. As one
possible example, the memory type written to the bus may reflect the memory type for the first store to this line,
as seen in program order; other alternatives are possible. This behavior should be considered reserved, and
dependence on the behavior of any particular implementation risks future incompatibility.
1 0 .4 .6 .3 P R E F E T C H h I n st ru ct io n s
The PREFETCHh instructions permit programs to load data into the processor at a suggested cache level, so that
the data is closer to the processors load and store unit when it is needed. These instructions fetch 32 aligned bytes
(or more, depending on the implementation) containing the addressed byte to a location in the cache hierarchy
specified by the temporal locality hint (see Table 10-1). In this table, the first-level cache is closest to the processor
and second-level cache is farther away from the processor than the first-level cache. The hints specify a prefetch
of either temporal or non-temporal data (see Section 10.4.6.2, Caching of Temporal vs. Non-Temporal Data).
Subsequent accesses to temporal data are treated like normal accesses, while those to non-temporal data will
continue to minimize cache pollution. If the data is already present at a level of the cache hierarchy that is closer
to the processor, the PREFETCHh instruction will not result in any data movement. The PREFETCHh instructions do
not affect functional behavior of the program.
See Section 11.6.13, Cacheability Hint Instructions, for additional information about the PREFETCHh instructions.
Ta b le 1 0 -1 . P R E F E T C H h I n st ru ct io n s C a ch in g H in t s
P R E F E T C H h I n st ru ct io n
Mn e m o n ic Act io n s
PREFETCHT0 Temporal datafetch data into all levels of cache hierarchy:
Pentium III processor1st-level cache or 2nd-level cache
Pentium 4 and Intel Xeon processor2nd-level cache
PREFETCHT1 Temporal datafetch data into level 2 cache and higher
Pentium III processor2nd-level cache
Pentium 4 and Intel Xeon processor2nd-level cache
PREFETCHT2 Temporal datafetch data into level 2 cache and higher
Pentium III processor2nd-level cache
Pentium 4 and Intel Xeon processor2nd-level cache
10-14 Vol. 1
PROGRAMMING WITH STREAMING SIMD EXTENSIONS (SSE)
1 0 .4 .6 .4 S F E N C E I n st ru ct io n
The SFENCE (Store Fence) instruction controls write ordering by creating a fence for memory store operations. This
instruction guarantees that the result of every store instruction that precedes the store fence in program order is
globally visible before any store instruction that follows the fence. The SFENCE instruction provides an efficient way
of ensuring ordering between procedures that produce weakly-ordered data and procedures that consume that
data.
1 0 .5 F XS AVE AN D F XR S T O R I N S T R U C T I O N S
The FXSAVE and FXRSTOR instructions were introduced into the IA-32 architecture in the Pentium II processor
family (prior to the introduction of the SSE extensions). The original versions of these instructions performed a fast
save and restore, respectively, of the x87 FPU register state. (By saving the state of the x87 FPU data registers, the
FXSAVE and FXRSTOR instructions implicitly save and restore the state of the MMX registers.)
The SSE extensions expanded the scope of these instructions to save and restore the states of the XMM registers
and the MXCSR register, along with the x87 FPU and MMX state.
The FXSAVE and FXRSTOR instructions can be used in place of the FSAVE/FNSAVE and FRSTOR instructions;
however, the operation of the FXSAVE and FXRSTOR instructions are not identical to the operation of
FSAVE/FNSAVE and FRSTOR.
N O T E
The FXSAVE and FXRSTOR instructions are not considered part
of the SSE instruction group. They have a separate CPUID
feature bit to indicate whether they are present (if CPUID.01H:EDX.FXSR[bit 24] = 1).
The CPUID feature bit for SSE extensions does not indicate the presence of FXSAVE and FXRSTOR.
1 0 .6 H AN D LI N G S S E I N S T R U C T I O N E XC E P T I O N S
See Section 11.5, SSE, SSE2, and SSE3 Exceptions, for a detailed discussion of the general and SIMD floating-
point exceptions that can be generated with the SSE instructions and for guidelines for handling these exceptions
when they occur.
1 0 .7 WR I T I N G AP P LI C AT I O N S WI T H T H E S S E E XT E N S I O N S
See Section 11.6, Writing Applications with SSE/SSE2 Extensions, for additional information about writing appli-
cations and operating-system code using the SSE extensions.
PREFETCHNTA Non-temporal datafetch data into location close to the processor, minimizing cache pollution
PentiumIII processor1st-level cache
Pentium 4 and Intel Xeon processor2nd-level cache
Ta b le 1 0 -1 . P R E F E T C H h I n st ru ct io n s C a ch in g H in t s (C o n t d .)
P R E F E T C H h I n st ru ct io n
Mn e m o n ic Act io n s
Vol. 1 11-1
C H AP T E R 1 1
P R O G R AMMI N G WI T H
S T R E AMI N G S I MD E XT E N S I O N S 2 (S S E 2 )
The streaming SIMD extensions 2 (SSE2) were introduced into the IA-32 architecture in the Pentium 4 and Intel
Xeon processors. These extensions enhance the performance of IA-32 processors for advanced 3-D graphics, video
decoding/encoding, speech recognition, E-commerce, Internet, scientific, and engineering applications.
This chapter describes the SSE2 extensions and provides information to assist in writing application programs that
use these and the SSE extensions.
1 1 .1 O VE R V I E W O F S S E 2 E XT E N S I O N S
SSE2 extensions use the single instruction multiple data (SIMD) execution model that is used with MMX technology
and SSE extensions. They extend this model with support for packed double-precision floating-point values and for
128-bit packed integers.
If CPUID.01H:EDX.SSE2[bit 26] = 1, SSE2 extensions are present.
SSE2 extensions add the following features to the IA-32 architecture, while maintaining backward compatibility
with all existing IA-32 processors, applications and operating systems.
Six data types:
128-bit packed double-precision floating-point (two IEEE Standard 754 double-precision floating-point
values packed into a double quadword)
128-bit packed byte integers
128-bit packed word integers
128-bit packed doubleword integers
128-bit packed quadword integers
Instructions to support the additional data types and extend existing SIMD integer operations:
Packed and scalar double-precision floating-point instructions
Additional 64-bit and 128-bit SIMD integer instructions
128-bit versions of SIMD integer instructions introduced with the MMX technology and the SSE extensions
Additional cacheability-control and instruction-ordering instructions
Modifications to existing IA-32 instructions to support SSE2 features:
Extensions and modifications to the CPUID instruction
Modifications to the RDPMC instruction
These new features extend the IA-32 architectures SIMD programming model in three important ways:
They provide the ability to perform SIMD operations on pairs of packed double-precision floating-point values.
This permits higher precision computations to be carried out in XMM registers, which enhances processor
performance in scientific and engineering applications and in applications that use advanced 3-D geometry
techniques (such as ray tracing). Additional flexibility is provided with instructions that operate on single
(scalar) double-precision floating-point values located in the low quadword of an XMM register.
They provide the ability to operate on 128-bit packed integers (bytes, words, doublewords, and quadwords) in
XMM registers. This provides greater flexibility and greater throughput when performing SIMD operations on
packed integers. The capability is particularly useful for applications such as RSA authentication and RC5
encryption. Using the full set of SIMD registers, data types, and instructions provided with the MMX technology
and SSE/SSE2 extensions, programmers can develop algorithms that finely mix packed single- and double-
precision floating-point data and 64- and 128-bit packed integer data.
SSE2 extensions enhance the support introduced with SSE extensions for controlling the cacheability of SIMD
data. SSE2 cache control instructions provide the ability to stream data in and out of the XMM registers without
polluting the caches and the ability to prefetch data before it is actually used.
11-2 Vol. 1
PROGRAMMING WITH STREAMING SIMD EXTENSIONS 2 (SSE2)
SSE2 extensions are fully compatible with all software written for IA-32 processors. All existing software continues
to run correctly, without modification, on processors that incorporate SSE2 extensions, as well as in the presence
of applications that incorporate these extensions. Enhancements to the CPUID instruction permit detection of the
SSE2 extensions. Also, because the SSE2 extensions use the same registers as the SSE extensions, no new oper-
ating-system support is required for saving and restoring program state during a context switch beyond that
provided for the SSE extensions.
SSE2 extensions are accessible from all IA-32 execution modes: protected mode, real address mode, virtual 8086
mode.
The following sections in this chapter describe the programming environment for SSE2 extensions including: the
128-bit XMM floating-point register set, data types, and SSE2 instructions. It also describes exceptions that can be
generated with the SSE and SSE2 instructions and gives guidelines for writing applications with SSE and SSE2
extensions.
For additional information about SSE2 extensions, see:
Intel 64 and IA-32 Architectures Software Developers Manual, Volumes 2A & 2B, provide a detailed
description of individual SSE3 instructions.
Chapter 13, System Programming for Instruction Set Extensions and Processor Extended States, in the
Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3A, gives guidelines for integrating
the SSE and SSE2 extensions into an operating-system environment.
1 1 .2 S S E 2 P R O G R AMMI N G E N V I R O N ME N T
Figure 11-1 shows the programming environment for SSE2 extensions. No new registers or other instruction
execution state are defined with SSE2 extensions. SSE2 instructions use the XMM registers, the MMX registers,
and/or IA-32 general-purpose registers, as follows:
XMM registers These eight registers (see Figure 10-2) are used to operate on packed or scalar double-
precision floating-point data. Scalar operations are operations performed on individual (unpacked) double-
precision floating-point values stored in the low quadword of an XMM register. XMM registers are also used to
perform operations on 128-bit packed integer data. They are referenced by the names XMM0 through XMM7.
MXCSR register This 32-bit register (see Figure 10-3) provides status and control bits used in floating-point
operations. The denormals-are-zeros and flush-to-zero flags in this register provide a higher performance
alternative for the handling of denormal source operands and denormal (underflow) results. For more
F ig u re 1 1 -1 . S t e a m in g S I MD E x t e n sio n s 2 E xe cu t io n E n v iro n m e n t
0
2
32
-1
Eight 32-Bit
32 Bits EFLAGS Register
Address Space
General-Purpose
Eight 64-Bit
MMX Registers
Eight 128-Bit
XMM Registers
32 Bits MXCSR Register
Registers
Vol. 1 11-3
PROGRAMMING WITH STREAMING SIMD EXTENSIONS 2 (SSE2)
information on the functions of these flags see Section 10.2.3.4, Denormals-Are-Zeros, and Section 10.2.3.3,
Flush-To-Zero.
MMX registers These eight registers (see Figure 9-2) are used to perform operations on 64-bit packed
integer data. They are also used to hold operands for some operations performed between MMX and XMM
registers. MMX registers are referenced by the names MM0 through MM7.
General-purpose registers The eight general-purpose registers (see Figure 3-5) are used along with the
existing IA-32 addressing modes to address operands in memory. MMX and XMM registers cannot be used to
address memory. The general-purpose registers are also used to hold operands for some SSE2 instructions.
These registers are referenced by the names EAX, EBX, ECX, EDX, EBP, ESI, EDI, and ESP.
EFLAGS register This 32-bit register (see Figure 3-8) is used to record the results of some compare
operations.
1 1 .2 .1 S S E 2 in 6 4 -B it Mo d e a n d C o m p a t ib ilit y Mo d e
In compatibility mode, SSE2 extensions function like they do in protected mode. In 64-bit mode, eight additional
XMM registers are accessible. Registers XMM8-XMM15 are accessed by using REX prefixes.
Memory operands are specified using the ModR/M, SIB encoding described in Section 3.7.5.
Some SSE2 instructions may be used to operate on general-purpose registers. Use the REX.W prefix to access 64-
bit general-purpose registers. Note that if a REX prefix is used when it has no meaning, the prefix is ignored.
1 1 .2 .2 C o m p a t ib ilit y o f S S E 2 E x t e n sio n s w it h S S E , MMX
Te ch n o lo g y a n d x 8 7 F P U P ro g ra m m in g E n v iro n m e n t
SSE2 extensions do not introduce any new state to the IA-32 execution environment beyond that of SSE. SSE2
extensions represent an enhancement of SSE extensions; they are fully compatible and share the same state infor-
mation. SSE and SSE2 instructions can be executed together in the same instruction stream without the need to
save state when switching between instruction sets.
XMM registers are independent of the x87 FPU and MMX registers; so SSE and SSE2 operations performed on XMM
registers can be performed in parallel with x87 FPU or MMX technology operations (see Section 11.6.7, Interaction
of SSE/SSE2 Instructions with x87 FPU and MMX Instructions).
The FXSAVE and FXRSTOR instructions save and restore the SSE and SSE2 states along with the x87 FPU and MMX
states.
1 1 .2 .3 D e n o rm a ls-Are -Z e ro s F la g
The denormals-are-zeros flag (bit 6 in the MXCSR register) was introduced into the IA-32 architecture with the
SSE2 extensions. See Section 10.2.3.4, Denormals-Are-Zeros, for a description of this flag.
1 1 .3 S S E 2 D AT A T YP E S
SSE2 extensions introduced one 128-bit packed floating-point data type and four 128-bit SIMD integer data types
to the IA-32 architecture (see Figure 11-2).
Packed double-precision floating-point This 128-bit data type consists of two IEEE 64-bit double-
precision floating-point values packed into a double quadword. (See Figure 4-3 for the layout of a 64-bit
double-precision floating-point value; refer to Section 4.2.2, Floating-Point Data Types, for a detailed
description of double-precision floating-point values.)
128-bit packed integers The four 128-bit packed integer data types can contain 16 byte integers, 8 word
integers, 4 doubleword integers, or 2 quadword integers. (Refer to Section 4.6.2, 128-Bit Packed SIMD Data
Types, for a detailed description of the 128-bit packed integers.)
11-4 Vol. 1
PROGRAMMING WITH STREAMING SIMD EXTENSIONS 2 (SSE2)
All of these data types are operated on in XMM registers or memory. Instructions are provided to convert between
these 128-bit data types and the 64-bit and 32-bit data types.
The address of a 128-bit packed memory operand must be aligned on a 16-byte boundary, except in the following
cases:
a MOVUPD instruction which supports unaligned accesses
scalar instructions that use an 8-byte memory operand that is not subject to alignment requirements
Figure 4-2 shows the byte order of 128-bit (double quadword) and 64-bit (quadword) data types in memory.
1 1 .4 S S E 2 I N S T R U C T I O N S
The SSE2 instructions are divided into four functional groups:
Packed and scalar double-precision floating-point instructions
64-bit and 128-bit SIMD integer instructions
128-bit extensions of SIMD integer instructions introduced with the MMX technology and the SSE extensions
Cacheability-control and instruction-ordering instructions
The following sections provide more information about each group.
1 1 .4 .1 P a cke d a n d S ca la r D o u b le -P re cisio n F lo a t in g -P o in t I n st ru ct io n s
The packed and scalar double-precision floating-point instructions are divided into the following sub-groups:
Data movement instructions
Arithmetic instructions
Comparison instructions
Conversion instructions
Logical instructions
Shuffle instructions
The packed double-precision floating-point instructions perform SIMD operations similarly to the packed single-
precision floating-point instructions (see Figure 11-3). Each source operand contains two double-precision
F ig u re 1 1 -2 . D a t a T y p e s I n t ro d u ce d w it h t h e S S E 2 E x t e n sio n s
128-Bit Packed Word Integers
128-Bit Packed Byte Integers
128-Bit Packed Doubleword
Integers
0 127
0 127
0 127
0 127
0 127
128-Bit Packed Quadword
Integers
128-Bit Packed Double-
Precision Floating-Point
64 63
Vol. 1 11-5
PROGRAMMING WITH STREAMING SIMD EXTENSIONS 2 (SSE2)
floating-point values, and the destination operand contains the results of the operation (OP) performed in parallel
on the corresponding values (X0 and Y0, and X1 and Y1) in each operand.
The scalar double-precision floating-point instructions operate on the low (least significant) quadwords of two
source operands (X0 and Y0), as shown in Figure 11-4. The high quadword (X1) of the first source operand is
passed through to the destination. The scalar operations are similar to the floating-point operations performed in
x87 FPU data registers with the precision control field in the x87 FPU control word set for double precision (53-bit
significand), except that x87 stack operations use a 15-bit exponent range for the result while SSE2 operations use
an 11-bit exponent range.
See Section 11.6.8, Compatibility of SIMD and x87 FPU Floating-Point Data Types, for more information about
obtaining compatible results when performing both scalar double-precision floating-point operations in XMM regis-
ters and in x87 FPU data registers.
1 1 .4 .1 .1 D a t a Mo v e m e n t I n st ru ct io n s
Data movement instructions move double-precision floating-point data between XMM registers and between XMM
registers and memory.
The MOVAPD (move aligned packed double-precision floating-point) instruction transfers a 128-bit packed double-
precision floating-point operand from memory to an XMM register or vice versa, or between XMM registers. The
memory address must be aligned to a 16-byte boundary; if not, a general-protection exception (GP#) is gener-
ated.
F ig u re 1 1 -3 . P a cke d D o u b le -P re cisio n F lo a t in g -P o in t O p e ra t io n s
F ig u re 1 1 -4 . S ca la r D o u b le -P re cisio n F lo a t in g -P o in t O p e ra t io n s
X1 X0
X1 OP Y1 X0 OP Y0
OP
Y1 Y0
OP
X1 X0
X1 X0 OP Y0
OP
Y1 Y0
11-6 Vol. 1
PROGRAMMING WITH STREAMING SIMD EXTENSIONS 2 (SSE2)
The MOVUPD (move unaligned packed double-precision floating-point) instruction transfers a 128-bit packed
double-precision floating-point operand from memory to an XMM register or vice versa, or between XMM registers.
Alignment of the memory address is not required.
The MOVSD (move scalar double-precision floating-point) instruction transfers a 64-bit double-precision floating-
point operand from memory to the low quadword of an XMM register or vice versa, or between XMM registers.
Alignment of the memory address is not required, unless alignment checking is enabled.
The MOVHPD (move high packed double-precision floating-point) instruction transfers a 64-bit double-precision
floating-point operand from memory to the high quadword of an XMM register or vice versa. The low quadword of
the register is left unchanged. Alignment of the memory address is not required, unless alignment checking is
enabled.
The MOVLPD (move low packed double-precision floating-point) instruction transfers a 64-bit double-precision
floating-point operand from memory to the low quadword of an XMM register or vice versa. The high quadword of
the register is left unchanged. Alignment of the memory address is not required, unless alignment checking is
enabled.
The MOVMSKPD (move packed double-precision floating-point mask) instruction extracts the sign bit of each of the
two packed double-precision floating-point numbers in an XMM register and saves them in a general-purpose
register. This 2-bit value can then be used as a condition to perform branching.
1 1 .4 .1 .2 S S E 2 Arit h m e t ic I n st ru ct io n s
SSE2 arithmetic instructions perform addition, subtraction, multiply, divide, square root, and maximum/minimum
operations on packed and scalar double-precision floating-point values.
The ADDPD (add packed double-precision floating-point values) and SUBPD (subtract packed double-precision
floating-point values) instructions add and subtract, respectively, two packed double-precision floating-point oper-
ands.
The ADDSD (add scalar double-precision floating-point values) and SUBSD (subtract scalar double-precision
floating-point values) instructions add and subtract, respectively, the low double-precision floating-point values of
two operands and stores the result in the low quadword of the destination operand.
The MULPD (multiply packed double-precision floating-point values) instruction multiplies two packed double-
precision floating-point operands.
The MULSD (multiply scalar double-precision floating-point values) instruction multiplies the low double-precision
floating-point values of two operands and stores the result in the low quadword of the destination operand.
The DIVPD (divide packed double-precision floating-point values) instruction divides two packed double-precision
floating-point operands.
The DIVSD (divide scalar double-precision floating-point values) instruction divides the low double-precision
floating-point values of two operands and stores the result in the low quadword of the destination operand.
The SQRTPD (compute square roots of packed double-precision floating-point values) instruction computes the
square roots of the values in a packed double-precision floating-point operand.
The SQRTSD (compute square root of scalar double-precision floating-point values) instruction computes the
square root of the low double-precision floating-point value in the source operand and stores the result in the low
quadword of the destination operand.
The MAXPD (return maximum of packed double-precision floating-point values) instruction compares the corre-
sponding values in two packed double-precision floating-point operands and returns the numerically greater value
from each comparison to the destination operand.
The MAXSD (return maximum of scalar double-precision floating-point values) instruction compares the low
double-precision floating-point values from two packed double-precision floating-point operands and returns the
numerically higher value from the comparison to the low quadword of the destination operand.
The MINPD (return minimum of packed double-precision floating-point values) instruction compares the corre-
sponding values from two packed double-precision floating-point operands and returns the numerically lesser
value from each comparison to the destination operand.
Vol. 1 11-7
PROGRAMMING WITH STREAMING SIMD EXTENSIONS 2 (SSE2)
The MINSD (return minimum of scalar double-precision floating-point values) instruction compares the low values
from two packed double-precision floating-point operands and returns the numerically lesser value from the
comparison to the low quadword of the destination operand.
1 1 .4 .1 .3 S S E 2 Lo g ica l I n st ru ct io n s
SSE2 logical instructions perform AND, AND NOT, OR, and XOR operations on packed double-precision floating-
point values.
The ANDPD (bitwise logical AND of packed double-precision floating-point values) instruction returns the logical
AND of two packed double-precision floating-point operands.
The ANDNPD (bitwise logical AND NOT of packed double-precision floating-point values) instruction returns the
logical AND NOT of two packed double-precision floating-point operands.
The ORPD (bitwise logical OR of packed double-precision floating-point values) instruction returns the logical OR of
two packed double-precision floating-point operands.
The XORPD (bitwise logical XOR of packed double-precision floating-point values) instruction returns the logical
XOR of two packed double-precision floating-point operands.
1 1 .4 .1 .4 S S E 2 C o m p a riso n I n st ru ct io n s
SSE2 compare instructions compare packed and scalar double-precision floating-point values and return the
results of the comparison either to the destination operand or to the EFLAGS register.
The CMPPD (compare packed double-precision floating-point values) instruction compares the corresponding
values from two packed double-precision floating-point operands, using an immediate operand as a predicate, and
returns a 64-bit mask result of all 1s or all 0s for each comparison to the destination operand. The value of the
immediate operand allows the selection of any of eight compare conditions: equal, less than, less than equal, unor-
dered, not equal, not less than, not less than or equal, or ordered.
The CMPSD (compare scalar double-precision floating-point values) instruction compares the low values from two
packed double-precision floating-point operands, using an immediate operand as a predicate, and returns a 64-bit
mask result of all 1s or all 0s for the comparison to the low quadword of the destination operand. The immediate
operand selects the compare condition as with the CMPPD instruction.
The COMISD (compare scalar double-precision floating-point values and set EFLAGS) and UCOMISD (unordered
compare scalar double-precision floating-point values and set EFLAGS) instructions compare the low values of two
packed double-precision floating-point operands and set the ZF, PF, and CF flags in the EFLAGS register to show the
result (greater than, less than, equal, or unordered). These two instructions differ as follows: the COMISD instruc-
tion signals a floating-point invalid-operation (#I) exception when a source operand is either a QNaN or an SNaN;
the UCOMISD instruction only signals an invalid-operation exception when a source operand is an SNaN.
1 1 .4 .1 .5 S S E 2 S h u ffle a n d U n p a ck I n st ru ct io n s
SSE2 shuffle instructions shuffle the contents of two packed double-precision floating-point values and store the
results in the destination operand.
The SHUFPD (shuffle packed double-precision floating-point values) instruction places either of the two packed
double-precision floating-point values from the destination operand in the low quadword of the destination
operand, and places either of the two packed double-precision floating-point values from source operand in the
high quadword of the destination operand (see Figure 11-5). By using the same register for the source and desti-
nation operands, the SHUFPD instruction can swap two packed double-precision floating-point values.
11-8 Vol. 1
PROGRAMMING WITH STREAMING SIMD EXTENSIONS 2 (SSE2)
The UNPCKHPD (unpack and interleave high packed double-precision floating-point values) instruction performs an
interleaved unpack of the high values from the source and destination operands and stores the result in the desti-
nation operand (see Figure 11-6).
The UNPCKLPD (unpack and interleave low packed double-precision floating-point values) instruction performs an
interleaved unpack of the low values from the source and destination operands and stores the result in the desti-
nation operand (see Figure 11-7).
F ig u re 1 1 -5 . S H U F P D I n st ru ct io n , P a cke d S h u f f le O p e ra t io n
F ig u re 1 1 -6 . U N P C K H P D I n st ru ct io n , H ig h U n p a ck a n d I n t e rle a v e O p e ra t io n
F ig u re 1 1 -7. U N P C K LP D I n st ru ct io n , Lo w U n p a ck a n d I n t e rle a v e O p e ra t io n
X1 X0
Y1 Y0
Y1 or Y0 X1 or X0
DEST
SRC
DEST
X1 X0
Y1 Y0
Y1 X1
DEST
SRC
DEST
X1 X0
Y1 Y0
Y0 X0
DEST
SRC
DEST
Vol. 1 11-9
PROGRAMMING WITH STREAMING SIMD EXTENSIONS 2 (SSE2)
1 1 .4 .1 .6 S S E 2 C o n v e rsio n I n st ru ct io n s
SSE2 conversion instructions (see Figure 11-8) support packed and scalar conversions between:
Double-precision and single-precision floating-point formats
Double-precision floating-point and doubleword integer formats
Single-precision floating-point and doubleword integer formats
Conversion between double-precision and single-precision floating-points values The following
instructions convert operands between double-precision and single-precision floating-point formats. The operands
being operated on are contained in XMM registers or memory (at most, one operand can reside in memory; the
destination is always an MMX register).
The CVTPS2PD (convert packed single-precision floating-point values to packed double-precision floating-point
values) instruction converts two packed single-
precision floating-point values to two double-precision floating-point values.
The CVTPD2PS (convert packed double-precision floating-point values to packed single-precision floating-point
values) instruction converts two packed double-
precision floating-point values to two single-precision floating-point values. When a conversion is inexact, the
result is rounded according to the rounding mode selected in the MXCSR register.
The CVTSS2SD (convert scalar single-precision floating-point value to scalar double-precision floating-point value)
instruction converts a single-precision floating-point value to a double-precision floating-point value.
The CVTSD2SS (convert scalar double-precision floating-point value to scalar single-precision floating-point value)
instruction converts a double-precision floating-point value to a single-precision floating-point value. When the
conversion is inexact, the result is rounded according to the rounding mode selected in the MXCSR register.
Conversion between double-precision floating-point values and doubleword integers The following
instructions convert operands between double-precision floating-point and doubleword integer formats. Operands
F ig u re 1 1 -8 . S S E a n d S S E 2 C o n v e rsio n I n st ru ct io n s
C
V
T
P
S
2
P
I
C
V
T
T
P
S
2
D
Q
C
V
T
D
Q
2
P
S
C
V
T
P
I
2
P
S
C
V
T
P
D
2
P
S
C
V
T
P
S
2
P
D
C
V
T
P
D
2
D
Q
C
V
T
D
Q
2
P
D
C
V
T
T
P
D
2
P
I
C
V
T
P
I
2
P
D
C
V
T
S
S
2
S
I
C
V
T
S
I
2
S
S
C
V
T
S
I
2
S
D
C
V
T
T
S
D
2
S
I
C
V
T
S
D
2
S
S
C
V
T
S
S
2
S
D
C
V
T
P
S
2
D
Q
4 Doubleword
Integer
Floating-Point
Doubleword
Integer
2 Doubleword
Integer
Single-Precision
Floating Point
C
V
T
S
D
2
S
I
C
V
T
P
D
2
P
I
C
V
T
T
P
D
2
D
Q
C
V
T
T
P
S
2
P
I
C
V
T
T
S
S
2
S
I
2 Doubleword
Integer
(r32/mem)
(MMX/mem)
(XMM/mem)
Double-Precision
(XMM/mem)
(XMM/mem)
(XMM/mem)
11-10 Vol. 1
PROGRAMMING WITH STREAMING SIMD EXTENSIONS 2 (SSE2)
are housed in XMM registers, MMX registers, general registers or memory (at most one operand can reside in
memory; the destination is always an XMM, MMX, or general register).
The CVTPD2PI (convert packed double-precision floating-point values to packed doubleword integers) instruction
converts two packed double-precision floating-point numbers to two packed signed doubleword integers, with the
result stored in an MMX register. When rounding to an integer value, the source value is rounded according to the
rounding mode in the MXCSR register. The CVTTPD2PI (convert with truncation packed double-precision floating-
point values to packed doubleword integers) instruction is similar to the CVTPD2PI instruction except that trunca-
tion is used to round a source value to an integer value (see Section 4.8.4.2, Truncation with SSE and SSE2
Conversion Instructions).
The CVTPI2PD (convert packed doubleword integers to packed double-precision floating-point values) instruction
converts two packed signed doubleword integers to two double-precision floating-point values.
The CVTPD2DQ (convert packed double-precision floating-point values to packed doubleword integers) instruction
converts two packed double-precision floating-point numbers to two packed signed doubleword integers, with the
result stored in the low quadword of an XMM register. When rounding an integer value, the source value is rounded
according to the rounding mode selected in the MXCSR register. The CVTTPD2DQ (convert with truncation packed
double-precision floating-point values to packed doubleword integers) instruction is similar to the CVTPD2DQ
instruction except that truncation is used to round a source value to an integer value (see Section 4.8.4.2, Trun-
cation with SSE and SSE2 Conversion Instructions).
The CVTDQ2PD (convert packed doubleword integers to packed double-precision floating-point values) instruction
converts two packed signed doubleword integers located in the low-order doublewords of an XMM register to two
double-precision floating-point values.
The CVTSD2SI (convert scalar double-precision floating-point value to doubleword integer) instruction converts a
double-precision floating-point value to a doubleword integer, and stores the result in a general-purpose register.
When rounding an integer value, the source value is rounded according to the rounding mode selected in the
MXCSR register. The CVTTSD2SI (convert with truncation scalar double-precision floating-point value to double-
word integer) instruction is similar to the CVTSD2SI instruction except that truncation is used to round the source
value to an integer value (see Section 4.8.4.2, Truncation with SSE and SSE2 Conversion Instructions).
The CVTSI2SD (convert doubleword integer to scalar double-precision floating-point value) instruction converts a
signed doubleword integer in a general-purpose register to a double-precision floating-point number, and stores
the result in an XMM register.
Conversion between single-precision floating-point and doubleword integer formats These instruc-
tions convert between packed single-precision floating-point and packed doubleword integer formats. Operands
are housed in XMM registers, MMX registers, general registers, or memory (the latter for at most one source
operand). The destination is always an XMM, MMX, or general register. These SSE2 instructions supplement
conversion instructions (CVTPI2PS, CVTPS2PI, CVTTPS2PI, CVTSI2SS, CVTSS2SI, and CVTTSS2SI) introduced
with SSE extensions.
The CVTPS2DQ (convert packed single-precision floating-point values to packed doubleword integers) instruction
converts four packed single-precision floating-point values to four packed signed doubleword integers, with the
source and destination operands in XMM registers or memory (the latter for at most one source operand). When
the conversion is inexact, the rounded value according to the rounding mode selected in the MXCSR register is
returned. The CVTTPS2DQ (convert with truncation packed single-precision floating-point values to packed double-
word integers) instruction is similar to the CVTPS2DQ instruction except that truncation is used to round a source
value to an integer value (see Section 4.8.4.2, Truncation with SSE and SSE2 Conversion Instructions).
The CVTDQ2PS (convert packed doubleword integers to packed single-precision floating-point values) instruction
converts four packed signed doubleword integers to four packed single-precision floating-point numbers, with the
source and destination operands in XMM registers or memory (the latter for at most one source operand). When
the conversion is inexact, the rounded value according to the rounding mode selected in the MXCSR register is
returned.
1 1 .4 .2 S S E 2 6 4 -B it a n d 1 2 8 -B it S I MD I n t e g e r I n st ru ct io n s
SSE2 extensions add several 128-bit packed integer instructions to the IA-32 architecture. Where appropriate, a
64-bit version of each of these instructions is also provided. The 128-bit versions of instructions operate on data in
XMM registers; 64-bit versions operate on data in MMX registers. The instructions follow.
Vol. 1 11-11
PROGRAMMING WITH STREAMING SIMD EXTENSIONS 2 (SSE2)
The MOVDQA (move aligned double quadword) instruction transfers a double quadword operand from memory to
an XMM register or vice versa; or between XMM registers. The memory address must be aligned to a 16-byte
boundary; otherwise, a general-protection exception (#GP) is generated.
The MOVDQU (move unaligned double quadword) instruction performs the same operations as the MOVDQA
instruction, except that 16-byte alignment of a memory address is not required.
The PADDQ (packed quadword add) instruction adds two packed quadword integer operands or two single quad-
word integer operands, and stores the results in an XMM or MMX register, respectively. This instruction can operate
on either unsigned or signed (twos complement notation) integer operands.
The PSUBQ (packed quadword subtract) instruction subtracts two packed quadword integer operands or two single
quadword integer operands, and stores the results in an XMM or MMX register, respectively. Like the PADDQ
instruction, PSUBQ can operate on either unsigned or signed (twos complement notation) integer operands.
The PMULUDQ (multiply packed unsigned doubleword integers) instruction performs an unsigned multiply of
unsigned doubleword integers and returns a quadword result. Both 64-bit and 128-bit versions of this instruction
are available. The 64-bit version operates on two doubleword integers stored in the low doubleword of each source
operand, and the quadword result is returned to an MMX register. The 128-bit version performs a packed multiply
of two pairs of doubleword integers. Here, the doublewords are packed in the first and third doublewords of the
source operands, and the quadword results are stored in the low and high quadwords of an XMM register.
The PSHUFLW (shuffle packed low words) instruction shuffles the word integers packed into the low quadword of
the source operand and stores the shuffled result in the low quadword of the destination operand. An 8-bit imme-
diate operand specifies the shuffle order.
The PSHUFHW (shuffle packed high words) instruction shuffles the word integers packed into the high quadword of
the source operand and stores the shuffled result in the high quadword of the destination operand. An 8-bit imme-
diate operand specifies the shuffle order.
The PSHUFD (shuffle packed doubleword integers) instruction shuffles the doubleword integers packed into the
source operand and stores the shuffled result in the destination operand. An 8-bit immediate operand specifies the
shuffle order.
The PSLLDQ (shift double quadword left logical) instruction shifts the contents of the source operand to the left by
the amount of bytes specified by an immediate operand. The empty low-order bytes are cleared (set to 0).
The PSRLDQ (shift double quadword right logical) instruction shifts the contents of the source operand to the right
by the amount of bytes specified by an immediate operand. The empty high-order bytes are cleared (set to 0).
The PUNPCKHQDQ (Unpack high quadwords) instruction interleaves the high quadword of the source operand and
the high quadword of the destination operand and writes them to the destination register.
The PUNPCKLQDQ (Unpack low quadwords) instruction interleaves the low quadwords of the source operand and
the low quadwords of the destination operand and writes them to the destination register.
Two additional SSE instructions enable data movement from the MMX registers to the XMM registers.
The MOVQ2DQ (move quadword integer from MMX to XMM registers) instruction moves the quadword integer from
an MMX source register to an XMM destination register.
The MOVDQ2Q (move quadword integer from XMM to MMX registers) instruction moves the low quadword integer
from an XMM source register to an MMX destination register.
1 1 .4 .3 1 2 8 -B it S I MD I n t e g e r I n st ru ct io n E x t e n sio n s
All of 64-bit SIMD integer instructions introduced with MMX technology and SSE extensions (with the exception of
the PSHUFW instruction) have been extended by SSE2 extensions to operate on 128-bit packed integer operands
located in XMM registers. The 128-bit versions of these instructions follow the same SIMD conventions regarding
packed operands as the 64-bit versions. For example, where the 64-bit version of the PADDB instruction operates
on 8 packed bytes, the 128-bit version operates on 16 packed bytes.
11-12 Vol. 1
PROGRAMMING WITH STREAMING SIMD EXTENSIONS 2 (SSE2)
1 1 .4 .4 C a ch e a b ilit y C o n t ro l a n d Me m o ry O rd e rin g I n st ru ct io n s
SSE2 extensions that give programs more control over the caching, loading, and storing of data. are described
below.
1 1 .4 .4 .1 F LU S H C a ch e Lin e
The CLFLUSH (flush cache line) instruction writes and invalidates the cache line associated with a specified linear
address. The invalidation is for all levels of the processors cache hierarchy, and it is broadcast throughout the
cache coherency domain.
N O T E
CLFLUSH was introduced with the SSE2 extensions. However, the instruction can be implemented
in IA-32 processors that do not implement the SSE2 extensions. Detect CLFLUSH using the feature
bit (if CPUID.01H:EDX.CLFSH[bit 19] = 1).
1 1 .4 .4 .2 C a ch e a b ilit y C o n t ro l I n st ru ct io n s
The following four instructions enable data from XMM and general-purpose registers to be stored to memory using
a non-temporal hint. The non-temporal hint directs the processor to store data to memory without writing the data
into the cache hierarchy whenever this is possible. See Section 10.4.6.2, Caching of Temporal vs. Non-Temporal
Data, for more information about non-temporal stores and hints.
The MOVNTDQ (store double quadword using non-temporal hint) instruction stores packed integer data from an
XMM register to memory, using a non-temporal hint.
The MOVNTPD (store packed double-precision floating-point values using non-temporal hint) instruction stores
packed double-precision floating-point data from an XMM register to memory, using a non-temporal hint.
The MOVNTI (store doubleword using non-temporal hint) instruction stores integer data from a general-purpose
register to memory, using a non-temporal hint.
The MASKMOVDQU (store selected bytes of double quadword) instruction stores selected byte integers from an
XMM register to memory, using a byte mask to selectively write the individual bytes. The memory location does not
need to be aligned on a natural boundary. This instruction also uses a non-temporal hint.
1 1 .4 .4 .3 Me m o ry O rd e rin g I n st ru ct io n s
SSE2 extensions introduce two new fence instructions (LFENCE and MFENCE) as companions to the SFENCE
instruction introduced with SSE extensions.
The LFENCE instruction establishes a memory fence for loads. It guarantees ordering between two loads and
prevents speculative loads from passing the load fence (that is, no speculative loads are allowed until all loads
specified before the load fence have been carried out).
The MFENCE instruction combines the functions of LFENCE and SFENCE by establishing a memory fence for both
loads and stores. It guarantees that all loads and stores specified before the fence are globally observable prior to
any loads or stores being carried out after the fence.
1 1 .4 .4 .4 P a u se
The PAUSE instruction is provided to improve the performance of spin-wait loops executed on a Pentium 4 or Intel
Xeon processor. On a Pentium 4 processor, it also provides the added benefit of reducing processor power
consumption while executing a spin-wait loop. It is recommended that a PAUSE instruction always be included in
the code sequence for a spin-wait loop.
Vol. 1 11-13
PROGRAMMING WITH STREAMING SIMD EXTENSIONS 2 (SSE2)
1 1 .4 .5 B ra n ch H in t s
SSE2 extensions designate two instruction prefixes (2EH and 3EH) to provide branch hints to the processor (see
Instruction Prefixes in Chapter 2 of the Intel 64 and IA-32 Architectures Software Developers Manual, Volume
2A). These prefixes can only be used with the Jcc instruction and only at the machine code level (that is, there are
no mnemonics for the branch hints).
1 1 .5 S S E , S S E 2 , AN D S S E 3 E XC E P T I O N S
SSE/SSE2/SSE3 extensions generate two general types of exceptions:
Non-numeric exceptions
SIMD floating-point exceptions
1
SSE/SSE2/SSE3 instructions can generate the same type of memory-access and non-numeric exceptions as other
IA-32 architecture instructions. Existing exception handlers can generally handle these exceptions without any
code modification. See Providing Non-Numeric Exception Handlers for Exceptions Generated by the SSE, SSE2
and SSE3 Instructions in Chapter 13 of the Intel 64 and IA-32 Architectures Software Developers Manual,
Volume 3A, for a list of the non-numeric exceptions that can be generated by SSE/SSE2/SSE3 instructions and for
guidelines for handling these exceptions.
SSE/SSE2/SSE3 instructions do not generate numeric exceptions on packed integer operations; however, they can
generate numeric (SIMD floating-point) exceptions on packed single-precision and double-precision floating-point
operations. These SIMD floating-point exceptions are defined in the IEEE Standard 754 for Binary Floating-Point
Arithmetic and are the same exceptions that are generated for x87 FPU instructions. See Section 11.5.1, SIMD
Floating-Point Exceptions, for a description of these exceptions.
1 1 .5 .1 S I MD F lo a t in g -P o in t E x ce p t io n s
SIMD floating-point exceptions are those exceptions that can be generated by SSE/SSE2/SSE3 instructions that
operate on packed or scalar floating-point operands.
Six classes of SIMD floating-point exceptions can be generated:
Invalid operation (#I)
Divide-by-zero (#Z)
Denormal operand (#D)
Numeric overflow (#O)
Numeric underflow (#U)
Inexact result (Precision) (#P)
All of these exceptions (except the denormal operand exception) are defined in IEEE Standard 754, and they are
the same exceptions that are generated with the x87 floating-point instructions. Section 4.9, Overview of
Floating-Point Exceptions, gives a detailed description of these exceptions and of how and when they are gener-
ated. The following sections discuss the implementation of these exceptions in SSE/SSE2/SSE3 extensions.
All SIMD floating-point exceptions are precise and occur as soon as the instruction completes execution.
Each of the six exception conditions has a corresponding flag (IE, DE, ZE, OE, UE, and PE) and mask bit (IM, DM,
ZM, OM, UM, and PM) in the MXCSR register (see Figure 10-3). The mask bits can be set with the LDMXCSR or
FXRSTOR instruction; the mask and flag bits can be read with the STMXCSR or FXSAVE instruction.
The OSXMMEXCEPT flag (bit 10) of control register CR4 provides additional control over generation of SIMD
floating-point exceptions by allowing the operating system to indicate whether or not it supports software excep-
tion handlers for SIMD floating-point exceptions. If an unmasked SIMD floating-point exception is generated and
the OSXMMEXCEPT flag is set, the processor invokes a software exception handler by generating a SIMD floating-
1. The FISTTP instruction in SSE3 does not generate SIMD floating-point exceptions, but it can generate x87 FPU floating-point excep-
tions.
11-14 Vol. 1
PROGRAMMING WITH STREAMING SIMD EXTENSIONS 2 (SSE2)
point exception (#XM). If the OSXMMEXCEPT bit is clear, the processor generates an invalid-opcode exception
(#UD) on the first SSE or SSE2 instruction that detects a SIMD floating-point exception condition. See Section
11.6.2, Checking for SSE/SSE2 Support.
1 1 .5 .2 S I MD F lo a t in g -P o in t E x ce p t io n C o n d it io n s
The following sections describe the conditions that cause a SIMD floating-point exception to be generated and the
masked response of the processor when these conditions are detected.
See Section 4.9.2, Floating-Point Exception Priority, for a description of the rules for exception precedence when
more than one floating-point exception condition is detected for an instruction.
1 1 .5 .2 .1 I n v a lid O p e ra t io n E x ce p t io n (# I )
The floating-point invalid-operation exception (#I) occurs in response to an invalid arithmetic operand. The flag
(IE) and mask (IM) bits for the invalid operation exception are bits 0 and 7, respectively, in the MXCSR register.
If the invalid-operation exception is masked, the processor returns a QNaN, QNaN floating-point indefinite, integer
indefinite, one of the source operands to the destination operand, or it sets the EFLAGS, depending on the operation
being performed. When a value is returned to the destination operand, it overwrites the destination register specified
by the instruction. Table 11-1 lists the invalid-arithmetic operations that the processor detects for instructions and
the masked responses to these operations.
If the invalid operation exception is not masked, a software exception handler is invoked and the operands remain
unchanged. See Section 11.5.4, Handling SIMD Floating-Point Exceptions in Software.
Ta b le 1 1 -1 . Ma ske d R e sp o n se s o f S S E /S S E 2 /S S E 3 I n st ru ct io n s t o I n v a lid Arit h m e t ic O p e ra t io n s
C o n d it io n Ma ske d R e sp o n se
ADDPS, ADDSS, ADDPD, ADDSD, SUBPS, SUBSS, SUBPD, SUBSD,
MULPS, MULSS, MULPD, MULSD, DIVPS, DIVSS, DIVPD, DIVSD,
ADDSUBPD, ADDSUBPD, HADDPD, HADDPS, HSUBPD or HSUBPS
instruction with an SNaN operand
Return the SNaN converted to a QNaN; Refer to Table 4-7 for
more details
SQRTPS, SQRTSS, SQRTPD, or SQRTSD with SNaN operands Return the SNaN converted to a QNaN
SQRTPS, SQRTSS, SQRTPD, or SQRTSD with negative operands
(except zero)
Return the QNaN floating-point Indefinite
MAXPS, MAXSS, MAXPD, MAXSD, MINPS, MINSS, MINPD, or
MINSD instruction with QNaN or SNaN operands
Return the source 2 operand value
CMPPS, CMPSS, CMPPD or CMPSD instruction with QNaN or SNaN
operands
Return a mask of all 0s (except for the predicates not-equal,
unordered, not-less-than, or not-less-than-or-equal, which
returns a mask of all 1s)
CVTPD2PS, CVTSD2SS, CVTPS2PD, CVTSS2SD with SNaN
operands
Return the SNaN converted to a QNaN
COMISS or COMISD with QNaN or SNaN operand(s) Set EFLAGS values to not comparable
Addition of opposite signed infinities or subtraction of like-signed
infinities
Return the QNaN floating-point Indefinite
Multiplication of infinity by zero Return the QNaN floating-point Indefinite
Divide of (0/0) or ( / ) Return the QNaN floating-point Indefinite
Conversion to integer when the value in the source register is a
NaN, , or exceeds the representable range for CVTPS2PI,
CVTTPS2PI, CVTSS2SI, CVTTSS2SI, CVTPD2PI, CVTSD2SI,
CVTPD2DQ, CVTTPD2PI, CVTTSD2SI, CVTTPD2DQ, CVTPS2DQ,
or CVTTPS2DQ
Return the integer Indefinite
Vol. 1 11-15
PROGRAMMING WITH STREAMING SIMD EXTENSIONS 2 (SSE2)
Normally, when one or more of the source operands are QNaNs (and neither is an SNaN or in an unsupported
format), an invalid-operation exception is not generated. The following instructions are exceptions to this rule: the
COMISS and COMISD instructions; and the CMPPS, CMPSS, CMPPD, and CMPSD instructions (when the predicate
is less than, less-than or equal, not less-than, or not less-than or equal). With these instructions, a QNaN source
operand will generate an invalid-operation exception.
The invalid-operation exception is not affected by the flush-to-zero mode or by the denormals-are-zeros mode.
1 1 .5 .2 .2 D e n o rm a l-O p e ra n d E x ce p t io n (# D )
The processor signals the denormal-operand exception if an arithmetic instruction attempts to operate on a
denormal operand. The flag (DE) and mask (DM) bits for the denormal-operand exception are bits 1 and 8, respec-
tively, in the MXCSR register.
The CVTPI2PD, CVTPD2PI, CVTTPD2PI, CVTDQ2PD, CVTPD2DQ, CVTTPD2DQ, CVTSI2SD, CVTSD2SI, CVTTSD2SI,
CVTPI2PS, CVTPS2PI, CVTTPS2PI, CVTSS2SI, CVTTSS2SI, CVTSI2SS, CVTDQ2PS, CVTPS2DQ, and CVTTPS2DQ
conversion instructions do not signal denormal exceptions. The RCPSS, RCPPS, RSQRTSS, and RSQRTPS instruc-
tions do not signal any kind of floating-point exception.
The denormals-are-zero flag (bit 6) of the MXCSR register provides an additional option for handling denormal-
operand exceptions. When this flag is set, denormal source operands are automatically converted to zeros with the
sign of the source operand (see Section 10.2.3.4, Denormals-Are-Zeros). The denormal operand exception is not
affected by the flush-to-zero mode.
See Section 4.9.1.2, Denormal Operand Exception (#D), for more information about the denormal exception.
See Section 11.5.4, Handling SIMD Floating-Point Exceptions in Software, for information on handling unmasked
exceptions.
1 1 .5 .2 .3 D iv id e -B y -Z e ro E x ce p t io n (# Z )
The processor reports a divide-by-zero exception when a DIVPS, DIVSS, DIVPD or DIVSD instruction attempts to
divide a finite non-zero operand by 0. The flag (ZE) and mask (ZM) bits for the divide-by-zero exception are bits 2
and 9, respectively, in the MXCSR register.
See Section 4.9.1.3, Divide-By-Zero Exception (#Z), for more information about the divide-by-zero exception.
See Section 11.5.4, Handling SIMD Floating-Point Exceptions in Software, for information on handling unmasked
exceptions.
The divide-by-zero exception is not affected by the flush-to-zero mode or by the denormals-are-zeros mode.
1 1 .5 .2 .4 N u m e ric O v e rflo w E x ce p t io n (# O )
The processor reports a numeric overflow exception whenever the rounded result of an arithmetic instruction
exceeds the largest allowable finite value that fits in the destination operand. This exception can be generated with
the ADDPS, ADDSS, ADDPD, ADDSD, SUBPS, SUBSS, SUBPD, SUBSD, MULPS, MULSS, MULPD, MULSD, DIVPS,
DIVSS, DIVPD, DIVSD, CVTPD2PS, CVTSD2SS, ADDSUBPD, ADDSUBPS, HADDPD, HADDPS, HSUBPD and HSUBPS
instructions. The flag (OE) and mask (OM) bits for the numeric overflow exception are bits 3 and 10, respectively,
in the MXCSR register.
See Section 4.9.1.4, Numeric Overflow Exception (#O), for more information about the numeric-overflow excep-
tion. See Section 11.5.4, Handling SIMD Floating-Point Exceptions in Software, for information on handling
unmasked exceptions.
The numeric overflow exception is not affected by the flush-to-zero mode or by the denormals-are-zeros mode.
1 1 .5 .2 .5 N u m e ric U n d e rflo w E x ce p t io n (# U )
The processor reports a numeric underflow exception whenever the rounded result of an arithmetic instruction is
less than the smallest possible normalized, finite value that will fit in the destination operand and the numeric-
underflow exception is not masked. If the numeric underflow exception is masked, both underflow and the inexact-
result condition must be detected before numeric underflow is reported. This exception can be generated with the
ADDPS, ADDSS, ADDPD, ADDSD, SUBPS, SUBSS, SUBPD, SUBSD, MULPS, MULSS, MULPD, MULSD, DIVPS, DIVSS,
11-16 Vol. 1
PROGRAMMING WITH STREAMING SIMD EXTENSIONS 2 (SSE2)
DIVPD, DIVSD, CVTPD2PS, CVTSD2SS, ADDSUBPD, ADDSUBPS, HADDPD, HADDPS, HSUBPD, and HSUBPS
instructions. The flag (UE) and mask (UM) bits for the numeric underflow exception are bits 4 and 11, respectively,
in the MXCSR register.
The flush-to-zero flag (bit 15) of the MXCSR register provides an additional option for handling numeric underflow
exceptions. When this flag is set and the numeric underflow exception is masked, tiny results (results that trigger
the underflow exception) are returned as a zero with the sign of the true result (see Section 10.2.3.3, Flush-To-
Zero). The numeric underflow exception is not affected by the denormals-are-zero mode.
See Section 4.9.1.5, Numeric Underflow Exception (#U), for more information about the numeric underflow
exception. See Section 11.5.4, Handling SIMD Floating-Point Exceptions in Software, for information on handling
unmasked exceptions.
1 1 .5 .2 .6 I n e x a ct -R e su lt (P re cisio n ) E x ce p t io n (# P )
The inexact-result exception (also called the precision exception) occurs if the result of an operation is not exactly
representable in the destination format. For example, the fraction 1/3 cannot be precisely represented in binary
form. This exception occurs frequently and indicates that some (normally acceptable) accuracy has been lost. The
exception is supported for applications that need to perform exact arithmetic only. Because the rounded result is
generally satisfactory for most applications, this exception is commonly masked.
The flag (PE) and mask (PM) bits for the inexact-result exception are bits 2 and 12, respectively, in the MXCSR
register.
See Section 4.9.1.6, Inexact-Result (Precision) Exception (#P), for more information about the inexact-result
exception. See Section 11.5.4, Handling SIMD Floating-Point Exceptions in Software, for information on handling
unmasked exceptions.
In flush-to-zero mode, the inexact result exception is reported. The inexact result exception is not affected by the
denormals-are-zero mode.
1 1 .5 .3 G e n e ra t in g S I MD F lo a t in g -P o in t E x ce p t io n s
When the processor executes a packed or scalar floating-point instruction, it looks for and reports on SIMD
floating-point exception conditions using two sequential steps:
1. Looks for, reports on, and handles pre-computation exception conditions (invalid-operand, divide-by-zero, and
denormal operand)
2. Looks for, reports on, and handles post-computation exception conditions (numeric overflow, numeric
underflow, and inexact result)
If both pre- and post-computational exceptions are unmasked, it is possible for the processor to generate a SIMD
floating-point exception (#XM) twice during the execution of an SSE, SSE2 or SSE3 instruction: once when it
detects and handles a pre-computational exception and when it detects a post-computational exception.
1 1 .5 .3 .1 H a n d lin g Ma ske d E x ce p t io n s
If all exceptions are masked, the processor handles the exceptions it detects by placing the masked result (or
results for packed operands) in a destination operand and continuing program execution. The masked result may
be a rounded normalized value, signed infinity, a denormal finite number, zero, a QNaN floating-point indefinite, or
a QNaN depending on the exception condition detected. In most cases, the corresponding exception flag bit in
MXCSR is also set. The one situation where an exception flag is not set is when an underflow condition is detected
and it is not accompanied by an inexact result.
When operating on packed floating-point operands, the processor returns a masked result for each of the sub-
operand computations and sets a separate set of internal exception flags for each computation. It then performs a
logical-OR on the internal exception flag settings and sets the exception flags in the MXCSR register according to
the results of OR operations.
For example, Figure 11-9 shows the results of an MULPS instruction. In the example, all SIMD floating-point excep-
tions are masked. Assume that a denormal exception condition is detected prior to the multiplication of sub-oper-
ands X0 and Y0, no exception condition is detected for the multiplication of X1 and Y1, a numeric overflow
Vol. 1 11-17
PROGRAMMING WITH STREAMING SIMD EXTENSIONS 2 (SSE2)
exception condition is detected for the multiplication of X2 and Y2, and another denormal exception is detected
prior to the multiplication of sub-operands X3 and Y3. Because denormal exceptions are masked, the processor
uses the denormal source values in the multiplications of (X0 and Y0) and of (X3 and Y3) passing the results of the
multiplications through to the destination operand. With the denormal operand, the result of the X0 and Y0 compu-
tation is a normalized finite value, with no exceptions detected. However, the X3 and Y3 computation produces a
tiny and inexact result. This causes the corresponding internal numeric underflow and inexact-result exception
flags to be set.
For the multiplication of X2 and Y2, the processor stores the floating-point in the destination operand, and sets
the corresponding internal sub-operand numeric overflow flag. The result of the X1 and Y1 multiplication is passed
through to the destination operand, with no internal sub-operand exception flags being set. Following the compu-
tations, the individual sub-operand exceptions flags for denormal operand, numeric underflow, inexact result, and
numeric overflow are ORd and the corresponding flags are set in the MXCSR register.
The net result of this computation is that:
Multiplication of X0 and Y0 produces a normalized finite result
Multiplication of X1 and Y1 produces a normalized finite result
Multiplication of X2 and Y2 produces a floating-point result
Multiplication of X3 and Y3 produces a tiny, inexact, finite result
Denormal operand, numeric underflow, numeric underflow, and inexact result flags are set in the MXCSR
register
1 1 .5 .3 .2 H a n d lin g U n m a ske d E x ce p t io n s
If all exceptions are unmasked, the processor:
1. First detects any pre-computation exceptions: it ORs those exceptions, sets the appropriate exception flags,
leaves the source and destination operands unaltered, and goes to step 2. If it does not detect any pre-
computation exceptions, it goes to step 5.
2. Checks CR4.OSXMMEXCPT[bit 10]. If this flag is set, the processor goes to step 3; if the flag is clear, it
generates an invalid-opcode exception (#UD) and makes an implicit call to the invalid-opcode exception
handler.
3. Generates a SIMD floating-point exception (#XM) and makes an implicit call to the SIMD floating-point
exception handler.
4. If the exception handler is able to fix the source operands that generated the pre-computation exceptions or
mask the condition in such a way as to allow the processor to continue executing the instruction, the processor
resumes instruction execution as described in step 5.
5. Upon returning from the exception handler (or if no pre-computation exceptions were detected), the processor
checks for post-computation exceptions. If the processor detects any post-computation exceptions: it ORs
F ig u re 1 1 -9 . E x a m p le Ma ske d R e sp o n se fo r P a cke d O p e ra t io n s
X3 X2 X1 X0 (Denormal)
Y3 (Denormal) Y2 Y1 Y0
Tiny, Inexact, Finite Normalized Finite
MULPS
MULPS MULPS
MULPS

Normalized Finite
11-18 Vol. 1
PROGRAMMING WITH STREAMING SIMD EXTENSIONS 2 (SSE2)
those exceptions, sets the appropriate exception flags, leaves the source and destination operands unaltered,
and repeats steps 2, 3, and 4.
6. Upon returning from the exceptions handler in step 4 (or if no post-computation exceptions were detected), the
processor completes the execution of the instruction.
The implication of this procedure is that for unmasked exceptions, the processor can generate a SIMD floating-
point exception (#XM) twice: once if it detects pre-computation exception conditions and a second time if it detects
post-computation exception conditions. For example, if SIMD floating-point exceptions are unmasked for the
computation shown in Figure 11-9, the processor would generate one SIMD floating-point exception for denormal
operand conditions and a second SIMD floating-point exception for overflow and underflow (no inexact result
exception would be generated because the multiplications of X0 and Y0 and of X1 and Y1 are exact).
1 1 .5 .3 .3 H a n d lin g C o m b in a t io n s o f Ma ske d a n d U n m a ske d E x ce p t io n s
In situations where both masked and unmasked exceptions are detected, the processor will set exception flags for
the masked and the unmasked exceptions. However, it will not return masked results until after the processor has
detected and handled unmasked post-computation exceptions and returned from the exception handler (as in step
6 above) to finish executing the instruction.
1 1 .5 .4 H a n d lin g S I MD F lo a t in g -P o in t E x ce p t io n s in S o ft w a re
Section 4.9.3, Typical Actions of a Floating-Point Exception Handler, shows actions that may be carried out by a
SIMD floating-point exception handler. The SSE/SSE2/SSE3 state is saved with the FXSAVE instruction (see Section
11.6.5, Saving and Restoring the SSE/SSE2 State).
1 1 .5 .5 I n t e ra ct io n o f S I MD a n d x 8 7 F P U F lo a t in g -P o in t E x ce p t io n s
SIMD floating-point exceptions are generated independently from x87 FPU floating-point exceptions. SIMD
floating-point exceptions do not cause assertion of the FERR# pin (independent of the value of CR0.NE[bit 5]).
They ignore the assertion and deassertion of the IGNNE# pin.
If applications use SSE/SSE2/SSE3 instructions along with x87 FPU instructions (in the same task or program),
consider the following:
SIMD floating-point exceptions are reported independently from the x87 FPU floating-point exceptions. SIMD
and x87 FPU floating-point exceptions can be unmasked independently. Separate x87 FPU and SIMD floating-
point exception handlers must be provided if the same exception is unmasked for x87 FPU and for
SSE/SSE2/SSE3 operations.
The rounding mode specified in the MXCSR register does not affect x87 FPU instructions. Likewise, the rounding
mode specified in the x87 FPU control word does not affect the SSE/SSE2/SSE3 instructions. To use the same
rounding mode, the rounding control bits in the MXCSR register and in the x87 FPU control word must be set
explicitly to the same value.
The flush-to-zero mode set in the MXCSR register for SSE/SSE2/SSE3 instructions has no counterpart in the
x87 FPU. For compatibility with the x87 FPU, set the flush-to-zero bit to 0.
The denormals-are-zeros mode set in the MXCSR register for SSE/SSE2/SSE3 instructions has no counterpart
in the x87 FPU. For compatibility with the x87 FPU, set the denormals-are-zeros bit to 0.
An application that expects to detect x87 FPU exceptions that occur during the execution of x87 FPU instruc-
tions will not be notified if exceptions occurs during the execution of corresponding SSE/SSE2/SSE3
1
instruc-
tions, unless the exception masks that are enabled in the x87 FPU control word have also been enabled in the
MXCSR register and the application is capable of handling SIMD floating-point exceptions (#XM).
Masked exceptions that occur during an SSE/SSE2/SSE3 library call cannot be detected by unmasking the
exceptions after the call (in an attempt to generate the fault based on the fact that an exception flag is set).
1. SSE3 refers to ADDSUBPD, ADDSUBPS, HADDPD, HADDPS, HSUBPD and HSUBPS; the only other SSE3 instruction that can raise
floating-point exceptions is FISTTP: it can generate x87 FPU invalid operation and inexact result exceptions.
Vol. 1 11-19
PROGRAMMING WITH STREAMING SIMD EXTENSIONS 2 (SSE2)
A SIMD floating-point exception flag that is set when the corresponding exception is unmasked will not
generate a fault; only the next occurrence of that unmasked exception will generate a fault.
An application which checks the x87 FPU status word to determine if any masked exception flags were set
during an x87 FPU library call will also need to check the MXCSR register to detect a similar occurrence of a
masked exception flag being set during an SSE/SSE2/SSE3 library call.
1 1 .6 WR I T I N G AP P LI C AT I O N S WI T H S S E /S S E 2 E XT E N S I O N S
The following sections give some guidelines for writing application programs and operating-system code that uses
the SSE and SSE2 extensions. Because SSE and SSE2 extensions share the same state and perform companion
operations, these guidelines apply to both sets of extensions.
Chapter 13 in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3A, discusses the inter-
face to the processor for context switching as well as other operating system considerations when writing code that
uses SSE/SSE2/SSE3 extensions.
1 1 .6 .1 G e n e ra l G u id e lin e s fo r U sin g S S E /S S E 2 E x t e n sio n s
The following guidelines describe how to take full advantage of the performance gains available with the SSE and
SSE2 extensions:
Ensure that the processor supports the SSE and SSE2 extensions.
Ensure that your operating system supports the SSE and SSE2 extensions. (Operating system support for the
SSE extensions implies support for SSE2 extension and vice versa.)
Use stack and data alignment techniques to keep data properly aligned for efficient memory use.
Use the non-temporal store instructions offered with the SSE and SSE2 extensions.
Employ the optimization and scheduling techniques described in the Intel Pentium 4 Optimization Reference
Manual (see Section 1.4, Related Literature, for the order number for this manual).
1 1 .6 .2 C h e ck in g fo r S S E /S S E 2 S u p p o rt
Before an application attempts to use the SSE and/or SSE2 extensions, it should check that they are present on the
processor:
1. Check that the processor supports the CPUID instruction. Bit 21 of the EFLAGS register can be used to check
processors support the CPUID instruction.
2. Check that the processor supports the SSE and/or SSE2 extensions (true if CPUID.01H:EDX.SSE[bit 25] = 1
and/or CPUID.01H:EDX.SSE2[bit 26] = 1).
Operating system must provide system level support for handling SSE state, exceptions before an application can
use the SSE and/or SSE2 extensions (see Chapter 13 in the Intel 64 and IA-32 Architectures Software Devel-
opers Manual, Volume 3A,).
If the processor attempts to execute an unsupported SSE or SSE2 instruction, the processor will generate an
invalid-opcode exception (#UD). If an operating system did not provide adequate system level support for SSE,
executing an SSE or SSE2 instructions can also generate #UD.
1 1 .6 .3 C h e ck in g fo r t h e D AZ F la g in t h e MXC S R R e g ist e r
The denormals-are-zero flag in the MXCSR register is available in most of the Pentium 4 processors and in the Intel
Xeon processor, with the exception of some early steppings. To check for the presence of the DAZ flag in the MXCSR
register, do the following:
1. Establish a 512-byte FXSAVE area in memory.
2. Clear the FXSAVE area to all 0s.
11-20 Vol. 1
PROGRAMMING WITH STREAMING SIMD EXTENSIONS 2 (SSE2)
3. Execute the FXSAVE instruction, using the address of the first byte of the cleared FXSAVE area as a source
operand. See FXSAVESave x87 FPU, MMX, SSE, and SSE2 State in Chapter 3 of the Intel 64 and IA-32
Architectures Software Developers Manual, Volume 2A, for a description of the FXSAVE instruction and the
layout of the FXSAVE image.
4. Check the value in the MXCSR_MASK field in the FXSAVE image (bytes 28 through 31).
If the value of the MXCSR_MASK field is 00000000H, the DAZ flag and denormals-are-zero mode are not
supported.
If the value of the MXCSR_MASK field is non-zero and bit 6 is set, the DAZ flag and denormals-are-zero
mode are supported.
If the DAZ flag is not supported, then it is a reserved bit and attempting to write a 1 to it will cause a general-
protection exception (#GP). See Section 11.6.6, Guidelines for Writing to the MXCSR Register, for general guide-
lines for preventing general-protection exceptions when writing to the MXCSR register.
1 1 .6 .4 I n it ia liza t io n o f S S E /S S E 2 E x t e n sio n s
The SSE and SSE2 state is contained in the XMM and MXCSR registers. Upon a hardware reset of the processor, this
state is initialized as follows (see Table 11-2):
All SIMD floating-point exceptions are masked (bits 7 through 12 of the MXCSR register is set to 1).
All SIMD floating-point exception flags are cleared (bits 0 through 5 of the MXCSR register is set to 0).
The rounding control is set to round-nearest (bits 13 and 14 of the MXCSR register are set to 00B).
The flush-to-zero mode is disabled (bit 15 of the MXCSR register is set to 0).
The denormals-are-zeros mode is disabled (bit 6 of the MXCSR register is set to 0). If the denormals-are-zeros
mode is not supported, this bit is reserved and will be set to 0 on initialization.
Each of the XMM registers is cleared (set to all zeros).
If the processor is reset by asserting the INIT# pin, the SSE and SSE2 state is not changed.
1 1 .6 .5 S a v in g a n d R e st o rin g t h e S S E /S S E 2 S t a t e
The FXSAVE instruction saves the x87 FPU, MMX, SSE and SSE2 states (which includes the contents of eight XMM
registers and the MXCSR registers) in a 512-byte block of memory. The FXRSTOR instruction restores the saved
SSE and SSE2 state from memory. See the FXSAVE instruction in Chapter 3 of the Intel 64 and IA-32 Architec-
tures Software Developers Manual, Volume 2A, for the layout of the 512-byte state block.
In addition to saving and restoring the SSE and SSE2 state, FXSAVE and FXRSTOR also save and restore the x87
FPU state (because MMX registers are aliased to the x87 FPU data registers this includes saving and restoring the
MMX state). For greater code efficiency, it is suggested that FXSAVE and FXRSTOR be substituted for the FSAVE,
FNSAVE and FRSTOR instructions in the following situations:
When a context switch is being made in a multitasking environment
During calls and returns from interrupt and exception handlers
In situations where the code is switching between x87 FPU and MMX technology computations (without a context
switch or a call to an interrupt or exception), the FSAVE/FNSAVE and FRSTOR instructions are more efficient than
the FXSAVE and FXRSTOR instructions.
Ta b le 1 1 -2 . S S E a n d S S E 2 S t a t e Fo llo w in g a P o w e r-u p /R e se t o r I N I T
R e g ist e rs P o w e r-U p o r R e se t I N I T
XMM0 through XMM7 +0.0 Unchanged
MXCSR 1F80H Unchanged
Vol. 1 11-21
PROGRAMMING WITH STREAMING SIMD EXTENSIONS 2 (SSE2)
1 1 .6 .6 G u id e lin e s fo r Writ in g t o t h e MXC S R R e g ist e r
The MXCSR has several reserved bits, and attempting to write a 1 to any of these bits will cause a general-protec-
tion exception (#GP) to be generated. To allow software to identify these reserved bits, the MXCSR_MASK value is
provided. Software can determine this mask value as follows:
1. Establish a 512-byte FXSAVE area in memory.
2. Clear the FXSAVE area to all 0s.
3. Execute the FXSAVE instruction, using the address of the first byte of the cleared FXSAVE area as a source
operand. See FXSAVESave x87 FPU, MMX, SSE, and SSE2 State in Chapter 3 of the Intel 64 and IA-32
Architectures Software Developers Manual, Volume 2A, for a description of FXSAVE and the layout of the
FXSAVE image.
4. Check the value in the MXCSR_MASK field in the FXSAVE image (bytes 28 through 31).
If the value of the MXCSR_MASK field is 00000000H, then the MXCSR_MASK value is the default value of
0000FFBFH. Note that this value indicates that bit 6 of the MXCSR register is reserved; this setting indicates
that the denormals-are-zero mode is not supported on the processor.
If the value of the MXCSR_MASK field is non-zero, the MXCSR_MASK value should be used as the
MXCSR_MASK.
All bits set to 0 in the MXCSR_MASK value indicate reserved bits in the MXCSR register. Thus, if the MXCSR_MASK
value is ANDd with a value to be written into the MXCSR register, the resulting value will be assured of having all
its reserved bits set to 0, preventing the possibility of a general-protection exception being generated when the
value is written to the MXCSR register.
For example, the default MXCSR_MASK value when 00000000H is returned in the FXSAVE image is 0000FFBFH. If
software ANDs a value to be written to MXCSR register with 0000FFBFH, bit 6 of the result (the DAZ flag) will be
ensured of being set to 0, which is the required setting to prevent general-protection exceptions on processors that
do not support the denormals-are-zero mode.
To prevent general-protection exceptions, the MXCSR_MASK value should be ANDd with the value to be written
into the MXCSR register in the following situations:
Operating system routines that receive a parameter from an application program and then write that value to
the MXCSR register (either with an FXRSTOR or LDMXCSR instruction)
Any application program that writes to the MXCSR register and that needs to run robustly on several different
IA-32 processors
Note that all bits in the MXCSR_MASK value that are set to 1 indicate features that are supported by the MXCSR
register; they can be treated as feature flags for identifying processor capabilities.
1 1 .6 .7 I n t e ra ct io n o f S S E /S S E 2 I n st ru ct io n s w it h x 8 7 F P U a n d MMX I n st ru ct io n s
The XMM registers and the x87 FPU and MMX registers represent separate execution environments, which has
certain ramifications when executing SSE, SSE2, MMX, and x87 FPU instructions in the same code module or when
mixing code modules that contain these instructions:
Those SSE and SSE2 instructions that operate only on XMM registers (such as the packed and scalar floating-
point instructions and the 128-bit SIMD integer instructions) in the same instruction stream with 64-bit SIMD
integer or x87 FPU instructions without any restrictions. For example, an application can perform the majority
of its floating-point computations in the XMM registers, using the packed and scalar floating-point instructions,
and at the same time use the x87 FPU to perform trigonometric and other transcendental computations.
Likewise, an application can perform packed 64-bit and 128-bit SIMD integer operations together without
restrictions.
Those SSE and SSE2 instructions that operate on MMX registers (such as the CVTPS2PI, CVTTPS2PI,
CVTPI2PS, CVTPD2PI, CVTTPD2PI, CVTPI2PD, MOVDQ2Q, MOVQ2DQ, PADDQ, and PSUBQ instructions) can
also be executed in the same instruction stream as 64-bit SIMD integer or x87 FPU instructions, however, here
they are subject to the restrictions on the simultaneous use of MMX technology and x87 FPU instructions, which
include:
11-22 Vol. 1
PROGRAMMING WITH STREAMING SIMD EXTENSIONS 2 (SSE2)
Transition from x87 FPU to MMX technology instructions or to SSE or SSE2 instructions that operate on MMX
registers should be preceded by saving the state of the x87 FPU.
Transition from MMX technology instructions or from SSE or SSE2 instructions that operate on MMX
registers to x87 FPU instructions should be preceded by execution of the EMMS instruction.
1 1 .6 .8 C o m p a t ib ilit y o f S I MD a n d x 8 7 F P U F lo a t in g -P o in t D a t a T y p e s
SSE and SSE2 extensions operate on the same single-precision and double-precision floating-point data types that
the x87 FPU operates on. However, when operating on these data types, the SSE and SSE2 extensions operate on
them in their native format (single-precision or double-precision), in contrast to the x87 FPU which extends them
to double extended-precision floating-point format to perform computations and then rounds the result back to a
single-precision or double-precision format before writing results to memory. Because the x87 FPU operates on a
higher precision format and then rounds the result to a lower precision format, it may return a slightly different
result when performing the same operation on the same single-precision or double-precision floating-point values
than is returned by the SSE and SSE2 extensions. The difference occurs only in the least-significant bits of the
significand.
1 1 .6 .9 Mix in g P a cke d a n d S ca la r F lo a t in g -P o in t a n d 1 2 8 -B it S I MD I n t e g e r I n st ru ct io n s a n d
D a t a
SSE and SSE2 extensions define typed operations on packed and scalar floating-point data types and on 128-bit
SIMD integer data types, but IA-32 processors do not enforce this typing at the architectural level. They only
enforce it at the microarchitectural level. Therefore, when a Pentium 4 or Intel Xeon processor loads a packed or
scalar floating-point operand or a 128-bit packed integer operand from memory into an XMM register, it does not
check that the actual data being loaded matches the data type specified in the instruction. Likewise, when the
processor performs an arithmetic operation on the data in an XMM register, it does not check that the data being
operated on matches the data type specified in the instruction.
As a general rule, because data typing of SIMD floating-point and integer data types is not enforced at the archi-
tectural level, it is the responsibility of the programmer, assembler, or compiler to insure that code enforces data
typing. Failure to enforce correct data typing can lead to computations that return unexpected results.
For example, in the following code sample, two packed single-precision floating-point operands are moved from
memory into XMM registers (using MOVAPS instructions); then a double-precision packed add operation (using the
ADDPD instruction) is performed on the operands:
movaps xmm0, [eax] ; EAX register contains pointer to packed
; single-precision floating-point operand
movaps xmm1, [ebx]
addpd xmm0, xmm1
Pentium 4 and Intel Xeon processors execute these instructions without generating an invalid-operand exception
(#UD) and will produce the expected results in register XMM0 (that is, the high and low 64-bits of each register will
be treated as a double-precision floating-point value and the processor will operate on them accordingly). Because
the data types operated on and the data type expected by the ADDPD instruction were inconsistent, the instruction
may result in a SIMD floating-point exception (such as numeric overflow [#O] or invalid operation [#I]) being
generated, but the actual source of the problem (inconsistent data types) is not detected.
Vol. 1 11-23
PROGRAMMING WITH STREAMING SIMD EXTENSIONS 2 (SSE2)
The ability to operate on an operand that contains a data type that is inconsistent with the typing of the instruction
being executed, permits some valid operations to be performed. For example, the following instructions load a
packed double-precision floating-point operand from memory to register XMM0, and a mask to register XMM1;
then they use XORPD to toggle the sign bits of the two packed values in register XMM0.
movapd xmm0, [eax] ; EAX register contains pointer to packed
; double-precision floating-point operand
movaps xmm1, [ebx] ; EBX register contains pointer to packed
; double-precision floating-point mask
xorpd xmm0, xmm1 ; XOR operation toggles sign bits using
; the mask in xmm1
In this example: XORPS or PXOR can be used in place of XORPD and yield the same correct result. However,
because of the type mismatch between the operand data type and the instruction data type, a latency penalty will
be incurred due to implementations of the instructions at the microarchitecture level.
Latency penalties can also be incurred by using move instructions of the wrong type. For example, MOVAPS and
MOVAPD can both be used to move a packed single-precision operand from memory to an XMM register. However,
if MOVAPD is used, a latency penalty will be incurred when a correctly typed instruction attempts to use the data in
the register.
Note that these latency penalties are not incurred when moving data from XMM registers to memory.
1 1 .6 .1 0 I n t e rfa cin g w it h S S E /S S E 2 P ro ce d u re s a n d F u n ct io n s
SSE and SSE2 extensions allow direct access to XMM registers. This means that all existing interface conventions
between procedures and functions that apply to the use of the general-purpose registers (EAX, EBX, etc.) also
apply to XMM register usage.
1 1 .6 .1 0 .1 P a ssin g P a ra m e t e rs in XMM R e g ist e rs
The state of XMM registers is preserved across procedure (or function) boundaries. Parameters can be passed from
one procedure to another using XMM registers.
1 1 .6 .1 0 .2 S a v in g XMM R e g ist e r S t a t e o n a P ro ce d u re o r F u n ct io n C a ll
The state of XMM registers can be saved in two ways: using an FXSAVE instruction or a move instruction. FXSAVE
saves the state of all XMM registers (along with the state of MXCSR and the x87 FPU registers). This instruction is
typically used for major changes in the context of the execution environment, such as a task switch. FXRSTOR
restores the XMM, MXCSR, and x87 FPU registers stored with FXSAVE.
In cases where only XMM registers must be saved, or where selected XMM registers need to be saved, move
instructions (MOVAPS, MOVUPS, MOVSS, MOVAPD, MOVUPD, MOVSD, MOVDQA, and MOVDQU) can be used.
These instructions can also be used to restore the contents of XMM registers. To avoid performance degradation
when saving XMM registers to memory or when loading XMM registers from memory, be sure to use the appropri-
ately typed move instructions.
The move instructions can also be used to save the contents of XMM registers on the stack. Here, the stack pointer
(in the ESP register) can be used as the memory address to the next available byte in the stack. Note that the stack
pointer is not automatically incremented when using a move instruction (as it is with PUSH).
A move-instruction procedure that saves the contents of an XMM register to the stack is responsible for decre-
menting the value in the ESP register by 16. Likewise, a move-instruction procedure that loads an XMM register
from the stack needs also to increment the ESP register by 16. To avoid performance degradation when moving the
contents of XMM registers, use the appropriately typed move instructions.
Use the LDMXCSR and STMXCSR instructions to save and restore, respectively, the contents of the MXCSR register
on a procedure call and return.
11-24 Vol. 1
PROGRAMMING WITH STREAMING SIMD EXTENSIONS 2 (SSE2)
1 1 .6 .1 0 .3 C a lle r-S a v e R e co m m e n d a t io n fo r P ro ce d u re a n d F u n ct io n C a lls
When making procedure (or function) calls from SSE or SSE2 code, a caller-save convention is recommended for
saving the state of the calling procedure. Using this convention, any register whose content must survive intact
across a procedure call must be stored in memory by the calling procedure prior to executing the call.
The primary reason for using the caller-save convention is to prevent performance degradation. XMM registers can
contain packed or scalar double-precision floating-point, packed single-precision floating-point, and 128-bit
packed integer data types. The called procedure has no way of knowing the data types in XMM registers following
a call; so it is unlikely to use the correctly typed move instruction to store the contents of XMM registers in memory
or to restore the contents of XMM registers from memory.
As described in Section 11.6.9, Mixing Packed and Scalar Floating-Point and 128-Bit SIMD Integer Instructions
and Data, executing a move instruction that does not match the type for the data being moved to/from XMM regis-
ters will be carried out correctly, but can lead to a greater instruction latency.
1 1 .6 .1 1 U p d a t in g E x ist in g MMX Te ch n o lo g y R o u t in e s U sin g 1 2 8 -B it S I MD I n t e g e r I n st ru ct io n s
SSE2 extensions extend all 64-bit MMX SIMD integer instructions to operate on 128-bit SIMD integers using XMM
registers. The extended 128-bit SIMD integer instructions operate like the 64-bit SIMD integer instructions; this
simplifies the porting of MMX technology applications. However, there are considerations:
To take advantage of wider 128-bit SIMD integer instructions, MMX technology code must be recompiled to
reference the XMM registers instead of MMX registers.
Computation instructions that reference memory operands that are not aligned on 16-byte boundaries should
be replaced with an unaligned 128-bit load (MOVUDQ instruction) followed by a version of the same
computation operation that uses register instead of memory operands. Use of 128-bit packed integer
computation instructions with memory operands that are not 16-byte aligned results in a general protection
exception (#GP).
Extension of the PSHUFW instruction (shuffle word across 64-bit integer operand) across a full 128-bit operand
is emulated by a combination of the following instructions: PSHUFHW, PSHUFLW, and PSHUFD.
Use of the 64-bit shift by bit instructions (PSRLQ, PSLLQ) can be extended to 128 bits in either of two ways:
Use of PSRLQ and PSLLQ, along with masking logic operations.
Rewriting the code sequence to use PSRLDQ and PSLLDQ (shift double quadword operand by bytes)
Loop counters need to be updated, since each 128-bit SIMD integer instruction operates on twice the amount
of data as its 64-bit SIMD integer counterpart.
1 1 .6 .1 2 B ra n ch in g o n Arit h m e t ic O p e ra t io n s
There are no condition codes in SSE or SSE2 states. A packed-data comparison instruction generates a mask which
can then be transferred to an integer register. The following code sequence provides an example of how to perform
a conditional branch, based on the result of an SSE2 arithmetic operation.
cmppd XMM0, XMM1 ; generates a mask in XMM0
movmskpd EAX, XMM0 ; moves a 2 bit mask to eax
test EAX, 0 ; compare with desired result
jne BRANCH TARGET
The COMISD and UCOMISD instructions update the EFLAGS as the result of a scalar comparison. A conditional
branch can then be scheduled immediately following COMISD/UCOMISD.
1 1 .6 .1 3 C a ch e a b ilit y H in t I n st ru ct io n s
SSE and SSE2 cacheability control instructions enable the programmer to control prefetching, caching, loading and
storing of data. When correctly used, these instructions improve application performance.
Vol. 1 11-25
PROGRAMMING WITH STREAMING SIMD EXTENSIONS 2 (SSE2)
To make efficient use of the processors super-scalar microarchitecture, a program needs to provide a steady
stream of data to the executing program to avoid stalling the processor. PREFETCHh instructions minimize the
latency of data accesses in performance-critical sections of application code by allowing data to be fetched into the
processor cache hierarchy in advance of actual usage.
PREFETCHh instructions do not change the user-visible semantics of a program, although they may affect perfor-
mance. The operation of these instructions is implementation-dependent. Programmers may need to tune code for
each IA-32 processor implementation. Excessive usage of PREFETCHh instructions may waste memory bandwidth
and reduce performance. For more detailed information on the use of prefetch hints, refer to Chapter 7, Opti-
mizing Cache Usage,, in the Intel 64 and IA-32 Architectures Optimization Reference Manual.
The non-temporal store instructions (MOVNTI, MOVNTPD, MOVNTPS, MOVNTDQ, MOVNTQ, MASKMOVQ, and
MASKMOVDQU) minimize cache pollution when writing non-temporal data to memory (see Section 10.4.6.2,
Caching of Temporal vs. Non-Temporal Data, and Section 10.4.6.1, Cacheability Control Instructions). They
prevent non-temporal data from being written into processor caches on a store operation. These instructions are
implementation specific. Programmers may have to tune their applications for each IA-32 processor implementa-
tion to take advantage of these instructions.
Besides reducing cache pollution, the use of weakly-ordered memory types can be important under certain data
sharing relationships, such as a producer-consumer relationship. The use of weakly ordered memory can make the
assembling of data more efficient; but care must be taken to ensure that the consumer obtains the data that the
producer intended. Some common usage models that may be affected in this way by weakly-ordered stores are:
Library functions that use weakly ordered memory to write results
Compiler-generated code that writes weakly-ordered results
Hand-crafted code
The degree to which a consumer of data knows that the data is weakly ordered can vary for these cases. As a
result, the SFENCE or MFENCE instruction should be used to ensure ordering between routines that produce
weakly-ordered data and routines that consume the data. SFENCE and MFENCE provide a performance-efficient
way to ensure ordering by guaranteeing that every store instruction that precedes SFENCE/MFENCE in program
order is globally visible before a store instruction that follows the fence.
1 1 .6 .1 4 E ffe ct o f I n st ru ct io n P re f ixe s o n t h e S S E /S S E 2 I n st ru ct io n s
Table 11-3 describes the effects of instruction prefixes on SSE and SSE2 instructions. (Table 11-3 also applies to
SIMD integer and SIMD floating-point instructions in SSE3.) Unpredictable behavior can range from prefixes being
treated as a reserved operation on one generation of IA-32 processors to generating an invalid opcode exception
on another generation of processors.
See also Instruction Prefixes in Chapter 2 of the Intel 64 and IA-32 Architectures Software Developers Manual,
Volume 2A, for complete description of instruction prefixes.
N O T E
Some SSE/SSE2/SSE3 instructions have two-byte opcodes that are either 2 bytes or 3 bytes in
length. Two-byte opcodes that are 3 bytes in length consist of: a mandatory prefix (F2H, F3H, or
66H), 0FH, and an opcode byte. See Table 11-3.
11-26 Vol. 1
PROGRAMMING WITH STREAMING SIMD EXTENSIONS 2 (SSE2)
Ta b le 1 1 -3 . E f fe ct o f P re f ixe s o n S S E , S S E 2 , a n d S S E 3 I n st ru ct io n s
P re f ix T y p e E ffe ct o n S S E , S S E 2 a n d S S E 3 I n st ru ct io n s
Address Size Prefix (67H) Affects instructions with a memory operand.
Reserved for instructions without a memory operand and may result in unpredictable
behavior.
Operand Size (66H) Reserved and may result in unpredictable behavior.
Segment Override
(2EH,36H,3EH,26H,64H,65H)
Affects instructions with a memory operand.
Reserved for instructions without a memory operand and may result in unpredictable
behavior.
Repeat Prefixes (F2H and F3H) Reserved and may result in unpredictable behavior.
Lock Prefix (F0H) Reserved; generates invalid opcode exception (#UD).
Branch Hint Prefixes(E2H and E3H) Reserved and may result in unpredictable behavior.
Vol. 1 12-1
C H AP T E R 1 2
P R O G R AMMI N G WI T H S S E 3 , S S S E 3 , S S E 4 AN D AE S N I
The Pentium

4 processor supporting Hyper-Threading Technology (HT Technology) introduces Streaming SIMD
Extensions 3 (SSE3). The Intel Xeon processor 5100 series, Intel Core 2 processor families introduced Supple-
mental Streaming SIMD Extensions 3 (SSSE3). SSE4 are introduced in Intel processor generations built from 45nm
process technology. This chapter describes SSE3, SSSE3, SSE4, and provides information to assist in writing appli-
cation programs that use these extensions.
AESNI and PCLMLQDQ are instruction extensions targeted to accelerate high-speed block encryption and crypto-
graphic processing. Section 12.13 covers these instructions and their relationship to the Advanced Encryption
Standard (AES).
1 2 .1 P R O G R AMMI N G E N V I R O N ME N T AN D D AT A T YP E S
The programming environment for using SSE3, SSSE3, and SSE4 is unchanged from those shown in Figure 3-1 and
Figure 3-2. SSE3, SSSE3, and SSE4 do not introduce new data types. XMM registers are used to operate on packed
integer data, single-precision floating-point data, or double-precision floating-point data.
One SSE3 instruction uses the x87 FPU for x87-style programming. There are two SSE3 instructions that use the
general registers for thread synchronization. The MXCSR register governs SIMD floating-point operations. Note,
however, that the x87FPU control word does not affect the SSE3 instruction that is executed by the x87 FPU
(FISTTP), other than by unmasking an invalid operand or inexact result exception.
SSE4 instructions do not use MMX registers. Two of the SSE4.2 instructions operate on general-purpose registers;
the rest of SSE4.2 instruction and SSE4.1 instructions operate on XMM registers.
1 2 .1 .1 S S E 3 , S S S E 3 , S S E 4 in 6 4 -B it Mo d e a n d C o m p a t ib ilit y Mo d e
In compatibility mode, SSE3, SSSE3, and SSE4 function like they do in protected mode. In 64-bit mode, eight addi-
tional XMM registers are accessible. Registers XMM8-XMM15 are accessed by using REX prefixes.
Memory operands are specified using the ModR/M, SIB encoding described in Section 3.7.5.
Some SSE3, SSSE3, and SSE4 instructions may be used to operate on general-purpose registers. Use the REX.W
prefix to access 64-bit general-purpose registers. Note that if a REX prefix is used when it has no meaning, the
prefix is ignored.
1 2 .1 .2 C o m p a t ib ilit y o f S S E 3 /S S S E 3 w it h MMX Te ch n o lo g y, t h e x 8 7 F P U E n v iro n m e n t , a n d
S S E /S S E 2 E x t e n sio n s
SSE3, SSSE3, and SSE4 do not introduce any new state to the Intel 64 and IA-32 execution environments.
For SIMD and x87 programming, the FXSAVE and FXRSTOR instructions save and restore the architectural states
of XMM, MXCSR, x87 FPU, and MMX registers. The MONITOR and MWAIT instructions use general purpose registers
on input, they do not modify the content of those registers.
1 2 .1 .3 H o rizo n t a l a n d Asy m m e t ric P ro ce ssin g
Many SSE/SSE2/SSE3/SSSE3 instructions accelerate SIMD data processing using a model referred to as vertical
computation. Using this model, data flow is vertical between the data elements of the inputs and the output.
Figure 12-1 illustrates the asymmetric processing of the SSE3 instruction ADDSUBPD. Figure 12-2 illustrates the
horizontal data movement of the SSE3 instruction HADDPD.
12-2 Vol. 1
PROGRAMMING WITH SSE3, SSSE3, SSE4 AND AESNI
1 2 .2 O VE R VI E W O F S S E 3 I N S T R U C T I O N S
SSE3 extensions include 13 instructions. See:
Section 12.3, SSE3 Instructions, provides an introduction to individual SSE3 instructions.
Intel 64 and IA-32 Architectures Software Developers Manual, Volumes 2A & 2B, provide detailed
information on individual instructions.
Chapter 13, System Programming for Instruction Set Extensions and Processor Extended States, in the
Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3A, gives guidelines for integrating
SSE/SSE2/SSE3 extensions into an operating-system environment.
1 2 .3 S S E 3 I N S T R U C T I O N S
SSE3 instructions are grouped as follows:
x87 FPU instruction
One instruction that improves x87 FPU floating-point to integer conversion
SIMD integer instruction
F ig u re 1 2 -1 . Asy m m e t ric P ro ce ssin g in AD D S U B P D
F ig u re 1 2 -2 . H o rizo n t a l D a t a Mo v e m e n t in H AD D P D
X1 X0
X1 + Y1 X0 -Y0
SUB
Y1 Y0
ADD
X1 X0
Y0 + Y1 X0 + X1
ADD
Y1 Y0
ADD
Vol. 1 12-3
PROGRAMMING WITH SSE3, SSSE3, SSE4 AND AESNI
One instruction that provides a specialized 128-bit unaligned data load
SIMD floating-point instructions
Three instructions that enhance LOAD/MOVE/DUPLICATE performance
Two instructions that provide packed addition/subtraction
Four instructions that provide horizontal addition/subtraction
Thread synchronization instructions
Two instructions that improve synchronization between multi-threaded agents
The instructions are discussed in more detail in the following paragraphs.
1 2 .3 .1 x 8 7 F P U I n st ru ct io n fo r I n t e g e r C o n v e rsio n
The FISTTP instruction (x87 FPU Store Integer and Pop with Truncation) behaves like FISTP, but uses truncation
regardless of what rounding mode is specified in the x87 FPU control word. The instruction converts the top of stack
(ST0) to integer with rounding to and pops the stack.
The FISTTP instruction is available in three precisions: short integer (word or 16-bit), integer (double word or 32-
bit), and long integer (64-bit). With FISTTP, applications no longer need to change the FCW when truncation is
required.
1 2 .3 .2 S I MD I n t e g e r I n st ru ct io n fo r S p e cia lize d 1 2 8 -b it U n a lig n e d D a t a Lo a d
The LDDQU instruction is a special 128-bit unaligned load designed to avoid cache line splits. If the address of a 16-
byte load is on a 16-byte boundary, LDQQU loads the bytes requested. If the address of the load is not aligned on
a 16-byte boundary, LDDQU loads a 32-byte block starting at the 16-byte aligned address immediately below the
load request. It then extracts the requested 16 bytes.
The instruction provides significant performance improvement on 128-bit unaligned memory accesses at the cost
of some usage model restrictions.
1 2 .3 .3 S I MD F lo a t in g -P o in t I n st ru ct io n s T h a t E n h a n ce LO AD /MO VE /D U P LI C AT E P e rfo rm a n ce
The MOVSHDUP instruction loads/moves 128-bits, duplicating the second and fourth 32-bit data elements.
MOVSHDUP OperandA, OperandB
OperandA (128 bits, four data elements): 3
a
, 2
a
, 1
a
, 0
a
OperandB (128 bits, four data elements): 3
b
, 2
b
, 1
b
, 0
b
Result (stored in OperandA): 3
b
, 3
b
, 1
b
, 1
b
The MOVSLDUP instruction loads/moves 128-bits, duplicating the first and third 32-bit data elements.
MOVSLDUP OperandA, OperandB
OperandA (128 bits, four data elements): 3
a
, 2
a
, 1
a
, 0
a
OperandB (128 bits, four data elements): 3
b
, 2
b
, 1
b
, 0
b
Result (stored in OperandA): 2
b
, 2
b
, 0
b
, 0
b
The MOVDDUP instruction loads/moves 64-bits; duplicating the 64 bits from the source.
MOVDDUP OperandA, OperandB
OperandA (128 bits, two data elements): 1
a
, 0
a
OperandB (64 bits, one data element): 0
b
Result (stored in OperandA): 0
b
, 0
b
12-4 Vol. 1
PROGRAMMING WITH SSE3, SSSE3, SSE4 AND AESNI
1 2 .3 .4 S I MD F lo a t in g -P o in t I n st ru ct io n s P ro v id e P a cke d Ad d it io n /S u b t ra ct io n
The ADDSUBPS instruction has two 128-bit operands. The instruction performs single-precision addition on the
second and fourth pairs of 32-bit data elements within the operands; and single-precision subtraction on the first
and third pairs.
ADDSUBPS OperandA, OperandB
OperandA (128 bits, four data elements): 3
a
, 2
a
, 1
a
, 0
a
OperandB (128 bits, four data elements): 3
b
, 2
b
, 1
b
, 0
b
Result (stored in OperandA): 3
a
+3
b
, 2
a
-2
b
, 1
a
+1
b
, 0
a
-0
b
The ADDSUBPD instruction has two 128-bit operands. The instruction performs double-precision addition on the
second pair of quadwords, and double-precision subtraction on the first pair.
ADDSUBPD OperandA, OperandB
OperandA (128 bits, two data elements): 1
a
, 0
a
OperandB (128 bits, two data elements): 1
b
, 0
b
Result (stored in OperandA): 1
a
+1
b
, 0
a
-0
b
1 2 .3 .5 S I MD F lo a t in g -P o in t I n st ru ct io n s P ro v id e H o rizo n t a l Ad d it io n /S u b t ra ct io n
Most SIMD instructions operate vertically. This means that the result in position i is a function of the elements in
position i of both operands. Horizontal addition/subtraction operates horizontally. This means that contiguous data
elements in the same source operand are used to produce a result.
The HADDPS instruction performs a single-precision addition on contiguous data elements. The first data element
of the result is obtained by adding the first and second elements of the first operand; the second element by adding
the third and fourth elements of the first operand; the third by adding the first and second elements of the second
operand; and the fourth by adding the third and fourth elements of the second operand.
HADDPS OperandA, OperandB
OperandA (128 bits, four data elements): 3
a
, 2
a
, 1
a
, 0
a
OperandB (128 bits, four data elements): 3
b
, 2
b
, 1
b
, 0
b
Result (Stored in OperandA): 3
b
+2
b
, 1
b
+0
b
, 3
a
+2
a
, 1
a
+0
a
The HSUBPS instruction performs a single-precision subtraction on contiguous data elements. The first data
element of the result is obtained by subtracting the second element of the first operand from the first element of
the first operand; the second element by subtracting the fourth element of the first operand from the third element
of the first operand; the third by subtracting the second element of the second operand from the first element of
the second operand; and the fourth by subtracting the fourth element of the second operand from the third
element of the second operand.
HSUBPS OperandA, OperandB
OperandA (128 bits, four data elements): 3
a
, 2
a,
1
a
, 0
a
OperandB (128 bits, four data elements): 3
b
, 2
b
, 1
b
, 0
b
Result (Stored in OperandA): 2
b
-3
b
, 0
b
-1
b
, 2
a
-3
a
, 0
a
-1
a
The HADDPD instruction performs a double-precision addition on contiguous data elements. The first data element
of the result is obtained by adding the first and second elements of the first operand; the second element by adding
the first and second elements of the second operand.
HADDPD OperandA, OperandB
OperandA (128 bits, two data elements): 1
a
, 0
a
OperandB (128 bits, two data elements): 1
b
, 0
b
Result (Stored in OperandA): 1
b
+0
b
, 1
a
+0
a
Vol. 1 12-5
PROGRAMMING WITH SSE3, SSSE3, SSE4 AND AESNI
The HSUBPD instruction performs a double-precision subtraction on contiguous data elements. The first data
element of the result is obtained by subtracting the second element of the first operand from the first element of
the first operand; the second element by subtracting the second element of the second operand from the first
element of the second operand.
HSUBPD OperandA OperandB
OperandA (128 bits, two data elements): 1
a
, 0
a
OperandB (128 bits, two data elements): 1
b
, 0
b
Result (Stored in OperandA): 0
b
-1
b
, 0
a
-1
a
1 2 .3 .6 T w o T h re a d S y n ch ro n iza t io n I n st ru ct io n s
The MONITOR instruction sets up an address range that is used to monitor write-back-stores.
MWAIT enables a logical processor to enter into an optimized state while waiting for a write-back-store to the
address range set up by MONITOR. MONITOR and MWAIT require the use of general purpose registers for its input.
The registers used by MONITOR and MWAIT must be initialized properly; register content is not modified by these
instructions.
1 2 .4 WR I T I N G AP P LI C AT I O N S WI T H S S E 3 E XT E N S I O N S
The following sections give guidelines for writing application programs and operating-system code that use SSE3
instructions.
1 2 .4 .1 G u id e lin e s fo r U sin g S S E 3 E x t e n sio n s
The following guidelines describe how to maximize the benefits of using SSE3 extensions:
Check that the processor supports SSE3 extensions.
Application may need to ensure that the target operating system supports SSE3. (Operating system
support for the SSE extensions implies sufficient support for SSE2 extensions and SSE3 extensions.)
Ensure your operating system supports MONITOR and MWAIT.
Employ the optimization and scheduling techniques described in the Intel 64 and IA-32 Architectures Optimi-
zation Reference Manual (see Section 1.4, Related Literature).
1 2 .4 .2 C h e ck in g fo r S S E 3 S u p p o rt
Before an application attempts to use the SIMD subset of SSE3 extensions, the application should follow the steps
illustrated in Section 11.6.2, Checking for SSE/SSE2 Support. Next, use the additional step provided below:
Check that the processor supports the SIMD and x87 SSE3 extensions (if CPUID.01H:ECX.SSE3[bit 0] = 1).
An operating systems that provides application support for SSE, SSE2 also provides sufficient application support
for SSE3. To use FISTTP, software only needs to check support for SSE3.
In the initial implementation of MONITOR and MWAIT, these two instructions are available to ring 0 and condition-
ally available at ring level greater than 0. Before an application attempts to use the MONITOR and MWAIT instruc-
tions, the application should use the following steps:
1. Check that the processor supports MONITOR and MWAIT. If CPUID.01H:ECX.MONITOR[bit 3] = 1, MONITOR
and MWAIT are available at ring 0.
2. Query the smallest and largest line size that MONITOR uses. Use CPUID.05H:EAX.smallest[bits
15:0];EBX.largest[bits15:0]. Values are returned in bytes in EAX and EBX.
3. Ensure the memory address range(s) that will be supplied to MONITOR meets memory type requirements.
12-6 Vol. 1
PROGRAMMING WITH SSE3, SSSE3, SSE4 AND AESNI
MONITOR and MWAIT are targeted for system software that supports efficient thread synchronization, See Chapter
13 in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3A for details.
1 2 .4 .3 E n a b le F T Z a n d D AZ fo r S I MD F lo a t in g -P o in t C o m p u t a t io n
Enabling the FTZ and DAZ flags in the MXCSR register is likely to accelerate SIMD floating-point computation where
strict compliance to the IEEE standard 754-1985 is not required. The FTZ flag is available to Intel 64 and IA-32
processors that support the SSE; DAZ is available to Intel 64 processors and to most IA-32 processors that support
SSE/SSE2/SSE3.
Software can detect the presence of DAZ, modify the MXCSR register, and save and restore state information by
following the techniques discussed in Section 11.6.3 through Section 11.6.6.
1 2 .4 .4 P ro g ra m m in g S S E 3 w it h S S E /S S E 2 E x t e n sio n s
SIMD instructions in SSE3 extensions are intended to complement the use of SSE/SSE2 in programming SIMD
applications. Application software that intends to use SSE3 instructions should also check for the availability of
SSE/SSE2 instructions.
The FISTTP instruction in SSE3 is intended to accelerate x87 style programming where performance is limited by
frequent floating-point conversion to integers; this happens when the x87 FPU control word is modified frequently.
Use of FISTTP can eliminate the need to access the x87 FPU control word.
1 2 .5 O VE R V I E W O F S S S E 3 I N S T R U C T I O N S
SSSE3 provides 32 instructions to accelerate a variety of multimedia and signal processing applications employing
SIMD integer data. See:
Section 12.6, SSSE3 Instructions, provides an introduction to individual SSSE3 instructions.
Intel 64 and IA-32 Architectures Software Developers Manual, Volumes 2A & 2B, provide detailed
information on individual instructions.
Chapter 13, System Programming for Instruction Set Extensions and Processor Extended States, in the
Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3A, gives guidelines for integrating
SSE/SSE2/SSE3/SSSE3 extensions into an operating-system environment.
1 2 .6 S S S E 3 I N S T R U C T I O N S
SSSE3 instructions include:
Twelve instructions that perform horizontal addition or subtraction operations.
Six instructions that evaluate the absolute values.
Two instructions that perform multiply and add operations and speed up the evaluation of dot products.
Two instructions that accelerate packed-integer multiply operations and produce integer values with scaling.
Two instructions that perform a byte-wise, in-place shuffle according to the second shuffle control operand.
Six instructions that negate packed integers in the destination operand if the signs of the corresponding
element in the source operand is less than zero.
Two instructions that align data from the composite of two operands.
The operands of these instructions are packed integers of byte, word, or double word sizes. The operands are
stored as 64 or 128 bit data in MMX registers, XMM registers, or memory.
The instructions are discussed in more detail in the following paragraphs.
Vol. 1 12-7
PROGRAMMING WITH SSE3, SSSE3, SSE4 AND AESNI
1 2 .6 .1 H o rizo n t a l Ad d it io n /S u b t ra ct io n
In analogy to the packed, floating-point horizontal add and subtract instructions in SSE3, SSSE3 offers similar
capabilities on packed integer data. Data elements of signed words, doublewords are supported. Saturated version
for horizontal add and subtract on signed words are also supported. The horizontal data movement of PHADD is
shown in Figure 12-3.
There are six horizontal add instructions (represented by three mnemonics); three operate on 128-bit operands
and three operate on 64-bit operands. The width of each data element is either 16 bits or 32 bits. The mnemonics
are listed below.
PHADDW adds two adjacent, signed 16-bit integers horizontally from the source and destination operands and
packs the signed 16-bit results to the destination operand.
PHADDSW adds two adjacent, signed 16-bit integers horizontally from the source and destination operands
and packs the signed, saturated 16-bit results to the destination operand.
PHADDD adds two adjacent, signed 32-bit integers horizontally from the source and destination operands and
packs the signed 32-bit results to the destination operand.
There are six horizontal subtract instructions (represented by three mnemonics); three operate on 128-bit oper-
ands and three operate on 64-bit operands. The width of each data element is either 16 bits or 32 bits. These are
listed below.
PHSUBW performs horizontal subtraction on each adjacent pair of 16-bit signed integers by subtracting the
most significant word from the least significant word of each pair in the source and destination operands. The
signed 16-bit results are packed and written to the destination operand.
PHSUBSW performs horizontal subtraction on each adjacent pair of 16-bit signed integers by subtracting the
most significant word from the least significant word of each pair in the source and destination operands. The
signed, saturated 16-bit results are packed and written to the destination operand.
PHSUBD performs horizontal subtraction on each adjacent pair of 32-bit signed integers by subtracting the
most significant doubleword from the least significant double word of each pair in the source and destination
operands. The signed 32-bit results are packed and written to the destination operand.
1 2 .6 .2 P a cke d Ab so lu t e Va lu e s
There are six packed-absolute-value instructions (represented by three mnemonics). Three operate on 128-bit
operands and three operate on 64-bit operands. The widths of data elements are 8 bits, 16 bits or 32 bits. The
absolute value of each data element of the source operand is stored as an UNSIGNED result in the destination
operand.
PABSB computes the absolute value of each signed byte data element.
F ig u re 1 2 -3 . H o rizo n t a l D a t a Mo v e m e n t in P H AD D D
X0 + X1 X2 + X3 Y0 + Y1 Y2 + Y3
X2 X0
ADD
Y1
Y0
ADD
Y2 Y3
X1 X3
ADD
ADD
12-8 Vol. 1
PROGRAMMING WITH SSE3, SSSE3, SSE4 AND AESNI
PABSW computes the absolute value of each signed 16-bit data element.
PABSD computes the absolute value of each signed 32-bit data element.
1 2 .6 .3 Mu lt ip ly a n d Ad d P a cke d S ig n e d a n d U n sig n e d B y t e s
There are two multiply-and-add-packed-signed-unsigned-byte instructions (represented by one mnemonic). One
operates on 128-bit operands and the other operates on 64-bit operands. Multiplications are performed on each
vertical pair of data elements. The data elements in the source operand are signed byte values, the input data
elements of the destination operand are unsigned byte values.
PMADDUBSW multiplies each unsigned byte value with the corresponding signed byte value to produce an
intermediate, 16-bit signed integer. Each adjacent pair of 16-bit signed values are added horizontally. The
signed, saturated 16-bit results are packed to the destination operand.
1 2 .6 .4 P a cke d Mu lt ip ly H ig h w it h R o u n d a n d S ca le
There are two packed-multiply-high-with-round-and-scale instructions (represented by one mnemonic). One oper-
ates on 128-bit operands and the other operates on 64-bit operands.
PMULHRSW multiplies vertically each signed 16-bit integer from the destination operand with the corre-
sponding signed 16-bit integer of the source operand, producing intermediate, signed 32-bit integers. Each
intermediate 32-bit integer is truncated to the 18 most significant bits. Rounding is always performed by adding
1 to the least significant bit of the 18-bit intermediate result. The final result is obtained by selecting the 16 bits
immediately to the right of the most significant bit of each 18-bit intermediate result and packed to the
destination operand.
1 2 .6 .5 P a cke d S h u ffle B y t e s
There are two packed-shuffle-bytes instructions (represented by one mnemonic). One operates on 128-bit oper-
ands and the other operates on 64-bit operands. The shuffle operations are performed bytewise on the destination
operand using the source operand as a control mask.
PSHUFB permutes each byte in place, according to a shuffle control mask. The least significant three or four bits
of each shuffle control byte of the control mask form the shuffle index. The shuffle mask is unaffected. If the
most significant bit (bit 7) of a shuffle control byte is set, the constant zero is written in the result byte.
1 2 .6 .6 P a cke d S ig n
There are six packed-sign instructions (represented by three mnemonics). Three operate on 128-bit operands and
three operate on 64-bit operands. The widths of each data element for these instructions are 8 bit, 16 bit or 32 bit
signed integers.
PSIGNB/W/D negates each signed integer element of the destination operand if the sign of the corresponding
data element in the source operand is less than zero.
1 2 .6 .7 P a cke d Alig n R ig h t
There are two packed-align-right instructions (represented by one mnemonic). One operates on 128-bit operands
and the other operates on 64-bit operands. These instructions concatenate the destination and source operand into
a composite, and extract the result from the composite according to an immediate constant.
PALIGNRs source operand is appended after the destination operand forming an intermediate value of twice
the width of an operand. The result is extracted from the intermediate value into the destination operand by
selecting the 128-bit or 64-bit value that are right-aligned to the byte offset specified by the immediate value.
Vol. 1 12-9
PROGRAMMING WITH SSE3, SSSE3, SSE4 AND AESNI
1 2 .7 WR I T I N G AP P LI C AT I O N S WI T H S S S E 3 E XT E N S I O N S
The following sections give guidelines for writing application programs and operating-system code that use SSSE3
instructions.
1 2 .7.1 G u id e lin e s fo r U sin g S S S E 3 E x t e n sio n s
The following guidelines describe how to maximize the benefits of using SSSE3 extensions:
Check that the processor supports SSSE3 extensions.
Ensure that your operating system supports SSE/SSE2/SSE3/SSSE3 extensions. (Operating system support
for the SSE extensions implies sufficient support for SSE2, SSE3, and SSSE3.)
Employ the optimization and scheduling techniques described in the Intel 64 and IA-32 Architectures Optimi-
zation Reference Manual (see Section 1.4, Related Literature).
1 2 .7.2 C h e ck in g fo r S S S E 3 S u p p o rt
Before an application attempts to use the SSSE3 extensions, the application should follow the steps illustrated in
Section 11.6.2, Checking for SSE/SSE2 Support. Next, use the additional step provided below:
Check that the processor supports SSSE3 (if CPUID.01H:ECX.SSSE3[bit 9] = 1).
1 2 .8 S S E 3 /S S S E 3 AN D S S E 4 E XC E P T I O N S
SSE3, SSSE3, and SSE4 instructions can generate the same type of memory-access and non-numeric exceptions
as other Intel 64 or IA-32 instructions. Existing exception handlers generally handle these exceptions without code
modification.
FISTTP can generate floating-point exceptions. Some SSE3 instructions can also generate SIMD floating-point
exceptions.
SSE3 additions and changes are noted in the following sections. See also: Section 11.5, SSE, SSE2, and SSE3
Exceptions.
1 2 .8 .1 D e v ice N o t Av a ila b le (D N A) E x ce p t io n s
SSE3, SSSE3, and SSE4 will cause a DNA Exception (#NM) if the processor attempts to execute an SSE3 instruc-
tion while CR0.TS[bit 3] = 1. If CPUID.01H:ECX.SSE3[bit 0] = 0, execution of an SSE3 extension will cause an
invalid opcode fault regardless of the state of CR0.TS[bit 3].
Similarly, an attempt to execute an SSSE3 instruction on a processor that reports CPUID.01H:ECX.SSSE3[bit 9] =
0 will cause an invalid opcode fault regardless of the state of CR0.TS[bit 3]. An attempt to execute an SSE4.1
instruction on a processor that reports CPUID.01H:ECX.SSE4_1[bit 19] = 0 will cause an invalid opcode fault
regardless of the state of CR0.TS[bit 3].
An attempt to execute PCMPGTQ or any one of the four string processing instructions in SSE4.2 on a processor that
reports CPUID.01H:ECX.SSSE3[bit 20] = 0 will cause an invalid opcode fault regardless of the state of
CR0.TS[bit 3]. CRC32 and POPCNT do not cause #NM.
1 2 .8 .2 N u m e ric E rro r fla g a n d I G N N E #
Most SSE3 instructions ignore CR0.NE[bit 5] (treats it as if it were always set) and the IGNNE# pin. With one
exception, all use the vector 19 software exception for error reporting. The exception is FISTTP; it behaves like
other x87-FP instructions.
SSSE3 instructions ignore CR0.NE[bit 5] (treats it as if it were always set) and the IGNNE# pin.
12-10 Vol. 1
PROGRAMMING WITH SSE3, SSSE3, SSE4 AND AESNI
SSSE3 instructions do not cause floating-point errors. Floating-point numeric errors for SSE4.1 are described in
Section 12.8.4. SSE4.2 instructions do not cause floating-point errors.
1 2 .8 .3 E m u la t io n
CR0.EM is used by some software to emulate x87 floating-point instructions, CR0.EM[bit 2] cannot be used for
emulation of SSE, SSE2, SSE3, SSSE3, and SSE4. If an SSE3, SSSE3, and SSE4 instruction executes with
CR0.EM[bit 2] set, an invalid opcode exception (INT 6) is generated instead of a device not available exception (INT
7).
1 2 .8 .4 I E E E 7 5 4 C o m p lia n ce o f S S E 4 .1 F lo a t in g -P o in t I n st ru ct io n s
The six SSE4.1 instructions that perform floating-point arithmetic are:
DPPS
DPPD
ROUNDPS
ROUNDPD
ROUNDSS
ROUNDSD
Dot Product operations are not specified in IEEE-754. When neither FTZ nor DAZ are enabled, the dot product
instructions resemble sequences of IEEE-754 multiplies and adds (with rounding at each stage), except that the
treatment of input NaNs is implementation specific (there will be at least one NaN in the output). The input select
fields (bits imm8[4:7]) force input elements to +0.0f prior to the first multiply and will suppress input exceptions
that would otherwise have been be generated.
As a convenience to the exception handler, any exceptions signaled from DPPS or DPPD leave the destination
unmodified.
Round operations signal invalid and precision only.
The other SSE4.1 instructions with floating-point arguments (BLENDPS, BLENDPD, BLENDVPS, BLENDVPD,
INSERTPS, EXTRACTPS) do not signal any SIMD numeric exceptions.
1 2 .9 S S E 4 O VE R V I E W
SSE4 comprises of two sets of extensions: SSE4.1 and SSE4.2. SSE4.1 is targeted to improve the performance of
media, imaging, and 3D workloads. SSE4.1 adds instructions that improve compiler vectorization and significantly
Ta b le 1 2 -1 . S I MD n u m e ric e x ce p t io n s sig n a le d b y S S E 4 .1
DPPS DPPD ROUNDPS
ROUNDSS
ROUNDPD
ROUNDSD
Overflow X X
Underflow X X
Invalid X X X
(1)
X
(1)
Inexact Precision X X X
(2)
X
(2)
Denormal X X
N O T E :
1. Invalid is signaled only if Src =SNaN.
2. Precision is ignored (regardless of the MXCSR precision mask) if if imm8[3] =1.
Vol. 1 12-11
PROGRAMMING WITH SSE3, SSSE3, SSE4 AND AESNI
increase support for packed dword computation. The technology also provides a hint that can improve memory
throughput when reading from uncacheable WC memory type.
The 47 SSE4.1 instructions include:
Two instructions perform packed dword multiplies.
Two instructions perform floating-point dot products with input/output selects.
One instruction performs a load with a streaming hint.
Six instructions simplify packed blending.
Eight instructions expand support for packed integer MIN/MAX.
Four instructions support floating-point round with selectable rounding mode and precision exception override.
Seven instructions improve data insertion and extractions from XMM registers
Twelve instructions improve packed integer format conversions (sign and zero extensions).
One instruction improves SAD (sum absolute difference) generation for small block sizes.
One instruction aids horizontal searching operations.
One instruction improves masked comparisons.
One instruction adds qword packed equality comparisons.
One instruction adds dword packing with unsigned saturation.
The seven SSE4.2 instructions improve performance in the following areas:
String and text processing that can take advantage of single-instruction multiple-data programming
techniques.
Application-targeted accelerator (ATA) instructions.
A SIMD integer instruction that enhances the capability of the 128-bit integer SIMD capability in SSE4.1.
1 2 .1 0 S S E 4 .1 I N S T R U C T I O N S E T
1 2 .1 0 .1 D w o rd Mu lt ip ly I n st ru ct io n s
SSE4.1 adds two dword multiply instructions that aid vectorization. They allow four simultaneous 32 bit by 32 bit
multiplies. PMULLD returns a low 32-bits of the result and PMULDQ returns a 64-bit signed result. These represent
the most common integer multiply operation. See Table 12-2.
1 2 .1 0 .2 F lo a t in g -P o in t D o t P ro d u ct I n st ru ct io n s
SSE4.1 adds two instructions for double-precision (for up to 2 elements; DPPD) and single-precision dot products
(for up to 4 elements; DPPS).
These dot-product instructions include source select and destination broadcast which generally improves the flex-
ibility. For example, a single DPPS instruction can be used for a 2, 3, or 4 element dot product.
Ta b le 1 2 -2 . E n h a n ce d 3 2 -b it S I MD Mu lt ip ly S u p p o rt e d b y S S E 4 .1
3 2 b it I n t e g e r O p e ra t io n
u n sig n e d x u n sig n e d sig n e d x sig n e d
R
e
s
u
l
t
Lo w 3 2 -b it (not available) PMULLD
H ig h 3 2 -b it (not available) (not available)
6 4 -b it PMULUDQ* PMULDQ
N O T E :
* Available prior to SSE4.1.
12-12 Vol. 1
PROGRAMMING WITH SSE3, SSSE3, SSE4 AND AESNI
1 2 .1 0 .3 S t re a m in g Lo a d H in t I n st ru ct io n
Historically, CPU read accesses of WC memory type regions have significantly lower throughput than accesses to
cacheable memory.
The streaming load instruction in SSE4.1, MOVNTDQA, provides a non-temporal hint that can cause adjacent 16-
byte items within an aligned 64-byte region of WC memory type (a streaming line) to be fetched and held in a small
set of temporary buffers (streaming load buffers). Subsequent streaming loads to other aligned 16-byte items in
the same streaming line may be satisfied from the streaming load buffer and can improve throughput.
Programmers are advised to use the following practices to improve the efficiency of MOVNTDQA streaming loads
from WC memory:
Streaming loads must be 16-byte aligned.
Temporally group streaming loads of the same streaming cache line for effective use of the small number of
streaming load buffers. If loads to the same streaming line are excessively spaced apart, it may cause the
streaming line to be re-fetched from memory.
Temporally group streaming loads from at most a few streaming lines together. The number of streaming load
buffers is small; grouping a modest number of streams will avoid running out of streaming load buffers and the
resultant re-fetching of streaming lines from memory.
Avoid writing to a streaming line until all 16-byte-aligned reads from the streaming line have occurred. Reading
a 16-byte item from a streaming line that has been written, may cause the streaming line to be re-fetched.
Avoid reading a given 16-byte item within a streaming line more than once; repeated loads of a particular 16-
byte item are likely to cause the streaming line to be re-fetched.
The streaming load buffers, reflecting the WC memory type characteristics, are not required to be snooped by
operations from other agents. Software should not rely upon such coherency actions to provide any data
coherency with respect to other logical processors or bus agents. Rather, software must insure the consistency
of WC memory accesses between producers and consumers.
Streaming loads may be weakly ordered and may appear to software to execute out of order with respect to
other memory operations. Software must explicitly use fences (e.g. MFENCE) if it needs to preserve order
among streaming loads or between streaming loads and other memory operations.
Streaming loads must not be used to reference memory addresses that are mapped to I/O devices having side
effects or when reads to these devices are destructive. This is because MOVNTDQA is speculative in nature.
Example 12-1 and Example 12-2 give two sketches of the basic assembly sequences that illustrate the principles of
using MOVNTDQA in a situation of a pair of producer-consumer accessing a WC memory region.
Vol. 1 12-13
PROGRAMMING WITH SSE3, SSSE3, SSE4 AND AESNI
E x a m p le 1 2 -1 . S ke t ch o f MO VN T D Q A U sa g e o f a C o n su m e r a n d a P C I P ro d u ce r
// P0: producer is a PCI device writing into the WC space
# the PCI device updates status through a UC flag, "u_dev_status" .
# the protocol for "u_dev_status" : 0: produce; 1: consume; 2: all done
mov eax, $0
mov [u_dev_status], eax
producerStart:
mov eax, [u_dev_status] # poll status flag to see if consumer is requestion data
cmp eax, $0 #
jne done # I no longer need to produce
commence PCI writes to WC region..
mov eax, $1 # producer ready to notify the consumer via status flag
mov [u_dev_status], eax
# now wait for consumer to signal its status
spinloop:
cmp [u_dev_status], $1 # did I get a signal from the consumer ?
jne producerStart # yes I did
jmp spinloop # check again
done:
// producer is finished at this point
// P1: consumer check PCI status flag to consume WC data
mov eax, $0 # request to the producer
mov [u_dev_status], eax
consumerStart:
mov; eax, [u_dev_status] # reads the value of the PCI status
cmp eax, $1 # has producer written
jne consumerStart # tight loop; make it more efficient with pause, etc.
mfence # producer finished device writes to WC, ensure WC region is coherent
ntread:
movntdqa xmm0, [addr]
movntdqa xmm1, [addr +16]
movntdqa xmm2, [addr +32]
movntdqa xmm3, [addr +48]
# do any more NT reads as needed
mfence # ensure PCI device reads the correct value of [u_dev_status]
# now decide whether we are done or we need the producer to produce more data
# if we are done write a 2 into the variable, otherwise write a 0 into the variable
mov eax, $0/$2 # end or continue producing
mov [u_dev_status], eax
# if I want to consume again I will jump back to consumerStart after storing a 0 into eax
# otherwise I amdone
12-14 Vol. 1
PROGRAMMING WITH SSE3, SSSE3, SSE4 AND AESNI
E x a m p le 1 2 -2 . S ke t ch o f MO VN T D Q A U sa g e o f P ro d u ce r-C o n su m e r T h re a d s
// P0: producer writes into the WC space
# xchg is an implicitly locked operation.
producerStart:
# We use a locked operation to prevent any races between the producer and the consumer
# updating this variable. Assume initial value is 0
mov eax, $0
xchg eax, [signalVariable] # signalVariable is used for communicating
cmp eax, $0 # am I supposed to be writing for the consumer
jne done # I no longer need to produce
movntdq [addr1], xmm0 # producer writes the data
movntdq [addr2], xmm1 # ..
.
# We will again use a locked instruction. Serves 2 purposes. Updated value signals to the consumer and
# the serialization of the lock flushes all the WC stores to memory
mov eax, $1
xchg [signalVariable], eax # signal to the consumer
# For simplicity, we show a spin loop, more efficient spin loop can be done using PAUSE
spinloop:
cmp [signalVariable], $1 # did I get a signal from the consumer ?
jne producerStart # yes I did
jmp spinloop # check again
done:
// producer is finished at this point
// P1: consumer reads from write combining space
mov eax, $0
consumerStart:
lock; xadd [signalVariable], eax # reads the value of the signal variable in
cmp eax, $1 # has producer written to signal its state?
jne consumerStart # simple loop; replace with PAUSE to make it more efficient.
# read the data from the WC memory space with MOVNTDQA to achieve higher throughput
ntread: # keep reads fromthe same cache line as close together as possible
movntdqa xmm0, [addr]
movntdqa xmm1, [addr +16]
movntdqa xmm2, [addr +32]
movntdqa xmm3, [addr +48]
# since a lock prevents younger MOVNTDQA from passing it, the
# above non temporal loads will happen only after the producer has signaled
# do any more NT reads as needed
# now decide whether we are done or we need the producer to produce more data
# if we are done write a 2 into the variable, otherwise write a 0 into the variable
mov eax, $0/$2 # end or continue producing
xchg [signalVariable], eax
# if I want to consume again I will jump back to consumerStart after storing a 0 into eax
# otherwise I am done
Vol. 1 12-15
PROGRAMMING WITH SSE3, SSSE3, SSE4 AND AESNI
1 2 .1 0 .4 P a cke d B le n d in g I n st ru ct io n s
SSE4.1 adds 6 instructions used for blending (BLENDPS, BLENDPD, BLENDVPS, BLENDVPD, PBLENDVB,
PBLENDW).
Blending conditionally copies a data element in a source operand to the same element in the destination. SSE4.1
instructions improve blending operations for most field sizes. A single new SSE4.1 instruction can generally replace
a sequence of 2 to 4 operations using previous architectures.
The variable blend instructions (BLENDVPS, BLENDVPD, PBLENDW) introduce the use of control bits stored in an
implicit XMM register (XMM0). The most significant bit in each field (the sign bit, for 2s complement integer or
floating-point) is used as a selector. See Table 12-3.
1 2 .1 0 .5 P a cke d I n t e g e r MI N /MAX I n st ru ct io n s
SSE4.1 adds 8 packed integer MIN and MAX instructions (PMINUW, PMINUD, PMINSB, PMINSD; PMAXUW,
PMAXUD, PMAXSB, PMAXSD).
Four 32-bit integer packed MIN and MAX instructions operate on unsigned and signed dwords. Two instructions
operate on signed bytes. Two instructions operate on unsigned words. See Table 12-4.
1 2 .1 0 .6 F lo a t in g -P o in t R o u n d I n st ru ct io n s w it h S e le ct a b le R o u n d in g Mo d e
High level languages and libraries often expose rounding operations having a variety of numeric rounding and
exception behaviors. Using SSE/SSE2/SSE3 instructions to mitigate the rounding-mode-related problem is some-
times not straight forward.
SSE4.1 introduces four rounding instructions (ROUNDPS, ROUNDPD, ROUNDSS, ROUNDSD) that cover scalar and
packed single- and double-precision floating-point operands. The rounding mode can be selected using an imme-
diate from one of the IEEE-754 modes (Nearest, -Inf, +Inf, and Truncate) without changing the current rounding
Ta b le 1 2 -3 . B le n d F ie ld S ize a n d C o n t ro l Mo d e s S u p p o rt e d b y S S E 4 .1
I n st ru ct io n s
P a cke d
D o u b le F P
P a cke d
S in g le F P
P a cke d
Q Wo rd
P a cke d
D Wo rd
P a cke d
Wo rd P a cke d B y t e B le n d C o n t ro l
BLENDPS X Imm8
BLENDPD X Imm8
BLENDVPS X X
(1)
XMM0
BLENDVPD X X
(1)
XMM0
PBLENDVB
(2) (2) (2)
X XMM0
PBLENDW X X X Imm8
N O T E :
1. Use of floating-point SIMD instructions on integer data types may incur performance penalties.
2. Byte variable blend can be used for larger sized fields by reformatting (or shuffling) the blend control.
Ta b le 1 2 -4 . E n h a n ce d S I MD I n t e g e r MI N /MAX I n st ru ct io n s S u p p o rt e d b y S S E 4 .1
I n t e g e r Wid t h
B y t e Wo rd D Wo rd
I n t e g e r
Fo rm a t
U n sig n e d
PMINUB*
PMAXUB*
PMINUW
PMAXUW
PMINUD
PMAXUD
S ig n e d
PMINSB
PMAXSB
PMINSW*
PMAXSW*
PMINSD
PMAXSD
N O T E :
* Available prior to SSE4.1.
12-16 Vol. 1
PROGRAMMING WITH SSE3, SSSE3, SSE4 AND AESNI
mode; or the the instruction can be forced to use the current rounding mode. Another bit in the immediate is used
to suppress inexact precision exceptions.
Rounding instructions in SSE4.1 generally permit single-instruction solutions to C99 functions ceil(), floor(),
trunc(), rint(), nearbyint(). These instructions simplify the implementations of half-way-away-from-zero rounding
modes as used by C99 round() and F90s nint().
1 2 .1 0 .7 I n se rt io n a n d E x t ra ct io n s fro m XMM R e g ist e rs
SSE4.1 adds 7 instructions (corresponding to 9 assembly instruction mnemonics) that simplify data insertion and
extraction between general-purpose register (GPR) and XMM registers (EXTRACTPS, INSERTPS, PINSRB, PINSRD,
PINSRQ, PEXTRB, PEXTRW, PEXTRD, and PEXTRQ). When accessing memory, no alignment is required for any of
these instructions (unless alignment checking is enabled).
EXTRACTPS extracts a single-precision floating-point value from any dword offset in an XMM register and stores
the result to memory or a general-purpose register. INSERTPS inserts a single floating-point value from either a 32-
bit memory location or from specified element in an XMM register to a selected element in the destination XMM
register. In addition, INSERTPS allows the insertion of +0.0f into any destination elements using a mask.
PINSRB, PINSRD, and PINSRQ insert byte, dword, or qword integer values from a register or memory into an XMM
register. Insertion of integer word values were already supported by SSE2 (PINSRW).
PEXTRB, PEXTRW, PEXTRD, and PEXTRQ extract byte, word, dword, and qword from an XMM register and insert the
values into a general-purpose register or memory.
1 2 .1 0 .8 P a cke d I n t e g e r Fo rm a t C o n v e rsio n s
A common type of operation on packed integers is the conversion by zero- or sign-extension of packed integers into
wider data types. SSE4.1 adds 12 instructions that convert from a smaller packed integer type to a larger integer
type (PMOVSXBW, PMOVZXBW, PMOVSXBD, PMOVZXBD, PMOVSXWD, PMOVZXWD, PMOVSXBQ, PMOVZXBQ,
PMOVSXWQ, PMOVZXWQ, PMOVSXDQ, PMOVZXDQ).
The source operand is from either an XMM register or memory; the destination is an XMM register. See Table 12-5.
When accessing memory, no alignment is required for any of the instructions unless alignment checking is enabled.
In which case, all conversions must be aligned to the width of the memory reference. The number of elements
converted (and width of memory reference) is illustrated in Table 12-6. The alignment requirement is shown in
parenthesis.
Ta b le 1 2 -5 . N e w S I MD I n t e g e r co n v e rsio n s su p p o rt e d b y S S E 4 .1
S o u rce T y p e
Byte Word Dword
D
e
s
t
i
n
a
t
i
o
n
T
y
p
e
Signed Word
Unsigned Word
PMOVSXBW
PMOVZXBW
Signed Dword
Unsigned Dword
PMOVSXBD
PMOVZXBD
PMOVSXWD
PMOVZXWD
Signed Qword
Unsigned Qword
PMOVSXBQ
PMOVZXBQ
PMOVSXWQ
PMOVZXWQ
PMOVSXDQ
PMOVZXDQ
Vol. 1 12-17
PROGRAMMING WITH SSE3, SSSE3, SSE4 AND AESNI
1 2 .1 0 .9 I m p ro v e d S u m s o f Ab so lu t e D iffe re n ce s (S AD ) fo r 4 -B y t e B lo ck s
SSE4.1 adds an instruction (MPSADBW) that performs eight 4-byte wide SAD operations per instruction to produce
eight results. Compared to PSADBW, MPSADBW operates on smaller chunks (4-byte instead of 8-byte chunks); this
makes the instruction better suited to video coding standards such as VC.1 and H.264. MPSADBW performs four
times the number of absolute difference operations than that of PSADBW (per instruction). This can improve
performance for dense motion searches.
MPSADBW uses a 4-byte wide field from a source operand; the offset of the 4-byte field within the 128-bit source
operand is specified by two immediate control bits. MPSADBW produces eight 16-bit SAD results. Each 16-bit SAD
result is formed from overlapping pairs of 4 bytes in the destination with the 4-byte field from the source operand.
MPSADBW uses eleven consecutive bytes in the destination operand, its offset is specified by a control bit in the
immediate byte (i.e. the offset can be from byte 0 or from byte 4). Figure 12-4 illustrates the operation of
MPSADBW. MPSADBW can simplify coding of dense motion estimation by providing source and destination offset
control, higher throughput of SAD operations, and the smaller chunk size.
1 2 .1 0 .1 0 H o rizo n t a l S e a rch
SSE4.1 adds a search instruction (PHMINPOSUW) that finds the value and location of the minimum unsigned word
from one of 8 horizontally packed unsigned words. The resulting value and location (offset within the source) are
packed into the low dword of the destination XMM register.
Rapid search is often a significant component of motion estimation. MPSADBW and PHMINPOSUW can be used
together to improve video encode.
Ta b le 1 2 -6 . N e w S I MD I n t e g e r C o n v e rsio n s S u p p o rt e d b y S S E 4 .1
Source Type
Byte Word Dword
D
e
s
t
i
n
a
t
i
o
n
T
y
p
e
Word 8 (64 bits)
Dword 4 (32 bits) 4 (64 bits)
Qword 2 (16 bits) 2 (32 bits) 2 (64 bits)
F ig u re 1 2 -4 . MP S AD B W O p e ra t io n
Abs. Diff.
Sum
Imm[1:0]*32
Imm[2]*32
Source
Destination
0 127
16
0 127 96 64
12-18 Vol. 1
PROGRAMMING WITH SSE3, SSSE3, SSE4 AND AESNI
1 2 .1 0 .1 1 P a cke d Te st
The packed test instruction PTEST is similar to a 128-bit equivalent to the legacy instruction TEST. With PTEST, the
source argument is typically used like a bit mask.
PTEST performs a logical AND between the destination with this mask and sets the ZF flag if the result is zero. The
CF flag (zero for TEST) is set if the inverted mask ANDd with the destination is all zero. Because the destination is
not modified, PTEST simplifies branching operations (such as branching on signs of packed floating-point numbers,
or branching on zero fields).
1 2 .1 0 .1 2 P a cke d Q w o rd E q u a lit y C o m p a riso n s
SSE4.1 adds a 128-bit packed qword equality test. The new instruction (PCMPEQQ) is identical to PCMPEQD, but
has qword granularity.
1 2 .1 0 .1 3 D w o rd P a ck in g Wit h U n sig n e d S a t u ra t io n
SSE4.1 adds a new instruction PACKUSDW to complete the set of small integer pack instructions in the family of
SIMD instruction extensions. PACKUSDW packs dword to word with unsigned saturation. See Table 12-7 for the
complete set of packing instructions for small integers.
1 2 .1 1 S S E 4 .2 I N S T R U C T I O N S E T
Five of the seven SSE4.2 instructions can use an XMM register as a source or destination. These include four
text/string processing instructions and one packed quadword compare SIMD instruction. Programming these five
SSE4.2 instructions is similar to programming 128-bit Integer SIMD in SSE2/SSSE3. SSE4.2 does not provide any
64-bit integer SIMD instructions.
The remaining two SSE4.2 instructions uses general-purpose registers to perform accelerated processing functions
in specific application areas.
1 2 .1 1 .1 S t rin g a n d Te x t P ro ce ssin g I n st ru ct io n s
String and text processing instructions in SSE4.2 allocates 4 opcodes to provide a rich set of string and text
processing capabilities that traditionally required many more opcodes. These 4 instructions use XMM registers to
process string or text elements of up to 128-bits (16 bytes or 8 words). Each instruction uses an immediate byte to
support a rich set of programmable controls. A string-processing SSE4.2 instruction returns the result of
processing each pair of string elements using either an index or a mask.
The capabilities of the string/text processing instructions include:
Handling string/text fragments consisting of bytes or words, either signed or unsigned
Support for partial string or fragments less than 16 bytes in length, using either explicit length or implicit null-
termination
Four types of string compare operations on word/byte elements
Ta b le 1 2 -7. E n h a n ce d S I MD P a ck su p p o rt b y S S E 4 .1
P a ck T y p e
D Wo rd -> w o rd Wo rd -> B y t e
S
a
t
u
r
a
t
i
o
n

T
y
p
e
U n sig n e d PACKUSDW (new!) PACKUSWB
S ig n e d PACKSSDW PACKSSWB
Vol. 1 12-19
PROGRAMMING WITH SSE3, SSSE3, SSE4 AND AESNI
Up to 256 compare operations performed in a single instruction on all string/text element pairs
Built-in aggregation of intermediate results from comparisons
Programmable control of processing on intermediate results
Programmable control of output formats in terms of an index or mask
Bi-directional support for the index format
Support for two mask formats: bit or natural element width
Not requiring 16-byte alignment for memory operand
The four SSE4.2 instructions that process text/string fragments are:
PCMPESTRI Packed compare explicit-length strings, return index in ECX/RCX
PCMPESTRM Packed compare explicit-length strings, return mask in XMM0
PCMPISTRI Packed compare implicit-length strings, return index in ECX/RCX
PCMPISTRM Packed compare implicit-length strings, return mask in XMM0
All four require the use of an immediate byte to control operation. The two source operands can be XMM registers
or a combination of XMM register and memory address. The immediate byte provides programmable control with
the following attributes:
Input data format
Compare operation mode
Intermediate result processing
Output selection
Depending on the output format associated with the instruction, the text/string processing instructions implicitly
uses either a general-purpose register (ECX/RCX) or an XMM register (XMM0) to return the final result.
Two of the four text-string processing instructions specify string length explicitly. They use two general-purpose
registers (EDX, EAX) to specify the number of valid data elements (either word or byte) in the source operands.
The other two instructions specify valid string elements using null termination. A data element is considered valid
only if it has a lower index than the least significant null data element.
1 2 .1 1 .1 .1 Me m o ry O p e ra n d Alig n m e n t
The text and string processing instructions in SSE4.2 do not perform alignment checking on memory operands.
This is different from most other 128-bit SIMD instructions accessing the XMM registers. The absence of an align-
ment check for these four instructions does not imply any modification to the existing definitions of other instruc-
tions.
1 2 .1 1 .2 P a cke d C o m p a riso n S I MD I n t e g e r I n st ru ct io n
SSE4.2 also provides a 128-bit integer SIMD instruction PCMPGTQ that performs logical compare of greater-than
on packed integer quadwords.
1 2 .1 1 .3 Ap p lica t io n -Ta rg e t e d Acce le ra t o r I n st ru ct io n s
There are two application-targeted accelerator instructions in SSE4.2:
CRC32 Provides hardware acceleration to calculate cyclic redundancy checks for fast and efficient implemen-
tation of data integrity protocols.
POPCNT Accelerates software performance in the searching of bit patterns.
12-20 Vol. 1
PROGRAMMING WITH SSE3, SSSE3, SSE4 AND AESNI
1 2 .1 2 WR I T I N G AP P LI C AT I O N S WI T H S S E 4 E XT E N S I O N S
1 2 .1 2 .1 G u id e lin e s fo r U sin g S S E 4 E x t e n sio n s
The following guidelines describe how to maximize the benefits of using SSE4 extensions:
Check that the processor supports SSE4 extensions.
Ensure that your operating system supports SSE/SSE2/SSE3/SSSE3 extensions. (Operating system support
for the SSE extensions implies sufficient support for SSE2, SSE3, SSSE3, and SSE4.)
Employ the optimization and scheduling techniques described in the Intel 64 and IA-32 Architectures Optimi-
zation Reference Manual (see Section 1.4, Related Literature).
1 2 .1 2 .2 C h e ck in g fo r S S E 4 .1 S u p p o rt
Before an application attempts to use SSE4.1 instructions, the application should follow the steps illustrated in
Section 11.6.2, Checking for SSE/SSE2 Support. Next, use the additional step provided below:
Check that the processor supports SSE4.1 (if CPUID.01H:ECX.SSE4_1[bit 19] = 1), SSE3 (if
CPUID.01H:ECX.SSE3[bit 0] = 1), and SSSE3 (if CPUID.01H:ECX.SSSE3[bit 9] = 1).
1 2 .1 2 .3 C h e ck in g fo r S S E 4 .2 S u p p o rt
Before an application attempts to use the following SSE4.2 instructions: PCMPESTRI/PCMPESTRM/PCMP-
ISTRI/PCMPISTRM, PCMPGTQ;the application should follow the steps illustrated in Section 11.6.2, Checking for
SSE/SSE2 Support. Next, use the additional step provided below:
Check that the processor supports SSE4.2 (if CPUID.01H:ECX.SSE4_2[bit 20] = 1), SSE4.1 (if
CPUID.01H:ECX.SSE4_1[bit 19] = 1), and SSSE3 (if CPUID.01H:ECX.SSSE3[bit 9] = 1).
Before an application attempts to use the CRC32 instruction, it must check that the processor supports SSE4.2 (if
CPUID.01H:ECX.SSE4_2[bit 20] = 1).
Before an application attempts to use the POPCNT instruction, it must check that the processor supports SSE4.2 (if
CPUID.01H:ECX.SSE4_2[bit 20] = 1) and POPCNT (if CPUID.01H:ECX.POPCNT[bit 23] = 1).
1 2 .1 3 AE S N I O VE R V I E W
The AESNI extension provides six instructions to accelerate symmetric block encryption/decryption of 128-bit data
blocks using the Advanced Encryption Standard (AES) specified by the NIST publication FIPS 197. Specifically, two
instructions (AESENC, AESENCLAST) target the AES encryption rounds, two instructions (AESDEC, AESDECLAST)
target AES decryption rounds using the Equivalent Inverse Cipher. One instruction (AESIMC) targets the Inverse
MixColumn transformation primitive and one instruction (AESKEYGEN) targets generation of round keys from the
cipher key for the AES encryption/decryption rounds.
AES supports encryption/decryption using cipher key lengths of 128, 192, and 256 bits by processing the data
block in 10, 12, 14 rounds of predefined transformations. Figure 12-5 depicts the cryptographic processing of a
block of 128-bit plain text into cipher text.
Vol. 1 12-21
PROGRAMMING WITH SSE3, SSSE3, SSE4 AND AESNI
The predefined AES transformation primitives are described in the next few sections, they are also referenced in
the operation flow of instruction reference page of these instructions.
1 2 .1 3 .1 Lit t le -E n d ia n Arch it e ct u re a n d B ig -E n d ia n S p e cifica t io n (F I P S 1 9 7 )
FIPS 197 document defines the Advanced Encryption Standard (AES) and includes a set of test vectors for testing
all of the steps in the algorithm, and can be used for testing and debugging.
The following observation is important for using the AES instructions offered in Intel 64 Architecture: FIPS 197 text
convention is to write hex strings with the low-memory byte on the left and the high-memory byte on the right.
Intels convention is the reverse. It is similar to the difference between Big Endian and Little Endian notations.
In other words, a 128 bits vector in the FIPS document, when read from left to right, is encoded as [7:0, 15:8,
23:16, 31:24, 127:120]. Note that inside the byte, the encoding is [7:0], so the first bit from the left is the most
significant bit. In practice, the test vectors are written in hexadecimal notation, where pairs of hexadecimal digits
define the different bytes. To translate the FIPS 197 notation to an Intel 64 architecture compatible (Little
Endian) format, each test vector needs to be byte-reflected to [127:120, 31:24, 23:16, 15:8, 7:0].
Example A:
FIPS Test vector: 0x000102030405060708090a0b0c0d0e0f
Intel AES Hardware: 0x0f0e0d0c0b0a09080706050403020100
It should be pointed out that the only thing at issue is a textual convention, and programmers do not need to
perform byte-reversal in their code, when using the AES instructions.
1 2 .1 3 .1 .1 AE S D a t a S t ru ct u re in I n t e l 6 4 Arch it e ct u re
The AES instructions that are defined in this document operate on one or on two 128 bits source operands: State
and Round Key. From the architectural point of view, the state is input in an xmm register and the Round key is
input either in an xmm register or a 128-bit memory location.
In AES algorithm, the state (128 bits) can be viewed as 4 32-bit doublewords (Words in AES terminology): X3,
X2, X1, X0.
The state may also be viewed as a set of 16 bytes. The 16 bytes can also be viewed as a 4x4 matrix of bytes where
S(i, j) with i, j = 0, 1, 2, 3 compose the 32-bit words as follows:
X0 = S (3, 0) S (2, 0) S (1, 0) S (0, 0)
X1 = S (3, 1) S (2, 1) S (1, 1) S (0, 1)
X2 = S (3, 2) S (2, 2) S (1, 2) S (0, 2)
X3 = S (3, 3) S (2, 3) S (1, 3) S (0, 3)
The following tables, Table 12-8 through Table 12-11, illustrate various representations of a 128-bit state.
F ig u re 1 2 -5 . AE S S t a t e F lo w
Plain text AES State
RK(0)
XOR Rounds 2.. n-2
Round 1
Last
RK(1)
RK(n-1)
AES State AES State Cipher text
AES-128: n = 10
AES-192: n = 12
AES-256: n = 14
Round
n-1
12-22 Vol. 1
PROGRAMMING WITH SSE3, SSSE3, SSE4 AND AESNI
Example:
FIPS vector: d4 bf 5d 30 e0 b4 52 ae b8 41 11 f1 1e 27 98 e5
This vector has the least significant byte d4 and the significant byte e5 (written in Big Endian format in the FIPS
document). When it is translated to IA notations, the encoding is:
1 2 .1 3 .2 AE S T ra n sfo rm a t io n s a n d F u n ct io n s
The following functions and transformations are used in the algorithmic descriptions of AES instruction extensions
AESDEC, AESDECLAST, AESENC, AESENCLAST, AESIMC, AESKEYGENASSIST.
Note that these transformations are expressed here in a Little Endian format (and not as in the FIPS 197 docu-
ment).
MixColumns(): A byte-oriented 4x4 matrix transformation on the matrix representation of a 128-bit AES state.
A FIPS-197 defined 4x4 matrix is multiplied to each 4x1 column vector of the AES state. The columns are
considered polynomials with coefficients in the Finite Field that is used in the definition of FIPS 197, the
operations (multiplication and addition) are in that Finite Field, and the polynomials are reduced modulo
x
4
+1.
The MixColumns() transformation defines the relationship between each byte of the result state, represented
as S(i, j) of a 4x4 matrix (see Section 12.13.1), as a function of input state bytes, S(i, j), as follows
S(0, j) FF_MUL( 02H, S(0, j) ) XOR FF_MUL(03H, S(1, j) ) XOR S(2, j) XOR S(3, j)
Ta b le 1 2 -8 . B y t e a n d 3 2 -b it Wo rd R e p re se n t a t io n o f a 1 2 8 -b it S t a t e
Byte # 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
Bit Position 1 2 7 -
1 2 0
1 1 9 -
1 1 2
1 1 1 -
1 0 3
1 0 3 -
9 6
9 5 -
8 8
8 7 -
8 0
7 9 -
7 2
7 1 -
6 4
6 3 -
5 6
5 5 -
4 8
4 7 -
4 0
3 9 -
3 2
3 1 -
2 4
2 3 -
1 6
1 5 -
8
7 -
0
127 - 96 95 - 64 64 - 32 31 - 0
State Word X3 X2 X1 X0
State Byte P O N M L K J I H G F E D C B A
Ta b le 1 2 -9 . Ma t rix R e p re se n t a t io n o f a 1 2 8 -b it S t a t e
A E I M S(0, 0) S(0, 1) S(0, 2) S(0, 3)
B F J N S(1, 0) S(1, 1) S(1, 2) S(1, 3)
C G K O S(2, 0) S(2, 1) S(2, 2) S(2, 3)
D H L P S(3, 0) S(3, 1) S(3, 2) S(3, 3)
Ta b le 1 2 -1 0 . Lit t le E n d ia n R e p re se n t a t io n o f a 1 2 8 -b it S t a t e
Byte # 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
State Byte P O N M L K J I H G F E D C B A
State Value e5 98 27 1e f1 11 41 b8 ae 52 b4 e0 30 5d bf d4
Ta b le 1 2 -1 1 . Lit t le E n d ia n R e p re se n t a t io n o f a 4 x 4 B y t e Ma t rix
A E I M d4 e0 b8 1e
B F J N bf b4 41 27
C G K O 5d 52 11 98
D H L P 30 ae f1 e5
Vol. 1 12-23
PROGRAMMING WITH SSE3, SSSE3, SSE4 AND AESNI
S(1, j) S(0, j) XOR FF_MUL( 02H, S(1, j) ) XOR FF_MUL(03H, S(2, j) ) XOR S(3, j)
S(2, j) S(0, j) XOR S(1, j) XOR FF_MUL( 02H, S(2, j) ) XOR FF_MUL(03H, S(3, j) )
S(3, j) FF_MUL(03H, S(0, j) ) XOR S(1, j) XOR S(2, j) XOR FF_MUL( 02H, S(3, j) )
where j = 0, 1, 2, 3. FF_MUL(Byte1, Byte2) denotes the result of multiplying two elements (represented by
Byte1 and byte2) in the Finite Field representation that defines AES. The result of produced bye
FF_MUL(Byte1, Byte2) is an element in the Finite Field (represented as a byte). A Finite Field is a field with a
finite number of elements, and when this number can be represented as a power of 2 (2n), its elements can
be represented as the set of 2n binary strings of length n. AES uses a finite field with n=8 (having 256
elements). With this representation, addition of two elements in that field is a bit-wise XOR of their binary-
string representation, producing another element in the field. Multiplication of two elements in that field is
defined using an irreducible polynomial (for AES, this polynomial is m(x) = x
8
+ x
4
+ x
3
+ x + 1). In this
Finite Field representation, the bit value of bit position k of a byte represents the coefficient of a polynomial of
order k, e.g., 1010_1101B (ADH) is represented by the polynomial (x
7
+ x
5
+ x
3
+ x
2
+ 1). The byte value
result of multiplication of two elements is obtained by a carry-less multiplication of the two corresponding
polynomials, followed by reduction modulo the polynomial, where the remainder is calculated using
operations defined in the field. For example, FF_MUL(57H, 83H) = C1H, because the carry-less polynomial
multiplication of the polynomials represented by 57H and 83H produces (x
13
+ x
11
+ x
9
+ x
8
+ x
6
+ x
5
+ x
4
+ x
3
+ 1), and the remainder modulo m(x) is (x
7
+ x
6
+ 1).
RotWord(): performs a byte-wise cyclic permutation (rotate right in little-endian byte order) on a 32-bit AES
word.
The output word X[j] of RotWord(X[j]) where X[j] represent the four bytes of column j, S(i, j), in descending
order X[j] = ( S(3, j), S(2, j), S(1, j), S(0, j) ); X[j] = ( S(3, j), S(2, j), S(1, j), S(0, j) ) ( S(0, j), S(3,
j), S(2, j), S(1, j) )
ShiftRows(): A byte-oriented matrix transformation that processes the matrix representation of a 16-byte AES
state by cyclically shifting the last three rows of the state by different offset to the left, see Table 12-12.
SubBytes(): A byte-oriented transformation that processes the 128-bit AES state by applying a non-linear
substitution table (S-BOX) on each byte of the state.
The SubBytes() function defines the relationship between each byte of the result state S(i, j) as a function of
input state byte S(i, j), by
S(i, j) S-Box (S(i, j)[7:4], S(i, j)[3:0])
where S-BOX( S[7:4], S[3:0]) represents a look-up operation on a 16x16 table to return a byte value, see
Table 12-13.
Ta b le 1 2 -1 2 . T h e S h if t R o w s T ra n sfo rm a t io n
Ma t rix R e p re se n t a t io n o f I n p u t S t a t e O u t p u t o f S h if t R o w s
A E I M A E I M
B F J N F J N B
C G K O K O C G
D H L P P D H L
12-24 Vol. 1
PROGRAMMING WITH SSE3, SSSE3, SSE4 AND AESNI
SubWord(): produces an output AES word (four bytes) from the four bytes of an input word using a non-linear
substitution table (S-BOX).
X[j] = ( S(3, j), S(2, j), S(1, j), S(0, j) ) ( S-Box (S(3, j)), S-Box( S(2, j) ), S-Box( S(1, j) ), S-Box( S(0,
j) ))
InvMixColumns(): The inverse transformation of MixColumns().
The InvMixColumns() transformation defines the relationship between each byte of the result state S(i, j) as
a function of input state bytes, S(i, j), by
S(0, j) FF_MUL( 0eH, S(0, j) ) XOR FF_MUL(0bH, S(1, j) ) XOR FF_MUL(0dH, S(2, j) ) XOR FF_MUL( 09H,
S(3, j) )
S(1, j) FF_MUL(09H, S(0, j) ) XOR FF_MUL( 0eH, S(1, j) ) XOR FF_MUL(0bH, S(2, j) ) XOR FF_MUL( 0dH,
S(3, j) )
S(2, j) FF_MUL(0dH, S(0, j) ) XOR FF_MUL( 09H, S(1, j) ) XOR FF_MUL( 0eH, S(2, j) ) XOR FF_MUL(0bH,
S(3, j) )
S(3, j) FF_MUL(0bH, S(0, j) ) XOR FF_MUL(0dH, S(1, j) ) XOR FF_MUL( 09H, S(2, j) ) XOR FF_MUL( 0eH,
S(3, j) ), where j = 0, 1, 2, 3.
InvShiftRows(): The inverse transformation of InvShiftRows(). The InvShiftRows() transforms the matrix
representation of a 16-byte AES state by cyclically shifting the last three rows of the state by different offset to
the right, see Table 12-14.
Ta b le 1 2 -1 3 . Lo o k -u p Ta b le Asso cia t e d w it h S -B o x T ra n sfo rm a t io n
S[3:0]
0 1 2 3 4 5 6 7 8 9 a b c d e f
S[7:4]
0 6 3 7 c 7 7 7 b f2 6 b 6 f c5 3 0 0 1 6 7 2 b fe d 7 a b 7 6
1 ca 8 2 c9 7 d fa 5 9 4 7 f0 a d d 4 a 2 a f 9 c a 4 7 2 c0
2 b 7 fd 9 3 2 6 3 6 3 f f 7 cc 3 4 a 5 e 5 f 1 7 1 d 8 3 1 1 5
3 0 4 c7 2 3 c3 1 8 9 6 0 5 9 a 0 7 1 2 8 0 e 2 e b 2 7 b 2 7 5
4 0 9 8 3 2 c 1 a 1 b 6 e 5 a a 0 5 2 3 b d 6 b 3 2 9 e 3 2 f 8 4
5 5 3 d 1 0 0 e d 2 0 fc b 1 5 b 6 a cb b e 3 9 4 a 4 c 5 8 cf
6 d 0 e f a a f b 4 3 4 d 3 3 8 5 4 5 f 9 0 2 7 f 5 0 3 c 9 f a 8
7 5 1 a 3 4 0 8 f 9 2 9 d 3 8 f5 b c b 6 d a 2 1 1 0 ff f 3 d 2
8 cd 0 c 1 3 e c 5 f 9 7 4 4 1 7 c4 a 7 7 e 3 d 6 4 5 d 1 9 7 3
9 6 0 8 1 4 f d c 2 2 2 a 9 0 8 8 4 6 e e b 8 1 4 d e 5 e 0 b d b
a e 0 3 2 3 a 0 a 4 9 0 6 2 4 5 c c2 d 3 a c 6 2 9 1 9 5 e 4 7 9
b e 7 c8 3 7 6 d 8 d d 5 4 e a 9 6 c 5 6 f4 e a 6 5 7 a a e 0 8
c b a 7 8 2 5 2 e 1 c a 6 b 4 c6 e 8 d d 7 4 1 f 4 b b d 8 b 8 a
d 7 0 3 e b 5 6 6 4 8 0 3 f 6 0 e 6 1 3 5 5 7 b 9 8 6 c1 1 d 9 e
e e 1 f8 9 8 1 1 6 9 d 9 8 e 9 4 9 b 1 e 8 7 e 9 ce 5 5 2 8 d f
f 8 c a 1 8 9 0 d b f e 6 4 2 6 8 4 1 9 9 2 d 0 f b 0 5 4 b b 1 6
Vol. 1 12-25
PROGRAMMING WITH SSE3, SSSE3, SSE4 AND AESNI
InvSubBytes(): The inverse transformation of SubBytes().
The InvSubBytes() transformation defines the relationship between each byte of the result state S(i, j) as a
function of input state byte S(i, j), by
S(i, j) InvS-Box (S(i, j)[7:4], S(i, j)[3:0])
where InvS-BOX( S[7:4], S[3:0]) represents a look-up operation on a 16x16 table to return a byte value, see
Table 12-15.
1 2 .1 3 .3 P C LMU LQ D Q
The PCLMULQDQ instruction performs carry-less multiplication of two 64-bit data into a 128-bit result. Carry-less
multiplication of two 128-bit data into a 256-bit result can use PCLMULQDQ as building blocks.
Carry-less multiplication is a component of many cryptographic systems. It is an important piece of implementing
Galois Counter Mode (GCM) operation of block ciphers. GCM operation can be used in conjunction with AES algo-
rithms to add authentication capability. GCM usage models also include IPsec, storage standard, and security
protocols over fiber channel. Additionally, PCLMULQDQ can be used in calculations of hash functions and CRC using
arbitrary polynomials.
Ta b le 1 2 -1 4 . T h e I n v S h if t R o w s T ra n sfo rm a t io n
Ma t rix R e p re se n t a t io n o f I n p u t S t a t e O u t p u t o f S h ift R o w s
A E I M A E I M
B F J N N B F J
C G K O K O C G
D H L P H L P D
Ta b le 1 2 -1 5 . Lo o k -u p Ta b le Asso cia t e d w it h I n v S -B o x T ra n sfo rm a t io n
S[3:0]
0 1 2 3 4 5 6 7 8 9 a b c d e f
S[7:4]
0 5 2 0 9 6 a d 5 3 0 3 6 a 5 3 8 b f 4 0 a 3 9 e 8 1 f3 d 7 f b
1 7 c e 3 3 9 8 2 9 b 2 f ff 8 7 3 4 8 e 4 3 4 4 c4 d e e 9 cb
2 5 4 7 b 9 4 3 2 a 6 c2 2 3 3 d e e 4 c 9 5 0 b 4 2 fa c3 4 e
3 0 8 2 e a 1 6 6 2 8 d 9 2 4 b 2 7 6 5 b a 2 4 9 6 d 8 b d 1 2 5
4 7 2 f8 f6 6 4 8 6 6 8 9 8 1 6 d 4 a 4 5 c cc 5 d 6 5 b 6 9 2
5 6 c 7 0 4 8 5 0 fd e d b 9 d a 5 e 1 5 4 6 5 7 a 7 8 d 9 d 8 4
6 9 0 d 8 a b 0 0 8 c b c d 3 0 a f7 e 4 5 8 0 5 b 8 b 3 4 5 0 6
7 d 0 2 c 1 e 8 f ca 3 f 0 f 0 2 c1 a f b d 0 3 0 1 1 3 8 a 6 b
8 3 a 9 1 1 1 4 1 4 f 6 7 d c e a 9 7 f2 cf ce f0 b 4 e 6 7 3
9 9 6 a c 7 4 2 2 e 7 a d 3 5 8 5 e 2 f9 3 7 e 8 1 c 7 5 d f 6 e
a 4 7 f1 1 a 7 1 1 d 2 9 c5 8 9 6 f b 7 6 2 0 e a a 1 8 b e 1 b
b fc 5 6 3 e 4 b c6 d 2 7 9 2 0 9 a d b c0 fe 7 8 cd 5 a f 4
c 1 f d d a 8 3 3 8 8 0 7 c7 3 1 b 1 1 2 1 0 5 9 2 7 8 0 e c 5 f
d 6 0 5 1 7 f a 9 1 9 b 5 4 a 0 d 2 d e 5 7 a 9 f 9 3 c9 9 c e f
e a 0 e 0 3 b 4 d a e 2 a f5 b 0 c8 e b b b 3 c 8 3 5 3 9 9 6 1
f 1 7 2 b 0 4 7 e b a 7 7 d 6 2 6 e 1 6 9 1 4 6 3 5 5 2 1 0 c 7 d
12-26 Vol. 1
PROGRAMMING WITH SSE3, SSSE3, SSE4 AND AESNI
1 2 .1 3 .4 C h e ck in g fo r AE S N I S u p p o rt
Before an application attempts to use AESNI instructions or PCLMULQDQ, the application should follow the steps
illustrated in Section 11.6.2, Checking for SSE/SSE2 Support. Next, use the additional step provided below:
Check that the processor supports AESNI (if CPUID.01H:ECX.AESNI[bit 25] = 1); check that the processor
supports PCLMULQDQ (if CPUID.01H:ECX.PCLMULQDQ[bit 1] = 1).
Vol. 1 13-1
C H AP T E R 1 3
P R O G R AMMI N G WI T H AV X, F MA AN D AV X2
Intel Advanced Vector Extensions (AVX) introduces 256-bit vector processing capability. The Intel AVX instruction
set extends 128-bit SIMD instruction sets by employing a new instruction encoding scheme via a vector extension
prefix (VEX). Intel AVX also offers several enhanced features beyond those available in prior generations of 128-bit
SIMD extensions.
FMA (Fused Multiply Add) extensions enhances Intel AVX further in floating-point numeric computations. FMA
provides high-throughput, arithmetic operations cover fused multiply-add, fused multiply-subtract, fused multiply
add/subtract interleave, signed-reversed multiply on fused multiply-add and multiply-subtract.
Intel AVX2 provides 256-bit integer SIMD extensions that accelerate computation across integer and floating-point
domains using 256-bit vector registers.
This chapter summarizes the key features of Intel AVX, FMA and AVX2.
1 3 .1 I N T E L AV X O VE R V I E W
Intel AVX introduces the following architectural enhancements:
Support for 256-bit wide vectors with the YMM vector register set.
256-bit floating-point instruction set enhancement with up to 2X performance gain relative to 128-bit
Streaming SIMD extensions.
Enhancement of legacy 128-bit SIMD instruction extensions to support three-operand syntax and to simplify
compiler vectorization of high-level language expressions.
VEX prefix-encoded instruction syntax support for generalized three-operand syntax to improve instruction
programming flexibility and efficient encoding of new instruction extensions.
Most VEX-encoded 128-bit and 256-bit AVX instructions (with both load and computational operation
semantics) are not restricted to 16-byte or 32-byte memory alignment.
Support flexible deployment of 256-bit AVX code, 128-bit AVX code, legacy 128-bit code and scalar code.
With the exception of SIMD instructions operating on MMX registers, almost all legacy 128-bit SIMD instructions
have AVX equivalents that support three operand syntax. 256-bit AVX instructions employ three-operand syntax
and some with 4-operand syntax.
1 3 .1 .1 2 5 6 -B it Wid e S I MD R e g ist e r S u p p o rt
Intel AVX introduces support for 256-bit wide SIMD registers (YMM0-YMM7 in operating modes that are 32-bit or
less, YMM0-YMM15 in 64-bit mode). The lower 128-bits of the YMM registers are aliased to the respective 128-bit
XMM registers.
Legacy SSE instructions (i.e. SIMD instructions operating on XMM state but not using the VEX prefix, also referred
to non-VEX encoded SIMD instructions) will not access the upper bits beyond bit 128 of the YMM registers. AVX
instructions with a VEX prefix and vector length of 128-bits zeroes the upper bits (above bit 128) of the YMM
register.
13-2 Vol. 1
PROGRAMMING WITH AVX, FMA AND AVX2
1 3 .1 .2 I n st ru ct io n S y n t a x E n h a n ce m e n t s
Intel AVX employs an instruction encoding scheme using a new prefix (known as VEX prefix). Instruction
encoding using the VEX prefix can directly encode a register operand within the VEX prefix. This support two new
instruction syntax in Intel 64 architecture:
A non-destructive operand (in a three-operand instruction syntax): The non-destructive source reduces the
number of registers, register-register copies and explicit load operations required in typical SSE loops, reduces
code size, and improves micro-fusion opportunities.
A third source operand (in a four-operand instruction syntax) via the upper 4 bits in an 8-bit immediate field.
Support for the third source operand is defined for selected instructions (e.g. VBLENDVPD, VBLENDVPS,
PBLENDVB).
Two-operand instruction syntax previously expressed in legacy SSE instruction as
ADDPS xmm1, xmm2/m128
128-bit AVX equivalent can be expressed in three-operand syntax as
VADDPS xmm1, xmm2, xmm3/m128
In four-operand syntax, the extra register operand is encoded in the immediate byte.
Note SIMD instructions supporting three-operand syntax but processing only 128-bits of data are considered part
of the 256-bit SIMD instruction set extensions of AVX, because bits 255:128 of the destination register are zeroed
by the processor.
1 3 .1 .3 VE X P re fix I n st ru ct io n E n co d in g S u p p o rt
Intel AVX introduces a new prefix, referred to as VEX, in the Intel 64 and IA-32 instruction encoding format.
Instruction encoding using the VEX prefix provides the following capabilities:
Direct encoding of a register operand within VEX. This provides instruction syntax support for non-destructive
source operand.
Efficient encoding of instruction syntax operating on 128-bit and 256-bit register sets.
F ig u re 1 3 -1 . 2 5 6 -B it Wid e S I MD R e g ist e r
XMM0 YMM0
XMM1 YMM1
. . .
XMM15 YMM15
Bit#
0
127 128 255
Vol. 1 13-3
PROGRAMMING WITH AVX, FMA AND AVX2
Compaction of REX prefix functionality: The equivalent functionality of the REX prefix is encoded within VEX.
Compaction of SIMD prefix functionality and escape byte encoding: The functionality of SIMD prefix (66H, F2H,
F3H) on opcode is equivalent to an opcode extension field to introduce new processing primitives. This
functionality is replaced by a more compact representation of opcode extension within the VEX prefix.
Similarly, the functionality of the escape opcode byte (0FH) and two-byte escape (0F38H, 0F3AH) are also
compacted within the VEX prefix encoding.
Most VEX-encoded SIMD numeric and data processing instruction semantics with memory operand have
relaxed memory alignment requirements than instructions encoded using SIMD prefixes (see Section 13.9).
VEX prefix encoding applies to SIMD instructions operating on YMM registers, XMM registers, and in some cases
with a general-purpose register as one of the operand. VEX prefix is not supported for instructions operating on
MMX or x87 registers. Details of VEX prefix and instruction encoding are discussed in Chapter 2, Instruction
Format, of Intel 64 and IA-32 Architectures Software Developers Manual, Volume 2A.
1 3 .2 F U N C T I O N AL O VE R V I E W
Intel AVX provide comprehensive functional improvements over previous generations of SIMD instruction exten-
sions. The functional improvements include:
256-bit floating-point arithmetic primitives: AVX enhances existing 128-bit floating-point arithmetic instruc-
tions with 256-bit capabilities for floating-point processing. Table 13-1 lists SIMD instructions promoted to AVX.
Enhancements for flexible SIMD data movements: AVX provides a number of new data movement primitives to
enable efficient SIMD programming in relation to loading non-unit-strided data into SIMD registers, intra-
register SIMD data manipulation, conditional expression and branch handling, etc. Enhancements for SIMD
data movement primitives cover 256-bit and 128-bit vector floating-point data, and across 128-bit integer
SIMD data processing using VEX-encoded instructions.
Ta b le 1 3 -1 . P ro m o t e d S S E /S S E 2 /S S E 3 /S S S E 3 /S S E 4 I n st ru ct io n s
VE X.2 5 6
E n co d in g
VE X.1 2 8
E n co d in g
G ro u p I n st ru ct io n I f N o , R e a so n ?
yes yes YY 0F 1X MOVUPS
no yes MOVSS scalar
yes yes MOVUPD
no yes MOVSD scalar
no yes MOVLPS Note 1
no yes MOVLPD Note 1
no yes MOVLHPS Redundant with VPERMILPS
yes yes MOVDDUP
yes yes MOVSLDUP
yes yes UNPCKLPS
yes yes UNPCKLPD
yes yes UNPCKHPS
yes yes UNPCKHPD
no yes MOVHPS Note 1
no yes MOVHPD Note 1
no yes MOVHLPS Redundant with VPERMILPS
yes yes MOVAPS
yes yes MOVSHDUP
yes yes MOVAPD
no no CVTPI2PS MMX
13-4 Vol. 1
PROGRAMMING WITH AVX, FMA AND AVX2
no yes CVTSI2SS scalar
no no CVTPI2PD MMX
no yes CVTSI2SD scalar
no yes MOVNTPS
no yes MOVNTPD
no no CVTTPS2PI MMX
no yes CVTTSS2SI scalar
no no CVTTPD2PI MMX
no yes CVTTSD2SI scalar
no no CVTPS2PI MMX
no yes CVTSS2SI scalar
no no CVTPD2PI MMX
no yes CVTSD2SI scalar
no yes UCOMISS scalar
no yes UCOMISD scalar
no yes COMISS scalar
no yes COMISD scalar
yes yes YY 0F 5X MOVMSKPS
yes yes MOVMSKPD
yes yes SQRTPS
no yes SQRTSS scalar
yes yes SQRTPD
no yes SQRTSD scalar
yes yes RSQRTPS
no yes RSQRTSS scalar
yes yes RCPPS
no yes RCPSS scalar
yes yes ANDPS
yes yes ANDPD
yes yes ANDNPS
yes yes ANDNPD
yes yes ORPS
yes yes ORPD
yes yes XORPS
yes yes XORPD
yes yes ADDPS
no yes ADDSS scalar
yes yes ADDPD
no yes ADDSD scalar
yes yes MULPS
no yes MULSS scalar
yes yes MULPD
no yes MULSD scalar
yes yes CVTPS2PD
VE X.2 5 6
E n co d in g
VE X.1 2 8
E n co d in g
G ro u p I n st ru ct io n I f N o , R e a so n ?
Vol. 1 13-5
PROGRAMMING WITH AVX, FMA AND AVX2
no yes CVTSS2SD scalar
yes yes CVTPD2PS
no yes CVTSD2SS scalar
yes yes CVTDQ2PS
yes yes CVTPS2DQ
yes yes CVTTPS2DQ
yes yes SUBPS
no yes SUBSS scalar
yes yes SUBPD
no yes SUBSD scalar
yes yes MINPS
no yes MINSS scalar
yes yes MINPD
no yes MINSD scalar
yes yes DIVPS
no yes DIVSS scalar
yes yes DIVPD
no yes DIVSD scalar
yes yes MAXPS
no yes MAXSS scalar
yes yes MAXPD
no yes MAXSD scalar
no yes YY 0F 6X PUNPCKLBW VI
no yes PUNPCKLWD VI
no yes PUNPCKLDQ VI
no yes PACKSSWB VI
no yes PCMPGTB VI
no yes PCMPGTW VI
no yes PCMPGTD VI
no yes PACKUSWB VI
no yes PUNPCKHBW VI
no yes PUNPCKHWD VI
no yes PUNPCKHDQ VI
no yes PACKSSDW VI
no yes PUNPCKLQDQ VI
no yes PUNPCKHQDQ VI
no yes MOVD scalar
no yes MOVQ scalar
yes yes MOVDQA
yes yes MOVDQU
no yes YY 0F 7X PSHUFD VI
no yes PSHUFHW VI
no yes PSHUFLW VI
no yes PCMPEQB VI
VE X.2 5 6
E n co d in g
VE X.1 2 8
E n co d in g
G ro u p I n st ru ct io n I f N o , R e a so n ?
13-6 Vol. 1
PROGRAMMING WITH AVX, FMA AND AVX2
no yes PCMPEQW VI
no yes PCMPEQD VI
yes yes HADDPD
yes yes HADDPS
yes yes HSUBPD
yes yes HSUBPS
no yes MOVD VI
no yes MOVQ VI
yes yes MOVDQA
yes yes MOVDQU
no yes YY 0F AX LDMXCSR
no yes STMXCSR
yes yes YY 0F CX CMPPS
no yes CMPSS scalar
yes yes CMPPD
no yes CMPSD scalar
no yes PINSRW VI
no yes PEXTRW VI
yes yes SHUFPS
yes yes SHUFPD
yes yes YY 0F DX ADDSUBPD
yes yes ADDSUBPS
no yes PSRLW VI
no yes PSRLD VI
no yes PSRLQ VI
no yes PADDQ VI
no yes PMULLW VI
no no MOVQ2DQ MMX
no no MOVDQ2Q MMX
no yes PMOVMSKB VI
no yes PSUBUSB VI
no yes PSUBUSW VI
no yes PMINUB VI
no yes PAND VI
no yes PADDUSB VI
no yes PADDUSW VI
no yes PMAXUB VI
no yes PANDN VI
no yes YY 0F EX PAVGB VI
no yes PSRAW VI
no yes PSRAD VI
no yes PAVGW VI
no yes PMULHUW VI
no yes PMULHW VI
VE X.2 5 6
E n co d in g
VE X.1 2 8
E n co d in g
G ro u p I n st ru ct io n I f N o , R e a so n ?
Vol. 1 13-7
PROGRAMMING WITH AVX, FMA AND AVX2
yes yes CVTPD2DQ
yes yes CVTTPD2DQ
yes yes CVTDQ2PD
no yes MOVNTDQ VI
no yes PSUBSB VI
no yes PSUBSW VI
no yes PMINSW VI
no yes POR VI
no yes PADDSB VI
no yes PADDSW VI
no yes PMAXSW VI
no yes PXOR VI
yes yes YY 0F FX LDDQU VI
no yes PSLLW VI
no yes PSLLD VI
no yes PSLLQ VI
no yes PMULUDQ VI
no yes PMADDWD VI
no yes PSADBW VI
no yes MASKMOVDQU
no yes PSUBB VI
no yes PSUBW VI
no yes PSUBD VI
no yes PSUBQ VI
no yes PADDB VI
no yes PADDW VI
no yes PADDD VI
no yes SSSE3 PHADDW VI
no yes PHADDSW VI
no yes PHADDD VI
no yes PHSUBW VI
no yes PHSUBSW VI
no yes PHSUBD VI
no yes PMADDUBSW VI
no yes PALIGNR VI
no yes PSHUFB VI
no yes PMULHRSW VI
no yes PSIGNB VI
no yes PSIGNW VI
no yes PSIGND VI
no yes PABSB VI
no yes PABSW VI
no yes PABSD VI
yes yes SSE4.1 BLENDPS
VE X.2 5 6
E n co d in g
VE X.1 2 8
E n co d in g
G ro u p I n st ru ct io n I f N o , R e a so n ?
13-8 Vol. 1
PROGRAMMING WITH AVX, FMA AND AVX2
yes yes BLENDPD
yes yes BLENDVPS Note 2
yes yes BLENDVPD Note 2
no yes DPPD
yes yes DPPS
no yes EXTRACTPS Note 3
no yes INSERTPS Note 3
no yes MOVNTDQA
no yes MPSADBW VI
no yes PACKUSDW VI
no yes PBLENDVB VI
no yes PBLENDW VI
no yes PCMPEQQ VI
no yes PEXTRD VI
no yes PEXTRQ VI
no yes PEXTRB VI
no yes PEXTRW VI
no yes PHMINPOSUW VI
no yes PINSRB VI
no yes PINSRD VI
no yes PINSRQ VI
no yes PMAXSB VI
no yes PMAXSD VI
no yes PMAXUD VI
no yes PMAXUW VI
no yes PMINSB VI
no yes PMINSD VI
no yes PMINUD VI
no yes PMINUW VI
no yes PMOVSXxx VI
no yes PMOVZXxx VI
no yes PMULDQ VI
no yes PMULLD VI
yes yes PTEST
yes yes ROUNDPD
yes yes ROUNDPS
no yes ROUNDSD scalar
no yes ROUNDSS scalar
no yes SSE4.2 PCMPGTQ VI
no no SSE4.2 CRC32c integer
no yes PCMPESTRI VI
no yes PCMPESTRM VI
VE X.2 5 6
E n co d in g
VE X.1 2 8
E n co d in g
G ro u p I n st ru ct io n I f N o , R e a so n ?
Vol. 1 13-9
PROGRAMMING WITH AVX, FMA AND AVX2
1 3 .2 .1 2 5 6 -b it F lo a t in g -P o in t Arit h m e t ic P ro ce ssin g E n h a n ce m e n t s
Intel AVX provides 35 256-bit floating-point arithmetic instructions, see Table 13-2. The arithmetic operations
cover add, subtract, multiply, divide, square-root, compare, max, min, round, etc., on single-precision and double-
precision floating-point data.
The enhancement in AVX on floating-point compare operation provides 32 conditional predicates to improve
programming flexibility in evaluating conditional expressions.
1 3 .2 .2 2 5 6 -b it N o n -Arit h m e t ic I n st ru ct io n E n h a n ce m e n t s
Intel AVX provides new primitives for handling data movement within 256-bit floating-point vectors and promotes
many 128-bit floating data processing instructions to handle 256-bit floating-point vectors.
AVX includes 39 256-bit data movement and processing instructions that are promoted from previous generations
of SIMD instruction extensions, ranging from logical, blend, convert, test, unpacking, shuffling, load and stores
(see Table 13-3).
no yes PCMPISTRI VI
no yes PCMPISTRM VI
no no SSE4.2 POPCNT integer
Ta b le 1 3 -2 . P ro m o t e d 2 5 6 -B it a n d 1 2 8 -b it Arit h m e t ic AV X I n st ru ct io n s
VE X.2 5 6 E n co d in g VE X.1 2 8 E n co d in g Le g a cy I n st ru ct io n Mn e m o n ic
yes yes SQRTPS, SQRTPD, RSQRTPS, RCPPS
yes yes ADDPS, ADDPD, SUBPS, SUBPD
yes yes MULPS, MULPD, DIVPS, DIVPD
yes yes CVTPS2PD, CVTPD2PS
yes yes CVTDQ2PS, CVTPS2DQ
yes yes CVTTPS2DQ, CVTTPD2DQ
yes yes CVTPD2DQ, CVTDQ2PD
yes yes MINPS, MINPD, MAXPS, MAXPD
yes yes HADDPD, HADDPS, HSUBPD, HSUBPS
yes yes CMPPS, CMPPD
yes yes ADDSUBPD, ADDSUBPS, DPPS
yes yes ROUNDPD, ROUNDPS
Ta b le 1 3 -3 . P ro m o t e d 2 5 6 -b it a n d 1 2 8 -b it D a t a Mo v e m e n t AV X I n st ru ct io n s
VE X.2 5 6 E n co d in g VE X.1 2 8 E n co d in g Le g a cy I n st ru ct io n Mn e m o n ic
yes yes MOVAPS, MOVAPD, MOVDQA
yes yes MOVUPS, MOVUPD, MOVDQU
yes yes MOVMSKPS, MOVMSKPD
yes yes LDDQU, MOVNTPS, MOVNTPD, MOVNTDQ, MOVNTDQA
yes yes MOVSHDUP, MOVSLDUP, MOVDDUP
VE X.2 5 6
E n co d in g
VE X.1 2 8
E n co d in g
G ro u p I n st ru ct io n I f N o , R e a so n ?
13-10 Vol. 1
PROGRAMMING WITH AVX, FMA AND AVX2
AVX introduces 18 new data processing instructions that operate on 256-bit vectors, Table 13-4. These new primi-
tives cover the following operations:
Non-unit-strided fetching of SIMD data. AVX provides several flexible SIMD floating-point data fetching
primitives:
broadcast of single or multiple data elements into a 256-bit destination,
masked move primitives to load or store SIMD data elements conditionally,
Intra-register manipulation of SIMD data elements. AVX provides several flexible SIMD floating-point data
manipulation primitives:
insert/extract multiple SIMD floating-point data elements to/from 256-bit SIMD registers
permute primitives to facilitate efficient manipulation of floating-point data elements in 256-bit SIMD
registers
Branch handling. AVX provides several primitives to enable handling of branches in SIMD programming:
new variable blend instructions supports four-operand syntax with non-destructive source syntax. This is
more flexible than the equivalent SSE4 instruction syntax which uses the XMM0 register as the implied
mask for blend selection.
Packed TEST instructions for floating-point data.
yes yes UNPCKHPD, UNPCKHPS, UNPCKLPD
yes yes BLENDPS, BLENDPD
yes yes SHUFPD, SHUFPS, UNPCKLPS
yes yes BLENDVPS, BLENDVPD
yes yes PTEST, MOVMSKPD, MOVMSKPS
yes yes XORPS, XORPD, ORPS, ORPD
yes yes ANDNPD, ANDNPS, ANDPD, ANDPS
Ta b le 1 3 -4 . 2 5 6 -b it AV X I n st ru ct io n E n h a n ce m e n t
I n st ru ct io n D e scrip t io n
VBROADCASTF128 ymm1, m128 Broadcast 128-bit floating-point values in mem to low and high 128-bits in ymm1.
VBROADCASTSD ymm1, m64 Broadcast double-precision floating-point element in memto four locations in ymm1.
VBROADCASTSS ymm1, m32 Broadcast single-precision floating-point element in mem to eight locations in ymm1.
VEXTRACTF128 xmm1/m128, ymm2,
imm8
Extracts 128-bits of packed floating-point values from ymm2 and store results in
xmm1/mem.
VINSERTF128 ymm1, ymm2,
xmm3/m128, imm8
Insert 128-bits of packed floating-point values from xmm3/memand the remaining val-
ues fromymm2 into ymm1
VMASKMOVPS ymm1, ymm2, m256 Load packed single-precision values frommemusing mask in ymm2 and store in ymm1
VMASKMOVPD ymm1, ymm2, m256 Load packed double-precision values frommem using mask in ymm2 and store in ymm1
VMASKMOVPS m256, ymm1, ymm2 Store packed single-precision values from ymm2 mask in ymm1
VMASKMOVPD m256, ymm1, ymm2 Store packed double-precision values from ymm2 using mask in ymm1
VPERMILPD ymm1, ymm2, ymm3/m256 Permute Double-Precision Floating-Point values in ymm2 using controls from xmm3/mem
and store result in ymm1
Ta b le 1 3 -3 . P ro m o t e d 2 5 6 -b it a n d 1 2 8 -b it D a t a Mo v e m e n t AV X I n st ru ct io n s
VE X.2 5 6 E n co d in g VE X.1 2 8 E n co d in g Le g a cy I n st ru ct io n Mn e m o n ic
Vol. 1 13-11
PROGRAMMING WITH AVX, FMA AND AVX2
1 3 .2 .3 Arit h m e t ic P rim it iv e s fo r 1 2 8 -b it Ve ct o r a n d S ca la r p ro ce ssin g
Intel AVX provides a full complement of 128-bit numeric processing instructions that employ VEX-prefix encoding.
These VEX-encoded instructions generally provide the same functionality over instructions operating on XMM
register that are encoded using SIMD prefixes. The 128-bit numeric processing instructions in AVX cover floating-
point and integer data processing; across 128-bit vector and scalar processing. Table 13-5 lists the state of promo-
tion of legacy SIMD arithmetic ISA to VEX-128 encoding. Legacy SIMD floating-point arithmetic ISA promoted to
VEX-256 encoding also support VEX-128 encoding (see Table 13-2).
The enhancement in AVX on 128-bit floating-point compare operation provides 32 conditional predicates to
improve programming flexibility in evaluating conditional expressions. This contrasts with floating-point SIMD
compare instructions in SSE and SSE2 supporting only 8 conditional predicates.
VPERMILPD ymm1, ymm2/m256 imm8 Permute Double-Precision Floating-Point values in ymm2/mem using controls fromimm8
and store result in ymm1
VPERMILPS ymm1, ymm2, ymm/m256 Permute Single-Precision Floating-Point values in ymm2 using controls from ymm3/mem
and store result in ymm1
VPERMILPS ymm1, ymm2/m256, imm8 Permute Single-Precision Floating-Point values in ymm2/mem using controls from imm8
and store result in ymm1
VPERM2F128 ymm1, ymm2,
ymm3/m256, imm8
Permute 128-bit floating-point fields in ymm2 and ymm3/mem using controls from imm8
and store result in ymm1
VTESTPS ymm1, ymm2/m256 Set ZF if ymm2/memAND ymm1 result is all 0s in packed single-precision sign bits. Set CF
if ymm2/mem AND NOT ymm1 result is all 0s in packed single-precision sign bits.
VTESTPD ymm1, ymm2/m256 Set ZF if ymm2/memAND ymm1 result is all 0s in packed double-precision sign bits. Set
CF if ymm2/memAND NOT ymm1 result is all 0s in packed double-precision sign bits.
VZEROALL Zero all YMM registers
VZEROUPPER Zero upper 128 bits of all YMM registers
Ta b le 1 3 -5 . P ro m o t io n o f Le g a cy S I MD I S A t o 1 2 8 -b it Arit h m e t ic AV X in st ru ct io n
VE X.2 5 6
E n co d in g
VE X.1 2 8
E n co d in g
I n st ru ct io n R e a so n N o t P ro m o t e d
no no CVTPI2PS, CVTPI2PD, CVTPD2PI MMX
no no CVTTPS2PI, CVTTPD2PI, CVTPS2PI MMX
no yes CVTSI2SS, CVTSI2SD, CVTSD2SI scalar
no yes CVTTSS2SI, CVTTSD2SI, CVTSS2SI scalar
no yes COMISD, RSQRTSS, RCPSS scalar
no yes UCOMISS, UCOMISD, COMISS, scalar
no yes ADDSS, ADDSD, SUBSS, SUBSD scalar
no yes MULSS, MULSD, DIVSS, DIVSD scalar
no yes SQRTSS, SQRTSD scalar
no yes CVTSS2SD, CVTSD2SS scalar
no yes MINSS, MINSD, MAXSS, MAXSD scalar
no yes PAND, PANDN, POR, PXOR VI
no yes PCMPGTB, PCMPGTW, PCMPGTD VI
Ta b le 1 3 -4 . 2 5 6 -b it AV X I n st ru ct io n E n h a n ce m e n t
I n st ru ct io n D e scrip t io n
13-12 Vol. 1
PROGRAMMING WITH AVX, FMA AND AVX2
no yes PMADDWD, PMADDUBSW VI
no yes PAVGB, PAVGW, PMULUDQ VI
no yes PCMPEQB, PCMPEQW, PCMPEQD VI
no yes PMULLW, PMULHUW, PMULHW VI
no yes PSUBSW, PADDSW, PSADBW VI
no yes PADDUSB, PADDUSW, PADDSB VI
no yes PSUBUSB, PSUBUSW, PSUBSB VI
no yes PMINUB, PMINSW VI
no yes PMAXUB, PMAXSW VI
no yes PADDB, PADDW, PADDD, PADDQ VI
no yes PSUBB, PSUBW, PSUBD, PSUBQ VI
no yes PSLLW, PSLLD, PSLLQ, PSRAW VI
no yes PSRLW, PSRLD, PSRLQ, PSRAD VI
CPUID.SSSE3
no yes PHSUBW, PHSUBD, PHSUBSW VI
no yes PHADDW, PHADDD, PHADDSW VI
no yes PMULHRSW VI
no yes PSIGNB, PSIGNW, PSIGND VI
no yes PABSB, PABSW, PABSD VI
CPUID.SSE4_1
no yes DPPD
no yes PHMINPOSUW, MPSADBW VI
no yes PMAXSB, PMAXSD, PMAXUD VI
no yes PMINSB, PMINSD, PMINUD VI
no yes PMAXUW, PMINUW VI
no yes PMOVSXxx, PMOVZXxx VI
no yes PMULDQ, PMULLD VI
no yes ROUNDSD, ROUNDSS scalar
CPUID.POPCNT
no yes POPCNT integer
CPUID.SSE4_2
no yes PCMPGTQ VI
no no CRC32 integer
no yes PCMPESTRI, PCMPESTRM VI
no yes PCMPISTRI, PCMPISTRM VI
CPUID.CLMUL
no yes PCLMULQDQ VI
CPUID.AESNI
Ta b le 1 3 -5 . P ro m o t io n o f Le g a cy S I MD I S A t o 1 2 8 -b it Arit h m e t ic AV X in st ru ct io n
VE X.2 5 6
E n co d in g
VE X.1 2 8
E n co d in g
I n st ru ct io n R e a so n N o t P ro m o t e d
Vol. 1 13-13
PROGRAMMING WITH AVX, FMA AND AVX2
Description of Column Reason not promoted?
MMX: Instructions referencing MMX registers do not support VEX
Scalar: Scalar instructions are not promoted to 256-bit
integer: integer instructions are not promoted.
VI: Vector Integer instructions are not promoted to 256-bit
1 3 .2 .4 N o n -Arit h m e t ic P rim it iv e s fo r 1 2 8 -b it Ve ct o r a n d S ca la r P ro ce ssin g
Intel AVX provides a full complement of data processing instructions that employ VEX-prefix encoding. These VEX-
encoded instructions generally provide the same functionality over instructions operating on XMM register that are
encoded using SIMD prefixes.
A subset of new functionalities listed in Table 13-4 is also extended via VEX.128 encoding. These enhancements in
AVX on 128-bit data processing primitives include 11 new instructions (see Table 13-6) with the following capabil-
ities:
Non-unit-strided fetching of SIMD data. AVX provides several flexible SIMD floating-point data fetching
primitives:
broadcast of single data element into a 128-bit destination,
masked move primitives to load or store SIMD data elements conditionally,
Intra-register manipulation of SIMD data elements. AVX provides several flexible SIMD floating-point data
manipulation primitives:
permute primitives to facilitate efficient manipulation of floating-point data elements in 128-bit SIMD
registers
Branch handling. AVX provides several primitives to enable handling of branches in SIMD programming:
new variable blend instructions supports four-operand syntax with non-destructive source syntax.
Branching conditions dependent on floating-point data or integer data can benefit from Intel AVX. This is
more flexible than non-VEX encoded instruction syntax that uses the XMM0 register as implied mask for
blend selection. While variable blend with implied XMM0 syntax is supported in SSE4 using SIMD prefix
encoding, VEX-encoded 128-bit variable blend instructions only support the more flexible four-operand
syntax.
Packed TEST instructions for floating-point data.
no yes AESDEC, AESDECLAST VI
no yes AESENC, AESENCLAST VI
no yes AESIMX, AESKEYGENASSIST VI
Ta b le 1 3 -6 . 1 2 8 -b it AV X I n st ru ct io n E n h a n ce m e n t
I n st ru ct io n D e scrip t io n
VBROADCASTSS xmm1, m32 Broadcast single-precision floating-point element in mem to four locations in xmm1.
VMASKMOVPS xmm1, xmm2, m128 Load packed single-precision values frommem using mask in xmm2 and store in xmm1
VMASKMOVPD xmm1, xmm2, m128 Load packed double-precision values from mem using mask in xmm2 and store in xmm1
VMASKMOVPS m128, xmm1, xmm2 Store packed single-precision values from xmm2 using mask in xmm1
VMASKMOVPD m128, xmm1, xmm2 Store packed double-precision values from xmm2 using mask in xmm1
Ta b le 1 3 -5 . P ro m o t io n o f Le g a cy S I MD I S A t o 1 2 8 -b it Arit h m e t ic AV X in st ru ct io n
VE X.2 5 6
E n co d in g
VE X.1 2 8
E n co d in g
I n st ru ct io n R e a so n N o t P ro m o t e d
13-14 Vol. 1
PROGRAMMING WITH AVX, FMA AND AVX2
The 128-bit data processing instructions in AVX cover floating-point and integer data movement primitives. Legacy
SIMD non-arithmetic ISA promoted to VEX-256 encoding also support VEX-128 encoding (see Table 13-3). Table
13-7 lists the state of promotion of the remaining legacy SIMD non-arithmetic ISA to VEX-128 encoding.
VPERMILPD xmm1, xmm2, xmm3/m128 Permute Double-Precision Floating-Point values in xmm2 using controls from xmm3/mem
and store result in xmm1
VPERMILPD xmm1, xmm2/m128, imm8 Permute Double-Precision Floating-Point values in xmm2/mem using controls fromimm8
and store result in xmm1
VPERMILPS xmm1, xmm2, xmm3/m128 Permute Single-Precision Floating-Point values in xmm2 using controls from xmm3/mem
and store result in xmm1
VPERMILPS xmm1, xmm2/m128, imm8 Permute Single-Precision Floating-Point values in xmm2/mem using controls from imm8
and store result in xmm1
VTESTPS xmm1, xmm2/m128 Set ZF if xmm2/mem AND xmm1 result is all 0s in packed single-precision sign bits. Set
CF if xmm2/mem AND NOT xmm1 result is all 0s in packed single-precision sign bits.
VTESTPD xmm1, xmm2/m128 Set ZF if xmm2/memAND xmm1 result is all 0s in packed single precision sign bits. Set CF
if xmm2/mem AND NOT xmm1 result is all 0s in packed double-precision sign bits.
Ta b le 1 3 -7. P ro m o t io n o f Le g a cy S I MD I S A t o 1 2 8 -b it N o n -Arit h m e t ic AV X in st ru ct io n
VE X.2 5 6
E n co d in g
VE X.1 2 8
E n co d in g
I n st ru ct io n R e a so n N o t P ro m o t e d
no no MOVQ2DQ, MOVDQ2Q MMX
no yes LDMXCSR, STMXCSR
no yes MOVSS, MOVSD, CMPSS, CMPSD scalar
no yes MOVHPS, MOVHPD Note 1
no yes MOVLPS, MOVLPD Note 1
no yes MOVLHPS, MOVHLPS Redundant with VPERMILPS
no yes MOVQ, MOVD scalar
no yes PACKUSWB, PACKSSDW, PACKSSWB VI
no yes PUNPCKHBW, PUNPCKHWD VI
no yes PUNPCKLBW, PUNPCKLWD VI
no yes PUNPCKHDQ, PUNPCKLDQ VI
no yes PUNPCKLQDQ, PUNPCKHQDQ VI
no yes PSHUFHW, PSHUFLW, PSHUFD VI
no yes PMOVMSKB, MASKMOVDQU VI
no yes PAND, PANDN, POR, PXOR VI
no yes PINSRW, PEXTRW, VI
CPUID.SSSE3
no yes PALIGNR, PSHUFB VI
CPUID.SSE4_1
no yes EXTRACTPS, INSERTPS Note 3
no yes PACKUSDW, PCMPEQQ VI
Ta b le 1 3 -6 . 1 2 8 -b it AV X I n st ru ct io n E n h a n ce m e n t
I n st ru ct io n D e scrip t io n
Vol. 1 13-15
PROGRAMMING WITH AVX, FMA AND AVX2
Description of Column Reason not promoted?
MMX: Instructions referencing MMX registers do not support VEX
Scalar: Scalar instructions are not promoted to 256-bit
VI: Vector Integer instructions are not promoted to 256-bit
Note 1: MOVLPD/PS and MOVHPD/PS are not promoted to 256-bit. The equivalent functionality are provided by
VINSERTF128 and VEXTRACTF128 instructions as the existing instructions have no natural 256b extension
Note 3: It is expected that using 128-bit INSERTPS followed by a VINSERTF128 would be better than promoting
INSERTPS to 256-bit (for example).
1 3 .3 D E T E C T I O N O F AVX I N S T R U C T I O N S
Intel AVX instructions operate on the 256-bit YMM register state. Application detection of new instruction exten-
sions operating on the YMM state follows the general procedural flow in Figure 13-2.
Prior to using AVX, the application must identify that the operating system supports the XGETBV instruction, the
YMM register state, in addition to processors support for YMM state management using XSAVE/XRSTOR and AVX
instructions. The following simplified sequence accomplishes both and is strongly recommended.
1) Detect CPUID.1:ECX.OSXSAVE[bit 27] = 1 (XGETBV enabled for application use
1
)
2) Issue XGETBV and verify that XCR0[2:1] = 11b (XMM state and YMM state are enabled by OS).
3) detect CPUID.1:ECX.AVX[bit 28] = 1 (AVX instructions supported).
(Step 3 can be done in any order relative to 1 and 2)
no yes PBLENDVB, PBLENDW VI
no yes PEXTRW, PEXTRB, PEXTRD, PEXTRQ VI
no yes PINSRB, PINSRD, PINSRQ VI
1. If CPUID.01H:ECX.OSXSAVE reports 1, it also indirectly implies the processor supports XSAVE, XRSTOR, XGETBV, processor
extended state bit vector XCR0. Thus an application may streamline the checking of CPUID feature flags for XSAVE and OSXSAVE.
XSETBV is a privileged instruction.
F ig u re 1 3 -2 . G e n e ra l P ro ce d u ra l F lo w o f Ap p lica t io n D e t e ct io n o f AV X
Ta b le 1 3 -7. P ro m o t io n o f Le g a cy S I MD I S A t o 1 2 8 -b it N o n -Arit h m e t ic AV X in st ru ct io n
VE X.2 5 6
E n co d in g
VE X.1 2 8
E n co d in g
I n st ru ct io n R e a so n N o t P ro m o t e d
Implied HW support for
Check enabled state in
XCR0 via XGETBV
Check feature flag
for Instruction set
Check feature flag
CPUID.1H:ECX.OXSAVE = 1?
OS provides processor
extended state management
State ok to use
XSAVE, XRSTOR, XGETBV, XCR0
enabled Instructions
Yes
13-16 Vol. 1
PROGRAMMING WITH AVX, FMA AND AVX2
The following pseudocode illustrates this recommended application AVX detection process:
Note: It is unwise for an application to rely exclusively on CPUID.1:ECX.AVX[bit 28] or at all on
CPUID.1:ECX.XSAVE[bit 26]: These indicate hardware support but not operating system support. If YMM state
management is not enabled by an operating systems, AVX instructions will #UD regardless of
CPUID.1:ECX.AVX[bit 28]. CPUID.1:ECX.XSAVE[bit 26] = 1 does not guarantee the OS actually uses the XSAVE
process for state management.
These steps above also apply to enhanced 128-bit SIMD floating-pointing instructions in AVX (using VEX prefix-
encoding) that operate on the YMM states.
E x a m p le 1 3 -1 . D e t e ct io n o f AVX I n st ru ct io n
INT supports_AVX()
{ mov eax, 1
cpuid
and ecx, 018000000H
cmp ecx, 018000000H; check both OSXSAVE and AVX feature flags
jne not_supported
; processor supports AVX instructions and XGETBV is enabled by OS
mov ecx, 0; specify 0 for XCR0 register
XGETBV ; result in EDX:EAX
and eax, 06H
cmp eax, 06H; check OS has enabled both XMM and YMM state support
jne not_supported
mov eax, 1
jmp done
NOT_SUPPORTED:
mov eax, 0
done:
}
Vol. 1 13-17
PROGRAMMING WITH AVX, FMA AND AVX2
1 3 .3 .1 D e t e ct io n o f VE X-E n co d e d AE S a n d VP C LMU LQ D Q
VAESDEC/VAESDECLAST/VAESENC/VAESENCLAST/VAESIMC/VAESKEYGENASSIST instructions operate on YMM
states. The detection sequence must combine checking for CPUID.1:ECX.AES[bit 25] = 1 and the sequence for
detection application support for AVX.
Similarly, the detection sequence for VPCLMULQDQ must combine checking for CPUID.1:ECX.PCLMULQDQ[bit 1] =
1 and the sequence for detection application support for AVX.
This is shown in the pseudocode:
E x a m p le 1 3 -2 . D e t e ct io n o f VE X-E n co d e d AE S N I I n st ru ct io n s
INT supports_VAESNI()
{ mov eax, 1
cpuid
and ecx, 01A000000H
cmp ecx, 01A000000H; check OSXSAVE AVX and AESNI feature flags
jne not_supported
; processor supports AVX and VEX-encoded AESNI and XGETBV is enabled by OS
mov ecx, 0; specify 0 for XCR0 register
XGETBV ; result in EDX:EAX
and eax, 06H
cmp eax, 06H; check OS has enabled both XMM and YMM state support
jne not_supported
mov eax, 1
jmp done
NOT_SUPPORTED:
mov eax, 0
done:
E x a m p le 1 3 -3 . D e t e ct io n o f VE X-E n co d e d AE S N I I n st ru ct io n s
INT supports_VPCLMULQDQ)
{ mov eax, 1
cpuid
and ecx, 018000002H
cmp ecx, 018000002H; check OSXSAVE AVX and PCLMULQDQ feature flags
jne not_supported
; processor supports AVX and VEX-encoded PCLMULQDQ and XGETBV is enabled by OS
mov ecx, 0; specify 0 for XCR0 register
XGETBV ; result in EDX:EAX
and eax, 06H
cmp eax, 06H; check OS has enabled both XMM and YMM state support
jne not_supported
mov eax, 1
jmp done
NOT_SUPPORTED:
mov eax, 0
done:
13-18 Vol. 1
PROGRAMMING WITH AVX, FMA AND AVX2
1 3 .4 H ALF -P R E C I S I O N F LO AT I N G -P O I N T C O N VE R S I O N
VCVTPH2PS and VCVTPS2PH are two instructions supporting half-precision floating-point data type conversion to
and from single-precision floating-point data types.
Half-precision floating-point values are not used by the processor directly for arithmetic operations. But the
conversion operation are subject to SIMD floating-point exceptions.
Additionally, The conversion operations of VCVTPS2PH allow programmer to specify rounding control using control
fields in an immediate byte. The effects of the immediate byte are listed in Table 13-8.
Rounding control can use Imm[2] to select an override RC field specified in Imm[1:0] or use MXCSR setting.
Specific SIMD floating-point exceptions that can occur in conversion operations are shown in Table 13-9 and
Table 13-10.
VCVTPS2PH can cause denormal exceptions if the value of the source operand is denormal relative to the numer-
ical range represented by the source format (see Table 13-11).
Ta b le 1 3 -8 . I m m e d ia t e B y t e E n co d in g fo r 1 6 -b it F lo a t in g -P o in t C o n v e rsio n I n st ru ct io n s
B it s F ie ld N a m e /v a lu e D e scrip t io n
C o m m e n t
Imm[1:0] RC=00B Round to nearest even If Imm[2] =0
RC=01B Round down
RC=10B Round up
RC=11B Truncate
Imm[2] MS1=0 Use imm[1:0] for rounding Ignore MXCSR.RC
MS1=1 Use MXCSR.RC for rounding
Imm[7:3] Ignored Ignored by processor
Ta b le 1 3 -9 . N o n -N u m e rica l B e h a v io r fo r VC V T P H 2 P S , VC V T P S 2 P H
S o u rce O p e ra n d s Ma ske d R e su lt U n m a ske d R e su lt
QNaN QNaN1
1
N O T E S :
1. The half precision output QNaN1 is created fromthe single precision input QNaN as follows: the sign bit is preserved, the 8-bit expo-
nent FFH is replaced by the 5-bit exponent 1FH, and the 24-bit significand is truncated to an 11-bit significand by removing its 14
least significant bits.
QNaN1
1
(not an exception)
SNaN QNaN1
2
2. The half precision output QNaN1 is created from the single precision input SNaN as follows: the sign bit is preserved, the 8-bit expo-
nent FFH is replaced by the 5-bit exponent 1FH, and the 24-bit significand is truncated to an 11-bit significand by removing its 14
least significant bits. The second most significant bit of the significand is changed from 0 to 1 to convert the signaling NaN into a quiet
NaN.
None
Ta b le 1 3 -1 0 . I n v a lid O p e ra t io n fo r VC V T P H 2 P S , VC V T P S 2 P H
I n st ru ct io n C o n d it io n Ma ske d R e su lt U n m a ske d R e su lt
VCVTPH2PS SRC =NaN See Table 13-9 #I=1
VCVTPS2PH SRC =NaN See Table 13-9 #I=1
Vol. 1 13-19
PROGRAMMING WITH AVX, FMA AND AVX2
VCVTPS2PH can cause an underflow exception if the result of the conversion is less than the underflow threshold
for half-precision floating-point data type , i.e. | x | < 1.0 2
14
.
VCVTPS2PH can cause an overflow exception if the result of the conversion is greater than the maximum repre-
sentable value for half-precision floating-point data type, i.e. | x | 1.0 2
16
.
VCVTPS2PH can cause an inexact exception if the result of the conversion is not exactly representable in the
destination format.
Ta b le 1 3 -1 1 . D e n o rm a l C o n d it io n S u m m a ry
I n st ru ct io n C o n d it io n Ma ske d R e su lt U n m a ske d R e su lt
VCVTPH2PS SRC is denormal relative to
input format
res =Result rounded to the destination precision and
using the bounded exponent, but only if no unmasked
post-computation exception occurs.
#DE unchanged
Same as masked result.
VCVTPS2PH SRC is denormal relative to
input format
res =Result rounded to the destination precision and
using the bounded exponent, but only if no unmasked
post-computation exception occurs.
#DE=1
#DE=1
Ta b le 1 3 -1 2 . U n d e rf lo w C o n d it io n fo r VC V T P S 2 P H
I n st ru ct io n C o n d it io n Ma ske d R e su lt
1
N O T E S :
1. Masked and unmasked results are shown in Table 13-11.
U n m a ske d R e su lt
VCVTPS2PH Result <smallest destination
precision final normal value
2
2. MXCSR.FTZ is ignored, the processor behaves as if MXCSR.FTZ =0.
Result =+0 or -0, denormal, normal.
#UE =1.
#PE =1 if the result is inexact.
#UE=1,
#PE =1 if the result is
inexact.
Ta b le 1 3 -1 3 . O v e rf lo w C o n d it io n fo r VC V T P S 2 P H
I n st ru ct io n C o n d it io n Ma ske d R e su lt U n m a ske d R e su lt
VCVTPS2PH Result largest destination
precision finial normal value
1
Result =+Inf or -Inf.
#OE=1.
#OE=1.
Ta b le 1 3 -1 4 . I n e x a ct C o n d it io n fo r VC V T P S 2 P H
I n st ru ct io n C o n d it io n Ma ske d R e su lt
1
N O T E S :
1. If a source is denormal relative to input format with DM masked and at least one of PM or UM unmasked, then an exception will be
raised with DE, UE and PE set.
U n m a ske d R e su lt
VCVTPS2PH The result is not
representable in
the destination
format
res =Result rounded to the destination
precision and using the bounded
exponent, but only if no unmasked
underflow or overflow conditions occur
(this exception can occur in the presence
of a masked underflow or overflow).
#PE=1.
Only if no underflow/overflow condition occurred,
or if the corresponding exceptions are masked:
Set #OE if masked overflow and set result as
described above for masked overflow.
Set #UE if masked underflow and set result as
described above for masked underflow.
If neither underflow nor overflow, result equals
the result rounded to the destination precision and
using the bounded exponent set #PE =1.
13-20 Vol. 1
PROGRAMMING WITH AVX, FMA AND AVX2
1 3 .4 .1 D e t e ct io n o f F 1 6 C I n st ru ct io n s
Application using float 16 instruction must follow a detection sequence similar to AVX to ensure:
The OS has enabled YMM state management support,
The processor support AVX as indicated by the CPUID feature flag, i.e. CPUID.01H:ECX.AVX[bit 28] = 1.
The processor support 16-bit floating-point conversion instructions via a CPUID feature flag
(CPUID.01H:ECX.F16C[bit 29] = 1).
Application detection of Float-16 conversion instructions follow the general procedural flow in Figure 13-3.
----------------------------------------------------------------------------------------
INT supports_f16c()
{ ; result in eax
mov eax, 1
cpuid
and ecx, 038000000H
cmp ecx, 038000000H; check OSXSAVE, AVX, F16C feature flags
jne not_supported
; processor supports AVX,F16C instructions and XGETBV is enabled by OS
mov ecx, 0; specify 0 for XFEATURE_ENABLED_MASK register
XGETBV; result in EDX:EAX
and eax, 06H
cmp eax, 06H; check OS has enabled both XMM and YMM state support
jne not_supported
mov eax, 1
jmp done
NOT_SUPPORTED:
mov eax, 0
done:
}
-------------------------------------------------------------------------------
F ig u re 1 3 -3 . G e n e ra l P ro ce d u ra l F lo w o f Ap p lica t io n D e t e ct io n o f F lo a t -1 6
Implied HW support for
Check enabled YMM state in
XCR0 via XGETBV
Check feature flags
for AVX and F16C
Check feature flag
CPUID.1H:ECX.OXSAVE = 1?
OS provides processor
extended state management
State ok to use
XSAVE, XRSTOR, XGETBV, XFEATURE_ENABLED_MASK
enabled Instructions
Yes
Vol. 1 13-21
PROGRAMMING WITH AVX, FMA AND AVX2
1 3 .5 F U S E D -MU LT I P LY-AD D (F MA) E XT E N S I O N S
FMA extensions enhances Intel AVX with high-throughput, arithmetic capabilities covering fused multiply-add,
fused multiply-subtract, fused multiply add/subtract interleave, signed-reversed multiply on fused multiply-add
and multiply-subtract. FMA extensions provide 36 256-bit floating-point instructions to perform computation on
256-bit vectors and additional 128-bit and scalar FMA instructions.
FMA extensions also provide 60 128-bit floating-point instructions to process 128-bit vector and scalar data. The
arithmetic operations cover fused multiply-add, fused multiply-subtract, signed-reversed multiply on fused
multiply-add and multiply-subtract.
Ta b le 1 3 -1 5 . F MA I n st ru ct io n s
I n st ru ct io n D e scrip t io n
VFMADD132PD/VFMADD213PD/VFMADD231PD
xmm0, xmm1, xmm2/m128; ymm0, ymm1, ymm2/m256
Fused Multiply-Add of Packed Double-Precision Floating-Point
Values
VFMADD132PS/VFMADD213PS/VFMADD231PS
xmm0, xmm1, xmm2/m128; ymm0, ymm1, ymm2/m256
Fused Multiply-Add of Packed Single-Precision Floating-Point
Values
VFMADD132SD/VFMADD213SD/VFMADD231SD
xmm0, xmm1, xmm2/m64
Fused Multiply-Add of Scalar Double-Precision Floating-Point
Values
VFMADD132SS/VFMADD213SS/VFMADD231SS
xmm0, xmm1, xmm2/m32
Fused Multiply-Add of Scalar Single-Precision Floating-Point
Values
VFMADDSUB132PD/VFMADDSUB213PD/VFMADDSUB231PD
xmm0, xmm1, xmm2/m128; ymm0, ymm1, ymm2/m256
Fused Multiply-Alternating Add/Subtract of Packed Double-
Precision Floating-Point Values
VFMADDSUB132PS/VFMADDSUB213PS/VFMADDSUB231PS
xmm0, xmm1, xmm2/m128; ymm0, ymm1, ymm2/m256
Fused Multiply-Alternating Add/Subtract of Packed Single-Pre-
cision Floating-Point Values
VFMSUBADD132PD/VFMSUBADD213PD/VFMSUBADD231PD
xmm0, xmm1, xmm2/m128; ymm0, ymm1, ymm2/m256
Fused Multiply-Alternating Subtract/Add of Packed Double-
Precision Floating-Point Values
VFMSUBADD132PS/VFMSUBADD213PS/VFMSUBADD231PS
xmm0, xmm1, xmm2/m128; ymm0, ymm1, ymm2/m256
Fused Multiply-Alternating Subtract/Add of Packed Single-Pre-
cision Floating-Point Values
VFMSUB132PD/VFMSUB213PD/VFMSUB231PD
xmm0, xmm1, xmm2/m128; ymm0, ymm1, ymm2/m256
Fused Multiply-Subtract of Packed Double-Precision Floating-
Point Values
VFMSUB132PS/VFMSUB213PS/VFMSUB231PS
xmm0, xmm1, xmm2/m128; ymm0, ymm1, ymm2/m256
Fused Multiply-Subtract of Packed Single-Precision Floating-
Point Values
VFMSUB132SD/VFMSUB213SD/VFMSUB231SD
xmm0, xmm1, xmm2/m64
Fused Multiply-Subtract of Scalar Double-Precision Floating-
Point Values
VFMSUB132SS/VFMSUB213SS/VFMSUB231SS
xmm0, xmm1, xmm2/m32
Fused Multiply-Subtract of Scalar Single-Precision Floating-
Point Values
VFNMADD132PD/VFNMADD213PD/VFNMADD231PD
xmm0, xmm1, xmm2/m128; ymm0, ymm1, ymm2/m256
Fused Negative Multiply-Add of Packed Double-Precision Float-
ing-Point Values
VFNMADD132PS/VFNMADD213PS/VFNMADD231PS
xmm0, xmm1, xmm2/m128; ymm0, ymm1, ymm2/m256
Fused Negative Multiply-Add of Packed Single-Precision Float-
ing-Point Values
VFNMADD132SD/VFNMADD213SD/VFNMADD231SD
xmm0, xmm1, xmm2/m64
Fused Negative Multiply-Add of Scalar Double-Precision Float-
ing-Point Values
VFNMADD132SS/VFNMADD213SS/VFNMADD231SS
xmm0, xmm1, xmm2/m32
Fused Negative Multiply-Add of Scalar Single-Precision Float-
ing-Point Values
VFNMSUB132PD/VFNMSUB213PD/VFNMSUB231PD
xmm0, xmm1, xmm2/m128; ymm0, ymm1, ymm2/m256
Fused Negative Multiply-Subtract of Packed Double-Precision
Floating-Point Values
VFNMSUB132PS/VFNMSUB213PS/VFNMSUB231PS
xmm0, xmm1, xmm2/m128; ymm0, ymm1, ymm2/m256
Fused Negative Multiply-Subtract of Packed Single-Precision
Floating-Point Values
13-22 Vol. 1
PROGRAMMING WITH AVX, FMA AND AVX2
1 3 .5 .1 F MA I n st ru ct io n O p e ra n d O rd e r a n d Arit h m e t ic B e h a v io r
FMA instruction mnemonics are defined explicitly with an ordered three digits, e.g. VFMADD132PD. The value of
each digit refers to the ordering of the three source operand as defined by instruction encoding specification:
1: The first source operand (also the destination operand) in the syntactical order listed in this specification.
2: The second source operand in the syntactical order. This is a YMM/XMM register, encoded using VEX prefix.
3: The third source operand in the syntactical order. The first and third operand are encoded following ModR/M
encoding rules.
The ordering of each digit within the mnemonic refers to the floating-point data listed on the right-hand side of the
arithmetic equation of each FMA operation (see Table 13-17):
The first position in the three digits of a FMA mnemonic refers to the operand position of the first FP data
expressed in the arithmetic equation of FMA operation, the multiplicand.
The second position in the three digits of a FMA mnemonic refers to the operand position of the second FP data
expressed in the arithmetic equation of FMA operation, the multiplier.
The third position in the three digits of a FMA mnemonic refers to the operand position of the FP data being
added/subtracted to the multiplication result.
Note the non-numerical result of an FMA operation does not resemble the mathematically-defined commutative
property between the multiplicand and the multiplier values (see Table 13-17). Consequently, software tools (such
as an assembler) may support a complementary set of FMA mnemonics for each FMA instruction for ease of
programming to take advantage of the mathematical property of commutative multiplications. For example, an
assembler may optionally support the complementary mnemonic VFMADD312PD in addition to the true
mnemonic VFMADD132PD. The assembler will generate the same instruction opcode sequence corresponding to
VFMADD132PD. The processor executes VFMADD132PD and report any NAN conditions based on the definition of
VFMADD132PD. Similarly, if the complementary mnemonic VFMADD123PD is supported by an assembler at source
level, it must generate the opcode sequence corresponding to VFMADD213PD; the complementary mnemonic
VFMADD321PD must produce the opcode sequence defined by VFMADD231PD. In the absence of FMA operations
reporting a NAN result, the numerical results of using either mnemonic with an assembler supporting both
mnemonics will match the behavior defined in Table 13-17. Support for the complementary FMA mnemonics by
software tools is optional.
1 3 .5 .2 F u se d -Mu lt ip ly -AD D (F MA) N u m e ric B e h a v io r
FMA instructions can perform fused-multiply-add operations (including fused-multiply-subtract, and other vari-
eties) on packed and scalar data elements in the instruction operands. Separate FMA instructions are provided to
handle different types of arithmetic operations on the three source operands.
FMA instruction syntax is defined using three source operands and the first source operand is updated based on the
result of the arithmetic operations of the data elements of 128-bit or 256-bit operands, i.e. The first source operand
is also the destination operand.
The arithmetic FMA operation performed in an FMA instruction takes one of several forms, r=(x*y)+z, r=(x*y)-z,
r=-(x*y)+z, or r=-(x*y)-z. Packed FMA instructions can perform eight single-precision FMA operations or four
double-precision FMA operations with 256-bit vectors.
Scalar FMA instructions only perform one arithmetic operation on the low order data element. The content of the
rest of the data elements in the lower 128-bits of the destination operand is preserved. the upper 128bits of the
destination operand are filled with zero.
VFNMSUB132SD/VFNMSUB213SD/VFNMSUB231SD
xmm0, xmm1, xmm2/m64
Fused Negative Multiply-Subtract of Scalar Double-Precision
Floating-Point Values
VFNMSUB132SS/VFNMSUB213SS/VFNMSUB231SS
xmm0, xmm1, xmm2/m32
Fused Negative Multiply-Subtract of Scalar Single-Precision
Floating-Point Values
Ta b le 1 3 -1 5 . F MA I n st ru ct io n s
I n st ru ct io n D e scrip t io n
Vol. 1 13-23
PROGRAMMING WITH AVX, FMA AND AVX2
An arithmetic FMA operation of the form, r=(x*y)+z, takes two IEEE-754-2008 single (double) precision values
and multiplies them to form an infinite precision intermediate value. This intermediate value is added to a third
single (double) precision value (also at infinite precision) and rounded to produce a single (double) precision result.
The rounding and exception behavior are controlled by the MXCSR and control bits specified in lower 4-bits of the
8-bit immediate field (imm8). See Figure 13-4.
F ig u re 1 3 -4 . I m m e d ia t e B y t e fo r F MA in st ru ct io n s
Note: The imm8[7:4] specify one of the source register and is explained in detail in later sections.
If imm8[2] = 1 then rounding control mode is selected from imm8[1:0] otherwise rounding control mode is
selected from MXCSR. The imm8[3] bit controls the suppression of SIMD floating-point exception signaling and
reporting. When imm8[3]=1 no SIMD FP exceptions are raised and no flags are updated in MXCSR as a result of
executing the instruction. The numerical result is computed as if all SIMD FP exceptions were masked.
Table 13-17 describes the numerical behavior of the FMA operation, r=(x*y)+z, r=(x*y)-z, r=-(x*y)+z, r=-(x*y)-
z for various input values. The input values can be 0, finite non-zero (F in Table 13-17), infinity of either sign (INF
in Table 13-17), positive infinity (+INF in Table 13-17), negative infinity (-INF in Table 13-17), or NaN (including
QNaN or SNaN). If any one of the input values is a NAN, the result of FMA operation, r, may be a quietized NAN. The
result can be either Q(x), Q(y), or Q(z), see Table 13-17. If x is a NaN, then:
Q(x) = x if x is QNaN or
Q(x) = the quietized NaN obtained from x if x is SNaN
The notation for the output value in Table 13-17 are:
+INF: positive infinity, -INF: negative infinity. When the result depends on a conditional expression, both
values are listed in the result column and the condition is described in the comment column.
QNaNIndefinite represents the QNaN which has the sign bit equal to 1, the most significand field equal to 1, and
the remaining significand field bits equal to 0.
The summation or subtraction of 0s or identical values in FMA operation can lead to the following situations
shown in Table 13-16
If the FMA computation represents an invalid operation (e.g. when adding two INF with opposite signs)), the
invalid exception is signaled, and the MXCSR.IE flag is set.
4
7 3 2 1 0
O RC
00: Nearest
01: Down / toward -INF
10: Up / toward +INF
11: truncate
0 : use MXCSR:RC
1 : use IMM8[1:0]
Suppress all FMA exceptions
1: Suppress FMA exception signaling and reporting
0: Honor MXCSR for FMA Exceptions
source register
specifier
S
13-24 Vol. 1
PROGRAMMING WITH AVX, FMA AND AVX2
Ta b le 1 3 -1 6 . R o u n d in g B e h a v io r o f Z e ro R e su lt in F MA O p e ra t io n
Ta b le 1 3 -1 7. F MA N u m e ric B e h a v io r
x *y z (x *y ) +z (x *y ) - z - (x *y ) +z - (x *y ) - z
(+0) (+0)
+0 in all rounding modes - 0 when rounding down,
and +0 otherwise
- 0 when rounding down,
and +0 otherwise
- 0 in all rounding modes
(+0) (-0)
- 0 when rounding down,
and +0 otherwise
+0 in all rounding modes - 0 in all rounding modes - 0 when rounding down,
and +0 otherwise
(-0) (+0)
- 0 when rounding down,
and +0 otherwise
- 0 in all rounding modes +0 in all rounding modes - 0 when rounding down,
and +0 otherwise
(-0) (-0)
- 0 in all rounding modes - 0 when rounding down,
and +0 otherwise
- 0 when rounding down,
and +0 otherwise
+0 in all rounding modes
F -F
- 0 when rounding down,
and +0 otherwise
2*F -2*F - 0 when rounding down,
and +0 otherwise
F F
2*F - 0 when rounding down,
and +0 otherwise
- 0 when rounding down,
and +0 otherwise
-2*F
x
(m u lt ip lica n d )
y
(m u lt ip lie r)
z
r= (x *y )
+z
r= (x *y )
-z
r =
-(x *y )+z
r=
-(x *y )-z
C o m m e n t
NaN 0, F, INF,
NaN
0, F,
INF,
NaN
Q(x) Q(x) Q(x) Q(x) Signal invalid exception if x or y or z is SNaN
0, F, INF NaN 0, F,
INF,
NaN
Q(y) Q(y) Q(y) Q(y) Signal invalid exception if y or z is SNaN
0, F, INF 0, F, INF NaN Q(z) Q(z) Q(z) Q(z) Signal invalid exception if z is SNaN
INF F, INF +IN
F
+INF QNaNIn-
definite
QNaNIn-
definite
-INF if x*y and z have the same sign
QNaNIn-
definite
-INF +INF QNaNIn-
definite
if x*y and z have opposite signs
INF F, INF -INF -INF QNaNIn-
definite
QNaNIn-
definite
+INF if x*y and z have the same sign
QNaNIn-
definite
+INF -INF QNaNIn-
definite
if x*y and z have opposite signs
INF F, INF 0, F +INF +INF -INF -INF if x and y have the same sign
-INF -INF +INF +INF if x and y have opposite signs
INF 0 0, F,
INF
QNaNIn-
definite
QNaNIn-
definite
QNaNIn-
definite
QNaNIn-
definite
Signal invalid exception
0 INF 0, F,
INF
QNaNIn-
definite
QNaNIn-
definite
QNaNIn-
definite
QNaNIn-
definite
Signal invalid exception
F INF +IN
F
+INF QNaNIn-
definite
QNaNIn-
definite
-INF if x*y and z have the same sign
QNaNIn-
definite
-INF +INF QNaNIn-
definite
if x*y and z have opposite signs
F INF -INF -INF QNaNIn-
definite
QNaNIn-
definite
+INF if x*y and z have the same sign
QNaNIn-
definite
+INF -INF QNaNIn-
definite
if x*y and z have opposite signs
F INF 0,F +INF +INF -INF -INF if x * y >0
-INF -INF +INF +INF if x * y <0
Vol. 1 13-25
PROGRAMMING WITH AVX, FMA AND AVX2
If unmasked floating-point exceptions are signaled (invalid operation, denormal operand, overflow, underflow, or
inexact result) the result register is left unchanged and a floating-point exception handler is invoked.
1 3 .5 .3 D e t e ct io n o f F MA
Hardware support for FMA is indicated by CPUID.1:ECX.FMA[bit 12]=1.
Application Software must identify that hardware supports AVX, after that it must also detect support for FMA by
CPUID.1:ECX.FMA[bit 12]. The recommended pseudocode sequence for detection of FMA is:
----------------------------------------------------------------------------------------
INT supports_fma()
{ ; result in eax
mov eax, 1
cpuid
and ecx, 018001000H
cmp ecx, 018001000H; check OSXSAVE, AVX, FMA feature flags
jne not_supported
; processor supports AVX,FMA instructions and XGETBV is enabled by OS
mov ecx, 0; specify 0 for XFEATURE_ENABLED_MASK register
XGETBV; result in EDX:EAX
and eax, 06H
cmp eax, 06H; check OS has enabled both XMM and YMM state support
jne not_supported
mov eax, 1
jmp done
NOT_SUPPORTED:
mov eax, 0
0,F 0,F INF +INF -INF +INF -INF if z >0
-INF +INF -INF +INF if z <0
0 0 0 0 0 0 0 The sign of the result depends on the sign of
the operands and on the rounding mode. The
product x*y is +0 or -0, depending on the signs
of x and y. The summation/subtraction of the
zero representing (x*y) and the zero represent-
ing z can lead to one of the four cases shown in
Table13-16.
0 F 0 0 0 0 0
F 0 0 0 0 0 0
0 0 F z -z z -z
0 F F z -z z -z
F 0 F z -z z -z
F F 0 x*y x*y -x*y -x*y Rounded to the destination precision, with
bounded exponent
F F F (x*y)+z (x*y)-z -(x*y)+z -(x*y)-z Rounded to the destination precision, with
bounded exponent; however, if the exact values
of x*y and z are equal in magnitude with signs
resulting in the FMA operation producing 0, the
rounding behavior described in Table13-16.
x
(m u lt ip lica n d )
y
(m u lt ip lie r)
z
r= (x *y )
+z
r= (x *y )
-z
r =
-(x *y )+z
r=
-(x *y )-z
C o m m e n t
13-26 Vol. 1
PROGRAMMING WITH AVX, FMA AND AVX2
done:
}
-------------------------------------------------------------------------------
Note that FMA comprises 256-bit and 128-bit SIMD instructions operating on YMM states.
1 3 .6 O VE R VI E W O F AV X2
AVX2 extends Intel AVX by promoting most of the 128-bit SIMD integer instructions with 256-bit numeric
processing capabilities. AVX2 instructions follow the same programming model as AVX instructions.
In addition, AVX2 provide enhanced functionalities for broadcast/permute operations on data elements, vector
shift instructions with variable-shift count per data element, and instructions to fetch non-contiguous data
elements from memory.
1 3 .6 .1 AV X2 a n d 2 5 6 -b it Ve ct o r I n t e g e r P ro ce ssin g
AVX2 promotes the vast majority of 128-bit integer SIMD instruction sets to operate with 256-bit wide YMM regis-
ters. AVX2 instructions are encoded using the VEX prefix and require the same operating system support as AVX.
Generally, most of the promoted 256-bit vector integer instructions follow the 128-bit lane operation, similar to the
promoted 256-bit floating-point SIMD instructions in AVX.
Newer functionalities in AVX2 generally fall into the following categories:
Fetching non-contiguous data elements from memory using vector-index memory addressing. These gather
instructions introduce a new memory-addressing form, consisting of a base register and multiple indices
specified by a vector register (either XMM or YMM). Data elements sizes of 32 and 64-bits are supported, and
data types for floating-point and integer elements are also supported.
Cross-lane functionalities are provided with several new instructions for broadcast and permute operations.
Some of the 256-bit vector integer instructions promoted from legacy SSE instruction sets also exhibit cross-
lane behavior, e.g. VPMOVZ/VPMOVS family.
AVX2 complements the AVX instructions that are typed for floating-point operation with a full compliment of
equivalent set for operating with 32/64-bit integer data elements.
Vector shift instructions with per-element shift count. Data elements sizes of 32 and 64-bits are supported.
1 3 .7 P R O MO T E D VE C T O R I N T E G E R I N S T R U C T I O N S I N AV X2
In AVX2, most SSE/SSE2/SSE3/SSSE3/SSE4 vector integer instructions have been promoted to support VEX.256
encodings. Table 13-18 summarizes the promotion status for existing instructions. The column VEX.128 indicates
whether the instruction using VEX.128 prefix encoding is supported.
The column VEX.256 indicates whether 256-bit vector form of the instruction using the VEX.256 prefix encoding
is supported, and under which feature flag.
Vol. 1 13-27
PROGRAMMING WITH AVX, FMA AND AVX2
Ta b le 1 3 -1 8 . P ro m o t e d Ve ct o r I n t e g e r S I MD I n st ru ct io n s in AV X2
VE X.2 5 6 E n co d in g VE X.1 2 8 E n co d in g G ro u p I n st ru ct io n
AVX2 AVX YY 0F 6X PUNPCKLBW
AVX2 AVX PUNPCKLWD
AVX2 AVX PUNPCKLDQ
AVX2 AVX PACKSSWB
AVX2 AVX PCMPGTB
AVX2 AVX PCMPGTW
AVX2 AVX PCMPGTD
AVX2 AVX PACKUSWB
AVX2 AVX PUNPCKHBW
AVX2 AVX PUNPCKHWD
AVX2 AVX PUNPCKHDQ
AVX2 AVX PACKSSDW
AVX2 AVX PUNPCKLQDQ
AVX2 AVX PUNPCKHQDQ
no AVX MOVD
no AVX MOVQ
AVX AVX MOVDQA
AVX AVX MOVDQU
AVX2 AVX YY 0F 7X PSHUFD
AVX2 AVX PSHUFHW
AVX2 AVX PSHUFLW
AVX2 AVX PCMPEQB
AVX2 AVX PCMPEQW
AVX2 AVX PCMPEQD
AVX AVX MOVDQA
AVX AVX MOVDQU
no AVX PINSRW
no AVX PEXTRW
AVX2 AVX PSRLW
AVX2 AVX PSRLD
AVX2 AVX PSRLQ
AVX2 AVX PADDQ
AVX2 AVX PMULLW
AVX2 AVX PMOVMSKB
AVX2 AVX PSUBUSB
AVX2 AVX PSUBUSW
AVX2 AVX PMINUB
AVX2 AVX PAND
13-28 Vol. 1
PROGRAMMING WITH AVX, FMA AND AVX2
AVX2 AVX PADDUSB
AVX2 AVX PADDUSW
AVX2 AVX PMAXUB
AVX2 AVX PANDN
AVX2 AVX YY 0F EX PAVGB
AVX2 AVX PSRAW
AVX2 AVX PSRAD
AVX2 AVX PAVGW
AVX2 AVX PMULHUW
AVX2 AVX PMULHW
AVX AVX MOVNTDQ
AVX2 AVX PSUBSB
AVX2 AVX PSUBSW
AVX2 AVX PMINSW
AVX2 AVX POR
AVX2 AVX PADDSB
AVX2 AVX PADDSW
AVX2 AVX PMAXSW
AVX2 AVX PXOR
AVX AVX YY 0F FX LDDQU
AVX2 AVX PSLLW
AVX2 AVX PSLLD
AVX2 AVX PSLLQ
AVX2 AVX PMULUDQ
AVX2 AVX PMADDWD
AVX2 AVX PSADBW
AVX2 AVX PSUBB
AVX2 AVX PSUBW
AVX2 AVX PSUBD
AVX2 AVX PSUBQ
AVX2 AVX PADDB
AVX2 AVX PADDW
AVX2 AVX PADDD
AVX2 AVX SSSE3 PHADDW
AVX2 AVX PHADDSW
AVX2 AVX PHADDD
AVX2 AVX PHSUBW
AVX2 AVX PHSUBSW
Ta b le 1 3 -1 8 . P ro m o t e d Ve ct o r I n t e g e r S I MD I n st ru ct io n s in AV X2
VE X.2 5 6 E n co d in g VE X.1 2 8 E n co d in g G ro u p I n st ru ct io n
Vol. 1 13-29
PROGRAMMING WITH AVX, FMA AND AVX2
AVX2 AVX PHSUBD
AVX2 AVX PMADDUBSW
AVX2 AVX PALIGNR
AVX2 AVX PSHUFB
AVX2 AVX PMULHRSW
AVX2 AVX PSIGNB
AVX2 AVX PSIGNW
AVX2 AVX PSIGND
AVX2 AVX PABSB
AVX2 AVX PABSW
AVX2 AVX PABSD
AVX2 AVX MOVNTDQA
AVX2 AVX MPSADBW
AVX2 AVX PACKUSDW
AVX2 AVX PBLENDVB
AVX2 AVX PBLENDW
AVX2 AVX PCMPEQQ
no AVX PEXTRD
no AVX PEXTRQ
no AVX PEXTRB
no AVX PEXTRW
no AVX PHMINPOSUW
no AVX PINSRB
no AVX PINSRD
no AVX PINSRQ
AVX2 AVX PMAXSB
AVX2 AVX PMAXSD
AVX2 AVX PMAXUD
AVX2 AVX PMAXUW
AVX2 AVX PMINSB
AVX2 AVX PMINSD
AVX2 AVX PMINUD
AVX2 AVX PMINUW
AVX2 AVX PMOVSXxx
AVX2 AVX PMOVZXxx
AVX2 AVX PMULDQ
AVX2 AVX PMULLD
AVX AVX PTEST
Ta b le 1 3 -1 8 . P ro m o t e d Ve ct o r I n t e g e r S I MD I n st ru ct io n s in AV X2
VE X.2 5 6 E n co d in g VE X.1 2 8 E n co d in g G ro u p I n st ru ct io n
13-30 Vol. 1
PROGRAMMING WITH AVX, FMA AND AVX2
Table 13-19 compares complementary SIMD functionalities introduced in AVX and AVX2. instructions.
AVX2 AVX SSE4.2 PCMPGTQ
no AVX PCMPESTRI
no AVX PCMPESTRM
no AVX PCMPISTRI
no AVX PCMPISTRM
no AVX AESNI AESDEC
no AVX AESDECLAST
no AVX AESENC
no AVX AESECNLAST
no AVX AESIMC
no AVX AESKEYGENASSIST
no AVX CLMUL PCLMULQDQ
Ta b le 1 3 -1 9 . VE X-O n ly S I MD I n st ru ct io n s in AV X a n d AV X2
AV X2 AVX C o m m e n t
VBROADCASTI128 VBROADCASTF128 256-bit only
VBROADCASTSD ymm1, xmm VBROADCASTSD ymm1, m64 256-bit only
VBROADCASTSS (from xmm) VBROADCASTSS (fromm32)
VEXTRACTI128 VEXTRACTF128 256-bit only
VINSERTI128 VINSERTF128 256-bit only
VPMASKMOVD VMASKMOVPS
VPMASKMOVQ! VMASKMOVPD
VPERMILPD in-lane
VPERMILPS in-lane
VPERM2I128 VPERM2F128 256-bit only
VPERMD cross-lane
VPERMPS cross-lane
VPERMQ cross-lane
VPERMPD cross-lane
VTESTPD
VTESTPS
Ta b le 1 3 -1 8 . P ro m o t e d Ve ct o r I n t e g e r S I MD I n st ru ct io n s in AV X2
VE X.2 5 6 E n co d in g VE X.1 2 8 E n co d in g G ro u p I n st ru ct io n
Vol. 1 13-31
PROGRAMMING WITH AVX, FMA AND AVX2
VPBLENDD
VPSLLVD/Q
VPSRAVD
VPSRLVD/Q
VGATHERDPD/QPD
VGATHERDPS/QPS
VPGATHERDD/QD
VPGATHERDQ/QQ
Ta b le 1 3 -1 9 . VE X-O n ly S I MD I n st ru ct io n s in AV X a n d AV X2
AVX2 AV X C o m m e n t
13-32 Vol. 1
PROGRAMMING WITH AVX, FMA AND AVX2
Ta b le 1 3 -2 0 . N e w P rim it iv e in AV X2 I n st ru ct io n s
I n st ru ct io n D e scrip t io n
VPERMD ymm1, ymm2, ymm3/m256 Permute doublewords in ymm3/m256 using indexes in ymm2 and store the result in ymm1.
VPERMPD ymm1, ymm2/m256, imm8 Permute double-precision FP elements in ymm2/m256 using indexes in imm8 and store the
result in ymm1.
VPERMPS ymm1, ymm2, ymm3/m256 Permute single-precision FP elements in ymm3/m256 using indexes in ymm2 and store the
result in ymm1.
VPERMQ ymm1, ymm2/m256, imm8 Permute quadwords in ymm2/m256 using indexes in imm8 and store the result in ymm1.
VPSLLVD xmm1, xmm2, xmm3/m128 Shift doublewords in xmm2 left by amount specified in the corresponding element of
xmm3/m128 while shifting in 0s.
VPSLLVQ xmm1, xmm2, xmm3/m128 Shift quadwords in xmm2 left by amount specified in the corresponding element of
xmm3/m128 while shifting in 0s.
VPSLLVD ymm1, ymm2, ymm3/m256 Shift doublewords in ymm2 left by amount specified in the corresponding element of
ymm3/m256 while shifting in 0s.
VPSLLVQ ymm1, ymm2, ymm3/m256 Shift quadwords in ymm2 left by amount specified in the corresponding element of
ymm3/m256 while shifting in 0s.
VPSRAVD xmm1, xmm2, xmm3/m128 Shift doublewords in xmm2 right by amount specified in the corresponding element of
xmm3/m128 while shifting in the sign bits.
VPSRLVD xmm1, xmm2, xmm3/m128 Shift doublewords in xmm2 right by amount specified in the corresponding element of
xmm3/m128 while shifting in 0s.
VPSRLVQ xmm1, xmm2, xmm3/m128 Shift quadwords in xmm2 right by amount specified in the corresponding element of
xmm3/m128 while shifting in 0s.
VPSRLVD ymm1, ymm2, ymm3/m256 Shift doublewords in ymm2 right by amount specified in the corresponding element of
ymm3/m256 while shifting in 0s.
VPSRLVQ ymm1, ymm2, ymm3/m256 Shift quadwords in ymm2 right by amount specified in the corresponding element of
ymm3/m256 while shifting in 0s.
VGATHERDD xmm1, vm32x, xmm2 Using dword indices specified in vm32x, gather dword values from memory conditioned on
mask specified by xmm2. Conditionally gathered elements are merged into xmm1.
VGATHERQD xmm1, vm64x, xmm2 Using qword indices specified in vm64x, gather dword values from memory conditioned on
mask specified by xmm2. Conditionally gathered elements are merged into xmm1.
VGATHERDD ymm1, vm32y, ymm2 Using dword indices specified in vm32y, gather dword values frommemory conditioned on
mask specified by ymm2. Conditionally gathered elements are merged into ymm1.
VGATHERQD ymm1, vm64y, ymm2 Using qword indices specified in vm64y, gather dword values frommemory conditioned on
mask specified by ymm2. Conditionally gathered elements are merged into ymm1.
VGATHERDPD xmm1, vm32x, xmm2 Using dword indices specified in vm32x, gather double-precision FP values from memory
conditioned on mask specified by xmm2. Conditionally gathered elements are merged into
xmm1.
VGATHERQPD xmm1, vm64x, xmm2 Using qword indices specified in vm64x, gather double-precision FP values from memory
conditioned on mask specified by xmm2. Conditionally gathered elements are merged into
xmm1.
VGATHERDPD ymm1, vm32x, ymm2 Using dword indices specified in vm32x, gather double-precision FP values from memory
conditioned on mask specified by ymm2. Conditionally gathered elements are merged into
ymm1.
VGATHERQPD ymm1, vm64y ymm2 Using qword indices specified in vm64y, gather double-precision FP values from memory
conditioned on mask specified by ymm2. Conditionally gathered elements are merged into
ymm1.
VGATHERDPS xmm1, vm32x, xmm2 Using dword indices specified in vm32x, gather single-precision FP values from memory
conditioned on mask specified by xmm2. Conditionally gathered elements are merged into
xmm1.
VGATHERQPS xmm1, vm64x, xmm2 Using qword indices specified in vm64x, gather single-precision FP values from memory
conditioned on mask specified by xmm2. Conditionally gathered elements are merged into
xmm1.
Vol. 1 13-33
PROGRAMMING WITH AVX, FMA AND AVX2
1 3 .7.1 D e t e ct io n o f AVX2
Hardware support for AVX2 is indicated by CPUID.(EAX=07H, ECX=0H):EBX.AVX2[bit 5]=1.
Application Software must identify that hardware supports AVX, after that it must also detect support for AVX2 by
checking CPUID.(EAX=07H, ECX=0H):EBX.AVX2[bit 5]. The recommended pseudocode sequence for detection of
AVX2 is:
----------------------------------------------------------------------------------------
INT supports_avx2()
{ ; result in eax
mov eax, 1
cpuid
and ecx, 018000000H
cmp ecx, 018000000H; check both OSXSAVE and AVX feature flags
jne not_supported
; processor supports AVX instructions and XGETBV is enabled by OS
mov eax, 7
mov ecx, 0
cpuid
and ebx, 20H
cmp ebx, 20H; check AVX2 feature flags
jne not_supported
mov ecx, 0; specify 0 for XFEATURE_ENABLED_MASK register
XGETBV; result in EDX:EAX
and eax, 06H
cmp eax, 06H; check OS has enabled both XMM and YMM state support
jne not_supported
mov eax, 1
jmp done
NOT_SUPPORTED:
mov eax, 0
done:
VGATHERDPS ymm1, vm32y, ymm2 Using dword indices specified in vm32y, gather single-precision FP values frommemory
conditioned on mask specified by ymm2. Conditionally gathered elements are merged into
ymm1.
VGATHERQPS ymm1, vm64y, ymm2 Using qword indices specified in vm64y, gather single-precision FP values frommemory
conditioned on mask specified by ymm2. Conditionally gathered elements are merged into
ymm1.
VGATHERDQ xmm1, vm32x, xmm2 Using dword indices specified in vm32x, gather qword values frommemory conditioned on
mask specified by xmm2. Conditionally gathered elements are merged into xmm1.
VGATHERQQ xmm1, vm64x, xmm2 Using qword indices specified in vm64x, gather qword values frommemory conditioned on
mask specified by xmm2. Conditionally gathered elements are merged into xmm1.
VGATHERDQ ymm1, vm32x, ymm2 Using dword indices specified in vm32x, gather qword values frommemory conditioned on
mask specified by ymm2. Conditionally gathered elements are merged into ymm1.
VGATHERQQ ymm1, vm64y, ymm2 Using qword indices specified in vm64y, gather qword values from memory conditioned on
mask specified by ymm2. Conditionally gathered elements are merged into ymm1.
I n st ru ct io n D e scrip t io n
13-34 Vol. 1
PROGRAMMING WITH AVX, FMA AND AVX2
}
-------------------------------------------------------------------------------
1 3 .8 AC C E S S I N G YMM R E G I S T E R S
The lower 128 bits of a YMM register is aliased to the corresponding XMM register. Legacy SSE instructions (i.e.
SIMD instructions operating on XMM state but not using the VEX prefix, also referred to non-VEX encoded SIMD
instructions) will not access the upper bits (255:128) of the YMM registers. AVX and FMA instructions with a VEX
prefix and vector length of 128-bits zeroes the upper 128 bits of the YMM register.
Upper bits of YMM registers (255:128) can be read and written by many instructions with a VEX.256 prefix.
XSAVE and XRSTOR may be used to save and restore the upper bits of the YMM registers.
1 3 .9 ME MO R Y ALI G N ME N T
Memory alignment requirements on VEX-encoded instruction differs from non-VEX-encoded instructions. Memory
alignment applies to non-VEX-encoded SIMD instructions in three categories:
Explicitly-aligned SIMD load and store instructions accessing 16 bytes of memory (e.g. MOVAPD, MOVAPS,
MOVDQA, etc.). These instructions always require memory address to be aligned on 16-byte boundary.
Explicitly-unaligned SIMD load and store instructions accessing 16 bytes or less of data from memory (e.g.
MOVUPD, MOVUPS, MOVDQU, MOVQ, MOVD, etc.). These instructions do not require memory address to be
aligned on 16-byte boundary.
The vast majority of arithmetic and data processing instructions in legacy SSE instructions (non-VEX-encoded
SIMD instructions) support memory access semantics. When these instructions access 16 bytes of data from
memory, the memory address must be aligned on 16-byte boundary.
Most arithmetic and data processing instructions encoded using the VEX prefix and performing memory accesses
have more flexible memory alignment requirements than instructions that are encoded without the VEX prefix.
Specifically,
With the exception of explicitly aligned 16 or 32 byte SIMD load/store instructions, most VEX-encoded,
arithmetic and data processing instructions operate in a flexible environment regarding memory address
alignment, i.e. VEX-encoded instruction with 32-byte or 16-byte load semantics will support unaligned load
operation by default. Memory arguments for most instructions with VEX prefix operate normally without
causing #GP(0) on any byte-granularity alignment (unlike Legacy SSE instructions). The instructions that
require explicit memory alignment requirements are listed in Table 13-22.
Software may see performance penalties when unaligned accesses cross cacheline boundaries, so reasonable
attempts to align commonly used data sets should continue to be pursued.
Atomic memory operation in Intel 64 and IA-32 architecture is guaranteed only for a subset of memory operand
sizes and alignment scenarios. The list of guaranteed atomic operations are described in Section 8.1.1 of IA-32
Intel Architecture Software Developers Manual, Volumes 3A. AVX and FMA instructions do not introduce any new
guaranteed atomic memory operations.
AVX instructions can generate an #AC(0) fault on misaligned 4 or 8-byte memory references in Ring-3 when
CR0.AM=1. 16 and 32-byte memory references will not generate #AC(0) fault. See Table 13-21 for details.
Certain AVX instructions always require 16- or 32-byte alignment (see the complete list of such instructions in
Table 13-22). These instructions will #GP(0) if not aligned to 16-byte boundaries (for 16-byte granularity loads and
stores) or 32-byte boundaries (for 32-byte loads and stores).
Vol. 1 13-35
PROGRAMMING WITH AVX, FMA AND AVX2
Ta b le 1 3 -2 1 . Alig n m e n t Fa u lt in g C o n d it io n s w h e n Me m o ry Acce ss is N o t Alig n e d
E F LAG S .AC = = 1 & & R in g -3 & & C R 0 .AM = = 1 0 1
I
n
s
t
r
u
c
t
i
o
n

T
y
p
e
A
V
X
,

F
M
A
,
16- or 32-byte explicitly unaligned loads and stores (see Table
13-23)
no fault no fault
VEX op YMM, m256 no fault no fault
VEX op XMM, m128 no fault no fault
explicitly aligned loads and stores (see Table 13-22) #GP(0) #GP(0)
2, 4, or 8-byte loads and stores no fault #AC(0)
S
S
E
16 byte explicitly unaligned loads and stores (see Table 13-23) no fault no fault
op XMM, m128 #GP(0) #GP(0)
explicitly aligned loads and stores (see Table 13-22) #GP(0) #GP(0)
2, 4, or 8-byte loads and stores no fault #AC(0)
Ta b le 1 3 -2 2 . I n st ru ct io n s R e q u irin g E x p licit ly Alig n e d Me m o ry
R e q u ire 1 6 -b y t e a lig n m e n t R e q u ire 3 2 -b y t e a lig n m e n t
(V)MOVDQA xmm, m128 VMOVDQA ymm, m256
(V)MOVDQA m128, xmm VMOVDQA m256, ymm
(V)MOVAPS xmm, m128 VMOVAPS ymm, m256
(V)MOVAPS m128, xmm VMOVAPS m256, ymm
(V)MOVAPD xmm, m128 VMOVAPD ymm, m256
(V)MOVAPD m128, xmm VMOVAPD m256, ymm
(V)MOVNTPS m128, xmm VMOVNTPS m256, ymm
(V)MOVNTPD m128, xmm VMOVNTPD m256, ymm
(V)MOVNTDQ m128, xmm VMOVNTDQ m256, ymm
(V)MOVNTDQA xmm, m128
Ta b le 1 3 -2 3 . I n st ru ct io n s N o t R e q u irin g E x p licit Me m o ry Alig n m e n t
(V)MOVDQU xmm, m128
(V)MOVDQU m128, m128
(V)MOVUPS xmm, m128
(V)MOVUPS m128, xmm
(V)MOVUPD xmm, m128
(V)MOVUPD m128, xmm
VMOVDQU ymm, m256
VMOVDQU m256, ymm
VMOVUPS ymm, m256
VMOVUPS m256, ymm
VMOVUPD ymm, m256
VMOVUPD m256, ymm
13-36 Vol. 1
PROGRAMMING WITH AVX, FMA AND AVX2
1 3 .1 0 S I MD F LO AT I N G -P O I N T E XC E P T I O N S
AVX instructions can generate SIMD floating-point exceptions (#XM) and respond to exception masks in the same
way as Legacy SSE instructions. When CR4.OSXMMEXCPT=0 any unmasked FP exceptions generate an Undefined
Opcode exception (#UD).
AVX FP exceptions are created in a similar fashion (differing only in number of elements) to Legacy SSE and SSE2
instructions capable of generating SIMD floating-point exceptions.
AVX introduces no new arithmetic operations (AVX floating-point are analogues of existing Legacy SSE instruc-
tions).
F16C, FMA instructions can generate SIMD floating-point exceptions (#XM). The requirement that apply to AVX
also apply to F16C and FMA.
The subset of AVX2 instructions that operate on floating-point data do not generate #XM.
The detailed exception conditions for AVX instructions and legacy SIMD instructions (excluding instructions that
operates on MMX registers) are described in a number of exception class types, depending on the operand syntax
and memory operation characteristics. The complete list of SIMD instruction exception class types are defined in
Chapter 2, Instruction Format, of Intel 64 and IA-32 Architectures Software Developers Manual, Volume 2A.
1 3 .1 1 E MU LAT I O N
Setting the CR0.EMbit to 1 provides a technique to emulate Legacy SSE floating-point instruction sets in software.
This technique is not supported with AVX instructions.
If an operating system wishes to emulate AVX instructions, set XFEATURE_ENABLED_MASK[2:1] to zero. This will
cause AVX instructions to #UD. Emulation of F16C, AVX2, and FMA by operating system can be done similarly as
with emulating AVX instructions.
1 3 .1 2 WR I T I N G AV X F LO AT I N G -P O I N T E XC E P T I O N H AN D LE R S
AVX and FMA floating-point exceptions are handled in an entirely analogous way to Legacy SSE floating-point
exceptions. To handle unmasked SIMD floating-point exceptions, the operating system or executive must provide
an exception handler. The section titled SSE and SSE2 SIMD Floating-Point Exceptions in Chapter 11, Program-
ming with Streaming SIMD Extensions 2 (SSE2), describes the SIMD floating-point exception classes and gives
suggestions for writing an exception handler to handle them.
To indicate that the operating system provides a handler for SIMD floating-point exceptions (#XM), the CR4.OSXM-
MEXCPT flag (bit 10) must be set.
The guidelines for writing AVX floating-point exception handlers also apply to F16C and FMA.
1 3 .1 3 G E N E R AL P U R P O S E I N S T R U C T I O N S E T E N H AN C E ME N T S
Enhancements in the general-purpose instruction set consist of several categories:
A rich collection of instructions to manipulate integer data at bit-granularity. Most of the bit-manipulation
instructions employ VEX-prefix encoding to support three-operand syntax with non-destructive source
operands. Two of the bit-manipulating instructions (LZCNT, TZCNT) are not encoded using VEX. The VEX-
encoded bit-manipulation instructions include: ANDN, BEXTR, BLSI, BLSMSK, BLSR, BZHI, PEXT, PDEP, SARX,
SHLX, SHRX, and RORX.
Enhanced integer multiply instruction (MULX) in conjunctions with some of the bit-manipulation instructions
allow software to accelerate calculation of large integer numerics (wider than 128-bits).
INVPCID instruction targets system software that manages processor context IDs.
Vol. 1 14-1
C H AP T E R 1 4
P R O G R AMMI N G WI T H I N T E L T R AN S AC T I O N AL S YN C H R O N I Z AT I O N
E XT E N S I O N S
1 4 .1 O VE R V I E W
This chapter describes the software programming interface to the Intel

Transactional Synchronization Extensions


of the Intel 64 architecture.
Multithreaded applications take advantage of increasing number of cores to achieve high performance. However,
writing multi-threaded applications requires programmers to reason about data sharing among multiple threads.
Access to shared data typically requires synchronization mechanisms. These mechanisms ensure multiple threads
update shared data by serializing operations on the shared data, often through the use of a critical section
protected by a lock. Since serialization limits concurrency, programmers try to limit synchronization overheads.
They do this either through minimizing the use of synchronization or through the use of fine-grain locks; where
multiple locks each protect different shared data. Unfortunately, this process is difficult and error prone; a missed
or incorrect synchronization can cause an application to fail. Conservatively adding synchronization and using
coarser granularity locks, where a few locks each protect many items of shared data, helps avoid correctness prob-
lems but limits performance due to excessive serialization. While programmers must use static information to
determine when to serialize, the determination as to whether actually to serialize is best done dynamically.
Intel Transactional Synchronization Extensions aim to improve the performance of lock-protected critical sections
while maintaining the lock-based programming model.
1 4 .2 I N T E L T R AN S AC T I O N AL S YN C H R O N I Z AT I O N E XT E N S I O N S
Intel

Transactional Synchronization Extensions (Intel

TSX) allow the processor to determine dynamically


whether threads need to serialize through lock-protected critical sections, and to perform serialization only when
required. This lets the hardware expose and exploit concurrency hidden in an application due to dynamically
unnecessary synchronization through a technique known as lock elision.
With lock elision, the hardware executes the programmer-specified critical sections (also referred to as transac-
tional regions) transactionally. In such an execution, the lock variable is only read within the transactional region;
it is not written to (and therefore not acquired) with the expectation that the lock variable remains unchanged after
the transactional region, thus exposing concurrency.
If the transactional execution completes successfully, then the hardware ensures that all memory operations
performed within the transactional region will appear to have occurred instantaneously when viewed from other
logical processors, a process referred to as an atomic commit. Any updates performed within the transactional
region are made visible to other processors only on an atomic commit.
Since a successful transactional execution ensures an atomic commit, the processor can execute the programmer-
specified code section optimistically without synchronization. If synchronization was unnecessary for that specific
execution, execution can commit without any cross-thread serialization.
If the transactional execution is unsuccessful, the processor cannot commit the updates atomically. When this
happens, the processor will roll back the execution, a process referred to as a transactional abort. On a transac-
tional abort, the processor will discard all updates performed in the region, restore architectural state to appear as
if the optimistic execution never occurred, and resume execution non-transactionally. Depending on the policy in
place, lock elision may be retried or the lock may be explicitly acquired to ensure forward progress.
Intel TSX provides two software interfaces for programmers.
Hardware Lock Elision (HLE) is a legacy compatible instruction set extension (comprising the XACQUIRE and
XRELEASE prefixes).
Restricted Transactional Memory (RTM) is a new instruction set interface (comprising the XBEGIN and XEND
instructions).
14-2 Vol. 1
PROGRAMMING WITH INTELTRANSACTIONAL SYNCHRONIZATION EXTENSIONS
Programmers who would like to run Intel TSX-enabled software on legacy hardware would use the HLE interface to
implement lock elision. On the other hand, programmers who do not have legacy hardware requirements and who
deal with more complex locking primitives would use the RTM software interface of Intel TSX to implement lock
elision. In the latter case when using new instructions, the programmer must always provide a non-transactional
path (which would have code to eventually acquire the lock being elided) to execute following a transactional abort
and must not rely on the transactional execution alone.
In addition, Intel TSX also provides the XTEST instruction to test whether a logical processor is executing transac-
tionally, and the XABORT instruction to abort a transactional region.
A processor can perform a transactional abort for numerous reasons. A primary cause is due to conflicting accesses
between the transactionally executing logical processor and another logical processor. Such conflicting accesses
may prevent a successful transactional execution. Memory addresses read from within a transactional region
constitute the read-set of the transactional region and addresses written to within the transactional region consti-
tute the write-set of the transactional region. Intel TSX maintains the read- and write-sets at the granularity of a
cache line.
A conflicting data access occurs if another logical processor either reads a location that is part of the transactional
regions write-set or writes a location that is a part of either the read- or write-set of the transactional region. We
refer to this as a data conflict. Since Intel TSX detects data conflicts at the granularity of a cache line, unrelated
data locations placed in the same cache line will be detected as conflicts. Transactional aborts may also occur due
to limited transactional resources. For example, the amount of data accessed in the region may exceed an imple-
mentation-specific capacity. Additionally, some instructions and system events may cause transactional aborts.
1 4 .2 .1 H LE S o ft w a re I n t e rfa ce
HLE provides two new instruction prefix hints: XACQUIRE and XRELEASE.
The programmer uses the XACQUIRE prefix in front of the instruction that is used to acquire the lock that is
protecting the critical section. The processor treats the indication as a hint to elide the write associated with the
lock acquire operation. Even though the lock acquire has an associated write operation to the lock, the processor
does not add the address of the lock to the transactional regions write-set nor does it issue any write requests to
the lock. Instead, the address of the lock is added to the read-set. The logical processor enters transactional execu-
tion. If the lock was available before the XACQUIRE prefixed instruction, all other processors will continue to see it
as available afterwards. Since the transactionally executing logical processor neither added the address of the lock
to its write-set nor performed externally visible write operations to it, other logical processors can read the lock
without causing a data conflict. This allows other logical processors to also enter and concurrently execute the crit-
ical section protected by the lock. The processor automatically detects any data conflicts that occur during the
transactional execution and will perform a transactional abort if necessary.
Even though the eliding processor did not perform any external write operations to the lock, the hardware ensures
program order of operations on the lock. If the eliding processor itself reads the value of the lock in the critical
section, it will appear as if the processor had acquired the lock, i.e. the read will return the non-elided value. This
behavior makes an HLE execution functionally equivalent to an execution without the HLE prefixes.
The programmer uses the XRELEASE prefix in front of the instruction that is used to release the lock protecting the
critical section. This involves a write to the lock. If the instruction is restoring the value of the lock to the value it
had prior to the XACQUIRE prefixed lock acquire operation on the same lock, then the processor elides the external
write request associated with the release of the lock and does not add the address of the lock to the write-set. The
processor then attempts to commit the transactional execution.
With HLE, if multiple threads execute critical sections protected by the same lock but they do not perform any
conflicting operations on each others data, then the threads can execute concurrently and without serialization.
Even though the software uses lock acquisition operations on a common lock, the hardware recognizes this, elides
the lock, and executes the critical sections on the two threads without requiring any communication through the
lock if such communication was dynamically unnecessary.
If the processor is unable to execute the region transactionally, it will execute the region non-transactionally and
without elision. HLE enabled software has the same forward progress guarantees as the underlying non-HLE lock-
based execution. For successful HLE execution, the lock and the critical section code must follow certain guidelines
(discussed in Section 14.3.3 and Section 14.3.8). These guidelines only affect performance; not following these
guidelines will not cause a functional failure.
Vol. 1 14-3
PROGRAMMING WITH INTELTRANSACTIONAL SYNCHRONIZATION EXTENSIONS
Hardware without HLE support will ignore the XACQUIRE and XRELEASE prefix hints and will not perform any
elision since these prefixes correspond to the REPNE/REPE IA-32 prefixes which are ignored on the instructions
where XACQUIRE and XRELEASE are valid. Importantly, HLE is compatible with the existing lock-based program-
ming model. Improper use of hints will not cause functional bugs though it may expose latent bugs already in the
code.
1 4 .2 .2 R T M S o ft w a re I n t e rfa ce
RTM provides three new instructions: XBEGIN, XEND, and XABORT.
Software uses the XBEGIN instruction to specify the start of the transactional region and the XEND instruction to
specify the end of the transactional region. The XBEGIN instruction takes an operand that provides a relative offset
to the fallback instruction address if the transactional region could not be successfully executed transactionally.
Software using these instructions to implement lock elision must test the lock within the transactional region, and
only if free should try to commit. Further, the software may also define a policy to retry if the lock is not free.
A processor may abort transactional execution for many reasons. The hardware automatically detects transactional
abort conditions and restarts execution from the fallback instruction address with the architectural state corre-
sponding to that at the start of the XBEGIN instruction and the EAX register updated to describe the abort status.
The XABORT instruction allows programmers to abort the execution of a transactional region explicitly. The
XABORT instruction takes an 8 bit immediate argument that is loaded into the EAX register and will thus be avail-
able to software following a transactional abort.
Hardware provides no guarantees as to whether a transactional execution will ever successfully commit. Program-
mers must always provide an alternative code sequence in the fallback path to guarantee forward progress. When
using the instructions for lock elision, this may be as simple as acquiring a lock and executing the specified code
region non-transactionally. Further, a transactional region that always aborts on a given implementation may
complete transactionally on a future implementation. Therefore, programmers must ensure the code paths for the
transactional region and the alternative code sequence are functionally tested.
If the RTM software interface is used for anything other than lock elision, the programmer must similarly ensure
that the fallback path is inter-operable with the transactionally executing path.
1 4 .3 I N T E L T S X AP P LI C AT I O N P R O G R AMMI N G MO D E L
1 4 .3 .1 D e t e ct io n o f T ra n sa ct io n a l S y n ch ro n iza t io n S u p p o rt
1 4 .3 .1 .1 D e t e ct io n o f H LE S u p p o rt
A processor supports HLE execution if CPUID.07H.EBX.HLE [bit 4] = 1. However, an application can use the HLE
prefixes (XACQUIRE and XRELEASE) without checking whether the processor supports HLE. Processors without
HLE support ignore these prefixes and will execute the code without entering transactional execution.
1 4 .3 .1 .2 D e t e ct io n o f R T M S u p p o rt
A processor supports RTM execution if CPUID.07H.EBX.RTM [bit 11] = 1. An application must check if the processor
supports RTM before it uses the RTM instructions (XBEGIN, XEND, XABORT). These instructions will generate a
#UD exception when used on a processor that does not support RTM.
1 4 .3 .1 .3 D e t e ct io n o f XT E S T I n st ru ct io n
A processor supports the XTEST instruction if it supports either HLE or RTM. An application must check either of
these feature flags before using the XTEST instruction. This instruction will generate a #UD exception when used
on a processor that does not support either HLE or RTM.
14-4 Vol. 1
PROGRAMMING WITH INTELTRANSACTIONAL SYNCHRONIZATION EXTENSIONS
1 4 .3 .2 Q u e ry in g T ra n sa ct io n a l E xe cu t io n S t a t u s
The XTEST instruction can be used to determine the transactional status of a transactional region specified by HLE
or RTM. Note, while the HLE prefixes are ignored on processors that do not support HLE, the XTEST instruction will
generate a #UD exception when used on processors that do not support either HLE or RTM.
1 4 .3 .3 R e q u ire m e n t s fo r H LE Lo ck s
For HLE execution to successfully commit transactionally, the lock must satisfy certain properties and access to the
lock must follow certain guidelines.
An XRELEASE prefixed instruction must restore the value of the elided lock to the value it had before the lock
acquisition. This allows hardware to safely elide locks by not adding them to the write-set. The data size and
data address of the lock release (XRELEASE prefixed) instruction must match that of the lock acquire
(XACQUIRE prefixed) and the lock must not cross a cache line boundary.
Software should not write to the elided lock inside a transactional HLE region with any instruction other than an
XRELEASE prefixed instruction, otherwise it may cause a transactional abort. In addition, recursive locks
(where a thread acquires the same lock multiple times without first releasing the lock) may also cause a trans-
actional abort. Note that software can observe the result of the elided lock acquire inside the critical section.
Such a read operation will return the value of the write to the lock.
The processor automatically detects violations to these guidelines, and safely transitions to a non-transactional
execution without elision. Since Intel TSX detects conflicts at the granularity of a cache line, writes to data collo-
cated on the same cache line as the elided lock may be detected as data conflicts by other logical processors eliding
the same lock.
1 4 .3 .4 T ra n sa ct io n a l N e st in g
Both HLE- and RTM-based transactional executions support nested transactional regions. However, a transactional
abort restores state to the operation that started transactional execution: either the outermost XACQUIRE prefixed
HLE eligible instruction or the outermost XBEGIN instruction. The processor treats all nested transactional regions
as one monolithic transactional region.
1 4 .3 .4 .1 H LE N e st in g a n d E lisio n
Programmers can nest HLE regions up to an implementation specific depth of MAX_HLE_NEST_COUNT. Each logical
processor tracks the nesting count internally but this count is not available to software. An XACQUIRE prefixed HLE-
eligible instruction increments the nesting count, and an XRELEASE prefixed HLE-eligible instruction decrements it.
The logical processor enters transactional execution when the nesting count goes from zero to one. The logical
processor attempts to commit only when the nesting count becomes zero. A transactional abort may occur if the
nesting count exceeds MAX_HLE_NEST_COUNT.
In addition to supporting nested HLE regions, the processor can also elide multiple nested locks. The processor
tracks a lock for elision beginning with the XACQUIRE prefixed HLE eligible instruction for that lock and ending with
the XRELEASE prefixed HLE eligible instruction for that same lock. The processor can, at any one time, track up to
a MAX_HLE_ELIDED_LOCKS number of locks. For example, if the implementation supports a
MAX_HLE_ELIDED_LOCKS value of two and if the programmer nests three HLE identified critical sections (by
performing XACQUIRE prefixed HLE eligible instructions on three distinct locks without performing an intervening
XRELEASE prefixed HLE eligible instruction on any one of the locks), then the first two locks will be elided, but the
third won't be elided (but will be added to the transactions write-set). However, the execution will still continue
transactionally. Once an XRELEASE for one of the two elided locks is encountered, a subsequent lock acquired
through the XACQUIRE prefixed HLE eligible instruction will be elided.
The processor attempts to commit the HLE execution when all elided XACQUIRE and XRELEASE pairs have been
matched, the nesting count goes to zero, and the locks have satisfied the requirements described earlier. If execu-
tion cannot commit atomically, then execution transitions to a non-transactional execution without elision as if the
first instruction did not have an XACQUIRE prefix.
Vol. 1 14-5
PROGRAMMING WITH INTELTRANSACTIONAL SYNCHRONIZATION EXTENSIONS
1 4 .3 .4 .2 R T M N e st in g
Programmers can nest RTM-based transactional regions up to an implementation specific
MAX_RTM_NEST_COUNT. The logical processor tracks the nesting count internally but this count is not available to
software. An XBEGIN instruction increments the nesting count, and an XEND instruction decrements it. The logical
processor attempts to commit only if the nesting count becomes zero. A transactional abort occurs if the nesting
count exceeds MAX_RTM_NEST_COUNT.
1 4 .3 .4 .3 N e st in g H LE a n d R T M
HLE and RTM provide two alternative software interfaces to a common transactional execution capability. The
behavior when HLE and RTM are nested togetherHLE inside RTM or RTM inside HLEis implementation specific.
However, in all cases, the implementation will maintain HLE and RTM semantics. An implementation may choose to
ignore HLE hints when used inside RTM regions, and may cause a transactional abort when RTM instructions are
used inside HLE regions. In the latter case, the transition from transactional to non-transactional execution occurs
seamlessly since the processor will re-execute the HLE region without actually doing elision, and then execute the
RTM instructions.
1 4 .3 .5 R T M Ab o rt S t a t u s D e fin it io n
RTM uses the EAX register to communicate abort status to software. Following an RTM abort the EAX register has
the following definition.
The EAX abort status for RTM only provides causes for aborts. It does not by itself encode whether an abort or
commit occurred for the RTM region. The value of EAX can be 0 following an RTM abort. For example, a CPUID
instruction when used inside an RTM region causes a transactional abort and may not satisfy the requirements for
setting any of the EAX bits. This may result in an EAX value of 0.
1 4 .3 .6 R T M Me m o ry O rd e rin g
A successful RTM commit causes all memory operations in the RTM region to appear to execute atomically. A
successfully committed RTM region consisting of an XBEGIN followed by an XEND, even with no memory operations
in the RTM region, has the same ordering semantics as a LOCK prefixed instruction.
The XBEGIN instruction does not have fencing semantics. However, if an RTM execution aborts, all memory
updates from within the RTM region are discarded and never made visible to any other logical processor.
Ta b le 1 4 -1 . R T M Ab o rt S t a t u s D e f in it io n
E AX R e g ist e r B it
P o sit io n
Me a n in g
0 Set if abort caused by XABORT instruction.
1 If set, the transactional execution may succeed on a retry. This bit is always clear if bit 0 is set.
2 Set if another logical processor conflicted with a memory address that was part of the transactional execution
that aborted.
3 Set if an internal buffer to track transactional state overflowed.
4 Set if a debug breakpoint was hit.
5 Set if an abort occurred during execution of a nested transactional execution.
23:6 Reserved.
31:24 XABORT argument (only valid if bit 0 set, otherwise reserved).
14-6 Vol. 1
PROGRAMMING WITH INTELTRANSACTIONAL SYNCHRONIZATION EXTENSIONS
1 4 .3 .7 R T M-E n a b le d D e b u g g e r S u p p o rt
By default, any debug exception inside an RTM region will cause a transactional abort and will redirect control flow
to the fallback instruction address with architectural state recovered and bit 4 in EAX set. However, to allow soft-
ware debuggers to intercept execution on debug exceptions, the RTM architecture provides additional capability.
If bit 11 of DR7 and bit 15 of the IA32_DEBUGCTL_MSR are both 1, any RTM abort due to a debug exception (#DB)
or breakpoint exception (#BP) causes execution to roll back to just before the XBEGIN instruction (EAX is restored
to the value it had before XBEGIN) and then delivers a #DB. DR6[16] is cleared to indicate that the exception
resulted from a debug or breakpoint exception inside an RTM region.
1 4 .3 .8 P ro g ra m m in g C o n sid e ra t io n s
Typical programmer-identified regions are expected to transactionally execute and commit successfully. However,
Intel TSX does not provide any such guarantee. A transactional execution may abort for many reasons. To take full
advantage of the transactional capabilities, programmers should follow certain guidelines to increase the proba-
bility of their transactional execution committing successfully.
This section discusses various events that may cause transactional aborts. The architecture ensures that updates
performed within a transactional region that subsequently aborts execution will never become visible. Only a
committed transactional execution updates architectural state. Transactional aborts never cause functional failures
and only affect performance.
1 4 .3 .8 .1 I n st ru ct io n B a se d C o n sid e ra t io n s
Programmers can use any instruction safely inside a transactional region. Further, programmers can use the Intel
TSX instructions and prefixes at any privilege level. However, some instructions will always abort the transactional
execution and cause execution to seamlessly and safely transition to a non-transactional path.
Intel TSX allows for most common instructions to be used inside transactional regions without causing aborts. The
following operations inside a transactional region do not typically cause an abort.
Operations on the instruction pointer register, general purpose registers (GPRs) and the status flags (CF, OF, SF,
PF, AF, and ZF).
Operations on XMM and YMM registers and the MXCSR register
However, programmers must be careful when intermixing SSE and AVX operations inside a transactional region.
Intermixing SSE instructions accessing XMM registers and AVX instructions accessing YMM registers may cause
transactional regions to abort.
CLD and STD instructions when used inside transactional regions may cause aborts if they change the value of the
DF flag. However, if DF is 1, the STD instruction will not cause an abort. Similarly, if DF is 0, the CLD instruction will
not cause an abort.
Instructions not enumerated here as causing abort when used inside a transactional region will typically not cause
the execution to abort (examples include but are not limited to MFENCE, LFENCE, SFENCE, RDTSC, RDTSCP, etc.).
The following instructions will abort transactional execution on any implementation:
XABORT
CPUID
PAUSE
In addition, in some implementations, the following instructions may always cause transactional aborts. These
instructions are not expected to be commonly used inside typical transactional regions. However, programmers
must not rely on these instructions to force a transactional abort, since whether they cause transactional aborts is
implementation dependent.
Operations on X87 and MMX architecture state. This includes all MMX and X87 instructions, including the
FXRSTOR and FXSAVE instructions.
Update to non-status portion of EFLAGS: CLI, STI, POPFD, POPFQ.
Instructions that update segment registers, debug registers and/or control registers: MOV to
DS/ES/FS/GS/SS, POP DS/ES/FS/GS/SS, LDS, LES, LFS, LGS, LSS, SWAPGS, WRFSBASE, WRGSBASE, LGDT,
Vol. 1 14-7
PROGRAMMING WITH INTELTRANSACTIONAL SYNCHRONIZATION EXTENSIONS
SGDT, LIDT, SIDT, LLDT, SLDT, LTR, STR, Far CALL, Far JMP, Far RET, IRET, MOV to DRx, MOV to
CR0/CR2/CR3/CR4/CR8, CLTS and LMSW.
Ring transitions: SYSENTER, SYSCALL, SYSEXIT, and SYSRET.
TLB and Cacheability control: CLFLUSH, INVD, WBINVD, INVLPG, INVPCID, and memory instructions with a
non-temporal hint (V/MOVNTDQA, V/MOVNTDQ, V/MOVNTI, V/MOVNTPD, V/MOVNTPS, V/MOVNTQ ,
V/MASKMOVQ, and V/MASKMOVDQU).
Processor state save: XSAVE, XSAVEOPT, and XRSTOR.
Interrupts: INTn, INTO.
IO: IN, INS, REP INS, OUT, OUTS, REP OUTS and their variants.
VMX: VMPTRLD, VMPTRST, VMCLEAR, VMREAD, VMWRITE, VMCALL, VMLAUNCH, VMRESUME, VMXOFF,
VMXON, INVEPT, INVVPID, and VMFUNC.
SMX: GETSEC.
UD2, RSM, RDMSR, WRMSR, HLT, MONITOR, MWAIT, XSETBV, VZEROUPPER, MASKMOVQ, and
V/MASKMOVDQU.
1 4 .3 .8 .2 R u n t im e C o n sid e ra t io n s
In addition to the instruction-based considerations, runtime events may cause transactional execution to abort.
These may be due to data access patterns or micro-architectural implementation causes. Keep in mind that the
following list is not a comprehensive discussion of all abort causes.
Any fault or trap in a transactional region that must be exposed to software will be suppressed. Transactional
execution will abort and execution will transition to a non-transactional execution, as if the fault or trap had never
occurred. If any exception is not masked, that will result in a transactional abort and it will be as if the exception
had never occurred.
When executed in VMX non-root operation, certain instructions may result in a VM exit. When such instructions are
executed inside a transactional region, then instead of causing a VM exit, they will cause a transactional abort and
the execution will appear as if instruction that would have caused a VM exit never executed.
Synchronous exception events (#DE, #OF, #NP, #SS, #GP, #BR, #UD, #AC, #XF, #PF, #NM, #TS, #MF, #DB,
#BP/INT3) that occur during transactional execution may cause an execution not to commit transactionally, and
require a non-transactional execution. These events are suppressed as if they had never occurred. With HLE, since
the non-transactional code path is identical to the transactional code path, these events will typically re-appear
when the instruction that caused the exception is re-executed non-transactionally, causing the associated synchro-
nous events to be delivered appropriately in the non-transactional execution. The same behavior also applies to
synchronous events (EPT violations, EPT misconfigurations, and accesses to the APIC-access page) that occur in
VMX non-root operation.
Asynchronous events (NMI, SMI, INTR, IPI, PMI, etc.) occurring during transactional execution may cause the
transactional execution to abort and transition to a non-transactional execution. The asynchronous events will be
pended and handled after the transactional abort is processed. The same behavior also applies to asynchronous
events (VMX-preemption timer expiry, virtual-interrupt delivery, and interrupt-window exiting) that occur in VMX
non-root operation.
Transactional execution only supports write-back cacheable memory type operations. A transactional region may
always abort if it includes operations on any other memory type. This includes instruction fetches to UC memory
type.
Memory accesses within a transactional region may require the processor to set the Accessed and Dirty flags of the
referenced page table entry. The behavior of how the processor handles this is implementation specific. Some
implementations may allow the updates to these flags to become externally visible even if the transactional region
subsequently aborts. Some Intel TSX implementations may choose to abort the transactional execution if these
flags need to be updated. Further, a processor's page-table walk may generate accesses to its own transactionally
written but uncommitted state. Some Intel TSX implementations may choose to abort the execution of a transac-
tional region in such situations. Regardless, the architecture ensures that, if the transactional region aborts, then
the transactionally written state will not be made architecturally visible through the behavior of structures such as
TLBs.
14-8 Vol. 1
PROGRAMMING WITH INTELTRANSACTIONAL SYNCHRONIZATION EXTENSIONS
Executing self-modifying code transactionally may also cause transactional aborts. Programmers must continue to
follow the Intel recommended guidelines for writing self-modifying and cross-modifying code even when employing
Intel TSX.
While an Intel TSX implementation will typically provide sufficient resources for executing common transactional
regions, implementation constraints and excessive sizes for transactional regions may cause a transactional execu-
tion to abort and transition to a non-transactional execution. The architecture provides no guarantee of the amount
of resources available to do transactional execution and does not guarantee that a transactional execution will ever
succeed.
Conflicting requests to a cache line accessed within a transactional region may prevent the transactional region
from executing successfully. For example, if logical processor P0 reads line A in a transactional region and another
logical processor P1 writes A (either inside or outside a transactional region) then logical processor P0 may abort if
logical processor P1s write interferes with processor P0's ability to execute transactionally. Similarly, if P0 writes
line A in a transactional region and P1reads or writes A (either inside or outside a transactional region), then P0
may abort if P1's access to A interferes with P0's ability to execute transactionally. In addition, other coherence
traffic may at times appear as conflicting requests and may cause aborts. While these false conflicts may happen,
they are expected to be uncommon. The conflict resolution policy to determine whether P0 or P1 aborts in the
above scenarios is implementation specific.
Vol. 1 15-1
C H AP T E R 1 5
I N P U T /O U T P U T
In addition to transferring data to and from external memory, IA-32 processors can also transfer data to and from
input/output ports (I/O ports). I/O ports are created in system hardware by circuity that decodes the control, data,
and address pins on the processor. These I/O ports are then configured to communicate with peripheral devices. An
I/O port can be an input port, an output port, or a bidirectional port. Some I/O ports are used for transmitting data,
such as to and from the transmit and receive registers, respectively, of a serial interface device. Other I/O ports are
used to control peripheral devices, such as the control registers of a disk controller.
This chapter describes the processors I/O architecture. The topics discussed include:
I/O port addressing
I/O instructions
I/O protection mechanism
1 5 .1 I /O P O R T AD D R E S S I N G
The processor permits applications to access I/O ports in either of two ways:
Through a separate I/O address space
Through memory-mapped I/O
Accessing I/O ports through the I/O address space is handled through a set of I/O instructions and a special I/O
protection mechanism. Accessing I/O ports through memory-mapped I/O is handled with the processors general-
purpose move and string instructions, with protection provided through segmentation or paging. I/O ports can be
mapped so that they appear in the I/O address space or the physical-memory address space (memory mapped
I/O) or both.
One benefit of using the I/O address space is that writes to I/O ports are guaranteed to be completed before the
next instruction in the instruction stream is executed. Thus, I/O writes to control system hardware cause the hard-
ware to be set to its new state before any other instructions are executed. See Section 15.6, Ordering I/O, for
more information on serializing of I/O operations.
1 5 .2 I /O P O R T H AR D WAR E
From a hardware point of view, I/O addressing is handled through the processors address lines. For the P6 family,
Pentium 4, and Intel Xeon processors, the request command lines signal whether the address lines are being driven
with a memory address or an I/O address; for Pentium processors and earlier IA-32 processors, the M/IO# pin indi-
cates a memory address (1) or an I/O address (0). When the separate I/O address space is selected, it is the
responsibility of the hardware to decode the memory-I/O bus transaction to select I/O ports rather than memory.
Data is transmitted between the processor and an I/O device through the data lines.
1 5 .3 I /O AD D R E S S S P AC E
The processors I/O address space is separate and distinct from the physical-memory address space. The I/O
address space consists of 2
16
(64K) individually addressable 8-bit I/O ports, numbered 0 through FFFFH. I/O port
addresses 0F8H through 0FFH are reserved. Do not assign I/O ports to these addresses. The result of an attempt
to address beyond the I/O address space limit of FFFFH is implementation-specific; see the Developers Manuals for
specific processors for more details.
Any two consecutive 8-bit ports can be treated as a 16-bit port, and any four consecutive ports can be a 32-bit port.
In this manner, the processor can transfer 8, 16, or 32 bits to or from a device in the I/O address space. Like words
in memory, 16-bit ports should be aligned to even addresses (0, 2, 4, ...) so that all 16 bits can be transferred in a
15-2 Vol. 1
INPUT/OUTPUT
single bus cycle. Likewise, 32-bit ports should be aligned to addresses that are multiples of four (0, 4, 8, ...). The
processor supports data transfers to unaligned ports, but there is a performance penalty because one or more
extra bus cycle must be used.
The exact order of bus cycles used to access unaligned ports is undefined and is not guaranteed to remain the same
in future IA-32 processors. If hardware or software requires that I/O ports be written to in a particular order, that
order must be specified explicitly. For example, to load a word-length I/O port at address 2H and then another word
port at 4H, two word-length writes must be used, rather than a single doubleword write at 2H.
Note that the processor does not mask parity errors for bus cycles to the I/O address space. Accessing I/O ports
through the I/O address space is thus a possible source of parity errors.
1 5 .3 .1 Me m o ry -Ma p p e d I /O
I/O devices that respond like memory components can be accessed through the processors physical-memory
address space (see Figure 15-1). When using memory-mapped I/O, any of the processors instructions that refer-
ence memory can be used to access an I/O port located at a physical-memory address. For example, the MOV
instruction can transfer data between any register and a memory-mapped I/O port. The AND, OR, and TEST
instructions may be used to manipulate bits in the control and status registers of a memory-mapped peripheral
devices.
When using memory-mapped I/O, caching of the address space mapped for I/O operations must be prevented.
With the Pentium 4, Intel Xeon, and P6 family processors, caching of I/O accesses can be prevented by using
memory type range registers (MTRRs) to map the address space used for the memory-mapped I/O as uncacheable
(UC). See Chapter 11, Memory Cache Control in the Intel 64 and IA-32 Architectures Software Developers
Manual, Volume 3A, for a complete discussion of the MTRRs.
The Pentium and Intel486 processors do not support MTRRs. Instead, they provide the KEN# pin, which when held
inactive (high) prevents caching of all addresses sent out on the system bus. To use this pin, external address
decoding logic is required to block caching in specific address spaces.
All the IA-32 processors that have on-chip caches also provide the PCD (page-level cache disable) flag in page table
and page directory entries. This flag allows caching to be disabled on a page-by-page basis. See Page-Directory
and Page-Table Entries in Chapter 4 of in the Intel 64 and IA-32 Architectures Software Developers Manual,
Volume 3A.
F ig u re 1 5 -1 . Me m o ry -Ma p p e d I /O
FFFF
I/O Port
EPROM
RAM
Physical Memory
0
I/O Port
I/O Port
Vol. 1 15-3
INPUT/OUTPUT
1 5 .4 I /O I N S T R U C T I O N S
The processors I/O instructions provide access to I/O ports through the I/O address space. (These instructions
cannot be used to access memory-mapped I/O ports.) There are two groups of I/O instructions:
Those that transfer a single item (byte, word, or doubleword) between an I/O port and a general-purpose
register
Those that transfer strings of items (strings of bytes, words, or doublewords) between an I/O port and memory
The register I/O instructions IN (input from I/O port) and OUT (output to I/O port) move data between I/O ports
and the EAX register (32-bit I/O), the AX register (16-bit I/O), or the AL (8-bit I/O) register. The address of the I/O
port can be given with an immediate value or a value in the DX register.
The string I/O instructions INS (input string from I/O port) and OUTS (output string to I/O port) move data
between an I/O port and a memory location. The address of the I/O port being accessed is given in the DX register;
the source or destination memory address is given in the DS:ESI or ES:EDI register, respectively.
When used with one of the repeat prefixes (such as REP), the INS and OUTS instructions perform string (or block)
input or output operations. The repeat prefix REP modifies the INS and OUTS instructions to transfer blocks of data
between an I/O port and memory. Here, the ESI or EDI register is incremented or decremented (according to the
setting of the DF flag in the EFLAGS register) after each byte, word, or doubleword is transferred between the
selected I/O port and memory.
See the references for IN, INS, OUT, and OUTS in Chapter 3 and Chapter 4 of the Intel 64 and IA-32 Architectures
Software Developers Manual, Volumes 2A & 2B, for more information on these instructions.
1 5 .5 P R O T E C T E D -MO D E I /O
When the processor is running in protected mode, the following protection mechanisms regulate access to I/O
ports:
When accessing I/O ports through the I/O address space, two protection devices control access:
The I/O privilege level (IOPL) field in the EFLAGS register
The I/O permission bit map of a task state segment (TSS)
When accessing memory-mapped I/O ports, the normal segmentation and paging protection and the MTRRs
(in processors that support them) also affect access to I/O ports. See Chapter 5, Protection and Chapter 11,
Memory Cache Control in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3A,
for a complete discussion of memory protection.
The following sections describe the protection mechanisms available when accessing I/O ports in the I/O address
space with the I/O instructions.
1 5 .5 .1 I /O P riv ile g e Le v e l
In systems where I/O protection is used, the IOPL field in the EFLAGS register controls access to the I/O address
space by restricting use of selected instructions. This protection mechanism permits the operating system or exec-
utive to set the privilege level needed to perform I/O. In a typical protection ring model, access to the I/O address
space is restricted to privilege levels 0 and 1. Here, kernel and the device drivers are allowed to perform I/O, while
less privileged device drivers and application programs are denied access to the I/O address space. Application
programs must then make calls to the operating system to perform I/O.
The following instructions can be executed only if the current privilege level (CPL) of the program or task currently
executing is less than or equal to the IOPL: IN, INS, OUT, OUTS, CLI (clear interrupt-enable flag), and STI (set
interrupt-enable flag). These instructions are called I/O sensitive instructions, because they are sensitive to the
IOPL field. Any attempt by a less privileged program or task to use an I/O sensitive instruction results in a general-
protection exception (#GP) being signaled. Because each task has its own copy of the EFLAGS register, each task
can have a different IOPL.
15-4 Vol. 1
INPUT/OUTPUT
The I/O permission bit map in the TSS can be used to modify the effect of the IOPL on I/O sensitive instructions,
allowing access to some I/O ports by less privileged programs or tasks (see Section 15.5.2, I/O Permission Bit
Map).
A program or task can change its IOPL only with the POPF and IRET instructions; however, such changes are privi-
leged. No procedure may change the current IOPL unless it is running at privilege level 0. An attempt by a less priv-
ileged procedure to change the IOPL does not result in an exception; the IOPL simply remains unchanged.
The POPF instruction also may be used to change the state of the IF flag (as can the CLI and STI instructions);
however, the POPF instruction in this case is also I/O sensitive. A procedure may use the POPF instruction to change
the setting of the IF flag only if the CPL is less than or equal to the current IOPL. An attempt by a less privileged
procedure to change the IF flag does not result in an exception; the IF flag simply remains unchanged.
1 5 .5 .2 I /O P e rm issio n B it Ma p
The I/O permission bit map is a device for permitting limited access to I/O ports by less privileged programs or
tasks and for tasks operating in virtual-8086 mode. The I/O permission bit map is located in the TSS (see
Figure 15-2) for the currently running task or program. The address of the first byte of the I/O permission bit map
is given in the I/O map base address field of the TSS. The size of the I/O permission bit map and its location in the
TSS are variable.
Because each task has its own TSS, each task has its own I/O permission bit map. Access to individual I/O ports
can thus be granted to individual tasks.
If in protected mode and the CPL is less than or equal to the current IOPL, the processor allows all I/O operations
to proceed. If the CPL is greater than the IOPL or if the processor is operating in virtual-8086 mode, the processor
checks the I/O permission bit map to determine if access to a particular I/O port is allowed. Each bit in the map
corresponds to an I/O port byte address. For example, the control bit for I/O port address 29H in the I/O address
space is found at bit position 1 of the sixth byte in the bit map. Before granting I/O access, the processor tests all
the bits corresponding to the I/O port being addressed. For a doubleword access, for example, the processors tests
the four bits corresponding to the four adjacent 8-bit port addresses. If any tested bit is set, a general-protection
exception (#GP) is signaled. If all tested bits are clear, the I/O operation is allowed to proceed.
Because I/O port addresses are not necessarily aligned to word and doubleword boundaries, the processor reads
two bytes from the I/O permission bit map for every access to an I/O port. To prevent exceptions from being gener-
ated when the ports with the highest addresses are accessed, an extra byte needs to included in the TSS immedi-
ately after the table. This byte must have all of its bits set, and it must be within the segment limit.
It is not necessary for the I/O permission bit map to represent all the I/O addresses. I/O addresses not spanned by
the map are treated as if they had set bits in the map. For example, if the TSS segment limit is 10 bytes past the
F ig u re 1 5 -2 . I /O P e rm issio n B it Ma p
I/O Map Base
Task State Segment (TSS)
64H
31 24 23 0
1 1 1 1 1 1 1 1
I/O Permission Bit Map
0
I/O map base
must not
exceed DFFFH.
Last byte of
bitmap must be
followed by a
byte with all
bits set.
Vol. 1 15-5
INPUT/OUTPUT
bit-map base address, the map has 11 bytes and the first 80 I/O ports are mapped. Higher addresses in the I/O
address space generate exceptions.
If the I/O bit map base address is greater than or equal to the TSS segment limit, there is no I/O permission map,
and all I/O instructions generate exceptions when the CPL is greater than the current IOPL.
1 5 .6 O R D E R I N G I /O
When controlling I/O devices it is often important that memory and I/O operations be carried out in precisely the
order programmed. For example, a program may write a command to an I/O port, then read the status of the I/O
device from another I/O port. It is important that the status returned be the status of the device after it receives
the command, not before.
When using memory-mapped I/O, caution should be taken to avoid situations in which the programmed order is
not preserved by the processor. To optimize performance, the processor allows cacheable memory reads to be
reordered ahead of buffered writes in most situations. Internally, processor reads (cache hits) can be reordered
around buffered writes. When using memory-mapped I/O, therefore, is possible that an I/O read might be
performed before the memory write of a previous instruction. The recommended method of enforcing program
ordering of memory-mapped I/O accesses with the Pentium 4, Intel Xeon, and P6 family processors is to use the
MTRRs to make the memory mapped I/O address space uncacheable; for the Pentium and Intel486 processors,
either the #KEN pin or the PCD flags can be used for this purpose (see Section 15.3.1, Memory-Mapped I/O).
When the target of a read or write is in an uncacheable region of memory, memory reordering does not occur
externally at the processors pins (that is, reads and writes appear in-order). Designating a memory mapped I/O
region of the address space as uncacheable insures that reads and writes of I/O devices are carried out in program
order. See Chapter 11, Memory Cache Control in the Intel 64 and IA-32 Architectures Software Developers
Manual, Volume 3A, for more information on using MTRRs.
Another method of enforcing program order is to insert one of the serializing instructions, such as the CPUID
instruction, between operations. See Chapter 8, Multiple-Processor Management in the Intel 64 and IA-32
Architectures Software Developers Manual, Volume 3A, for more information on serialization of instructions.
It should be noted that the chip set being used to support the processor (bus controller, memory controller, and/or
I/O controller) may post writes to uncacheable memory which can lead to out-of-order execution of memory
accesses. In situations where out-of-order processing of memory accesses by the chip set can potentially cause
faulty memory-mapped I/O processing, code must be written to force synchronization and ordering of I/O opera-
tions. Serializing instructions can often be used for this purpose.
When the I/O address space is used instead of memory-mapped I/O, the situation is different in two respects:
The processor never buffers I/O writes. Therefore, strict ordering of I/O operations is enforced by the
processor. (As with memory-mapped I/O, it is possible for a chip set to post writes in certain I/O ranges.)
The processor synchronizes I/O instruction execution with external bus activity (see Table 15-1).
Ta b le 1 5 -1 . I /O I n st ru ct io n S e ria liza t io n
I n st ru ct io n B e in g
E xe cu t e d
P ro ce sso r D e la y s E xe cu t io n o f U n t il C o m p le t io n o f
C u rre n t I n st ru ct io n ? N e x t I n st ru ct io n ? P e n d in g St o re s? C u rre n t S t o re ?
IN Yes Yes
INS Yes Yes
REP INS Yes Yes
OUT Yes Yes Yes
OUTS Yes Yes Yes
REP OUTS Yes Yes Yes
15-6 Vol. 1
INPUT/OUTPUT
Vol. 1 A-1
AP P E N D I X A
E F LAG S C R O S S -R E F E R E N C E
A.1 E F LAG S AN D I N S T R U C T I O N S
Table A-2 summarizes how the instructions affect the flags in the EFLAGS register. The following codes describe
how the flags are affected.
Ta b le A-1 . C o d e s D e scrib in g F la g s
T Instruction tests flag.
M Instruction modifies flag (either sets or resets depending on operands).
0 Instruction resets flag.
1 Instruction sets flag.
Instruction's effect on flag is undefined.
R Instruction restores prior value of flag.
Blank Instruction does not affect flag.
Ta b le A-2 . E F LAG S C ro ss-R e fe re n ce
I n st ru ct io n O F S F Z F AF P F C F T F I F D F N T R F
AAA TM M
AAD M M M
AAM M M M
AAS TM M
ADC M M M M M TM
ADD M M M M M M
AND 0 M M M 0
ARPL M
BOUND
BSF/BSR M
BSWAP
BT/BTS/BTR/BTC M
CALL
CBW
CLC 0
CLD 0
CLI 0
CLTS
CMC M
CMOVcc T T T T T
CMP M M M M M M
A-2 Vol. 1
EFLAGS CROSS-REFERENCE
CMPS M M M M M M T
CMPXCHG M M M M M M
CMPXCHG8B M
COMSID 0 0 M 0 M M
COMISS 0 0 M 0 M M
CPUID
CWD
DAA M M TM M TM
DAS M M TM M TM
DEC M M M M M
DIV
ENTER
ESC
FCMOVcc T T T
FCOMI, FCOMIP, FUCOMI, FUCOMIP 0 0 M 0 M M
HLT
IDIV
IMUL M M
IN
INC M M M M M
INS T
INT 0 0
INTO T 0 0
INVD
INVLPG
UCOMSID 0 0 M 0 M M
UCOMISS 0 0 M 0 M M
IRET R R R R R R R R R T
Jcc T T T T T
JCXZ
JMP
LAHF
LAR M
LDS/LES/LSS/LFS/LGS
LEA
LEAVE
LGDT/LIDT/LLDT/LMSW
LOCK
Ta b le A-2 . E F LAG S C ro ss-R e fe re n ce (C o n t d .)
I n st ru ct io n O F S F Z F AF P F C F T F I F D F N T R F
Vol. 1 A-3
EFLAGS CROSS-REFERENCE
LODS T
LOOP
LOOPE/LOOPNE T
LSL M
LTR
MONITOR
MWAIT
MOV
MOV control, debug, test
MOVS T
MOVSX/MOVZX
MUL M M
NEG M M M M M M
NOP
NOT
OR 0 M M M 0
OUT
OUTS T
POP/POPA
POPF R R R R R R R R R R
PUSH/PUSHA/PUSHF
RCL/RCR 1 M TM
RCL/RCR count TM
RDMSR
RDPMC
RDTSC
REP/REPE/REPNE
RET
ROL/ROR 1 M M
ROL/ROR count M
RSM M M M M M M M M M M M
SAHF R R R R R
SAL/SAR/SHL/SHR 1 M M M M M
SAL/SAR/SHL/SHR count M M M M
SBB M M M M M TM
SCAS M M M M M M T
SETcc T T T T T
SGDT/SIDT/SLDT/SMSW
Ta b le A-2 . E F LAG S C ro ss-R e fe re n ce (C o n t d .)
I n st ru ct io n O F S F Z F AF P F C F T F I F D F N T R F
A-4 Vol. 1
EFLAGS CROSS-REFERENCE
SHLD/SHRD M M M M
STC 1
STD 1
STI 1
STOS T
STR
SUB M M M M M M
TEST 0 M M M 0
UD2
VERR/VERRW M
WAIT
WBINVD
WRMSR
XADD M M M M M M
XCHG
XLAT
XOR 0 M M M 0
Ta b le A-2 . E F LAG S C ro ss-R e fe re n ce (C o n t d .)
I n st ru ct io n O F S F Z F AF P F C F T F I F D F N T R F
Vol. 1 B-1
AP P E N D I X B
E F LAG S C O N D I T I O N C O D E S
B .1 C O N D I T I O N C O D E S
Table B-1 lists condition codes that can be queried using CMOVcc, FCMOVcc, Jcc, and SETcc. Condition codes refer
to the setting of one or more status flags (CF, OF, SF, ZF, and PF) in the EFLAGS register. In the table below:
The Mnemonic column provides the suffix (cc) added to the instruction to specify a test condition.
Condition Tested For describes the targeted condition.
Instruction Subcode provides the opcode suffix added to the main opcode to specify the test condition.
Status Flags Setting describes the flag setting.
Many of the test conditions are described in two different ways. For example, LE (less or equal) and NG (not
greater) describe the same test condition. Alternate mnemonics are provided to make code more intelligible.
Ta b le B -1 . E F LAG S C o n d it io n C o d e s
Mn e m o n ic (cc) C o n d it io n Te st e d Fo r
I n st ru ct io n
S u b co d e S t a t u s F la g s S e t t in g
O Overflow 0000 OF =1
NO No overflow 0001 OF =0
B
NAE
Below
Neither above nor equal
0010 CF =1
NB
AE
Not below
Above or equal
0011 CF =0
E
Z
Equal
Zero
0100 ZF =1
NE
NZ
Not equal
Not zero
0101 ZF =0
BE
NA
Below or equal
Not above
0110 (CF OR ZF) =1
NBE
A
Neither below nor equal
Above
0111 (CF OR ZF) =0
S Sign 1000 SF =1
NS No sign 1001 SF =0
P
PE
Parity
Parity even
1010 PF =1
NP
PO
No parity
Parity odd
1011 PF =0
L
NGE
Less
Neither greater nor equal
1100 (SF XOR OF) =1
NL
GE
Not less
Greater or equal
1101 (SF XOR OF) =0
LE
NG
Less or equal
Not greater
1110 ((SF XOR OF) OR ZF) =1
NLE
G
Neither less nor equal
Greater
1111 ((SF XOR OF) OR ZF) =0
B-2 Vol. 1
EFLAGS CONDITION CODES
The terms above and below are associated with the CF flag and refer to the relation between two unsigned
integer values. The terms greater and less are associated with the SF and OF flags and refer to the relation
between two signed integer values.
Vol. 1 C-1
AP P E N D I X C
F LO AT I N G -P O I N T E XC E P T I O N S S U MMAR Y
C .1 O VE R VI E W
This appendix shows which of the floating-point exceptions can be generated for:
x87 FPU instructions see Table C-2
SSE instructions see Table C-3
SSE2 instructions see Table C-4
SSE3 instructions see Table C-5
SSE4 instructions see Table C-6
Table C-1 lists types of floating-point exceptions that potentially can be generated by the x87 FPU and by
SSE/SSE2/SSE3 instructions.
The floating point exceptions shown in Table C-1 (except for #D and #IS) are defined in IEEE Standard 754-1985
for Binary Floating-Point Arithmetic. See Section 4.9.1, Floating-Point Exception Conditions, for a detailed discus-
sion of floating-point exceptions.
C .2 X8 7 F P U I N S T R U C T I O N S
Table C-2 lists the x87 FPU instructions in alphabetical order. For each instruction, it summarizes the floating-point
exceptions that the instruction can generate.
Ta b le C -1 . x 8 7 F P U a n d S I MD F lo a t in g -P o in t E x ce p t io n s
F lo a t in g -
p o in t
E x ce p t io n D e scrip t io n
#IS Invalid-operation exception for stack underflow or stack overflow (can only be generated for x87 FPU instructions)*
#IA or #I Invalid-operation exception for invalid arithmetic operands and unsupported formats*
#D Denormal-operand exception
#Z Divide-by-zero exception
#O Numeric-overflow exception
#U Numeric-underflow exception
#P Inexact-result (precision) exception
N O T E :
* The x87 FPU instruction set generates two types of invalid-operation exceptions: #IS (stack underflow or stack overflow) and #IA
(invalid arithmetic operation due to invalid arithmetic operands or unsupported formats). SSE/SSE2/SSE3 instructions potentially
generate #I (invalid operation exceptions due to invalid arithmetic operands or unsupported formats).
Ta b le C -2 . E x ce p t io n s G e n e ra t e d w it h x 8 7 F P U F lo a t in g -P o in t I n st ru ct io n s
Mn e m o n ic I n st ru ct io n # I S # I A # D # Z # O # U # P
F2XM1 Exponential Y Y Y Y Y
FABS Absolute value Y
FADD(P) Add floating-point Y Y Y Y Y Y
FBLD BCD load Y
C-2 Vol. 1
FLOATING-POINT EXCEPTIONS SUMMARY
FBSTP BCD store and pop Y Y Y
FCHS Change sign Y
FCLEX Clear exceptions
FCMOVcc Floating-point conditional move Y
FCOM, FCOMP, FCOMPP Compare floating-point Y Y Y
FCOMI, FCOMIP, FUCOMI,
FUCOMIP
Compare floating-point and set EFLAGS Y Y Y
FCOS Cosine Y Y Y Y
FDECSTP Decrement stack pointer
FDIV(R)(P) Divide floating-point Y Y Y Y Y Y Y
FFREE Free register
FIADD Integer add Y Y Y Y Y Y
FICOM(P) Integer compare Y Y Y
FIDIV Integer divide Y Y Y Y Y Y
FIDIVR Integer divide reversed Y Y Y Y Y Y Y
FILD Integer load Y
FIMUL Integer multiply Y Y Y Y Y Y
FINCSTP Increment stack pointer
FINIT Initialize processor
FIST(P) Integer store Y Y Y
FISTTP Truncate to integer
(SSE3 instruction)
Y Y Y
FISUB(R) Integer subtract Y Y Y Y Y Y
FLD extended or stack Load floating-point Y
FLD single or double Load floating-point Y Y Y
FLD1 Load +1.0 Y
FLDCW Load Control word Y Y Y Y Y Y Y
FLDENV Load environment Y Y Y Y Y Y Y
FLDL2E Load log
2
e Y
FLDL2T Load log
2
10 Y
FLDLG2 Load log
10
2 Y
FLDLN2 Load log
e
2 Y
FLDPI Load Y
FLDZ Load +0.0 Y
FMUL(P) Multiply floating-point Y Y Y Y Y Y
FNOP No operation
FPATAN Partial arctangent Y Y Y Y Y
FPREM Partial remainder Y Y Y Y
FPREM1 IEEE partial remainder Y Y Y Y
Ta b le C -2 . E x ce p t io n s G e n e ra t e d w it h x 8 7 F P U F lo a t in g -P o in t I n st ru ct io n s (C o n t d .)
Mn e m o n ic I n st ru ct io n # I S # I A # D # Z # O # U # P
Vol. 1 C-3
FLOATING-POINT EXCEPTIONS SUMMARY
C .3 S S E I N S T R U C T I O N S
Table C-3 lists SSE instructions with at least one of the following characteristics:
have floating-point operands
generate floating-point results
read or write floating-point status and control information
The table also summarizes the floating-point exceptions that each instruction can generate.
FPTAN Partial tangent Y Y Y Y Y
FRNDINT Round to integer Y Y Y Y
FRSTOR Restore state Y Y Y Y Y Y Y
FSAVE Save state
FSCALE Scale Y Y Y Y Y Y
FSIN Sine Y Y Y Y Y
FSINCOS Sine and cosine Y Y Y Y Y
FSQRT Square root Y Y Y Y
FST(P) stack or extended Store floating-point Y
FST(P) single or double Store floating-point Y Y Y Y Y
FSTCW Store control word
FSTENV Store environment
FSTSW (AX) Store status word
FSUB(R)(P) Subtract floating-point Y Y Y Y Y Y
FTST Test Y Y Y
FUCOM(P)(P) Unordered compare floating-point Y Y Y
FWAIT CPU Wait
FXAM Examine
FXCH Exchange registers Y
FXTRACT Extract Y Y Y Y
FYL2X Logarithm Y Y Y Y Y Y Y
FYL2XP1 Logarithmepsilon Y Y Y Y Y Y
Ta b le C -3 . E x ce p t io n s G e n e ra t e d w it h S S E I n st ru ct io n s
Mn e m o n ic I n st ru ct io n # I # D # Z # O # U # P
ADDPS Packed add. Y Y Y Y Y
ADDSS Scalar add. Y Y Y Y Y
ANDNPS Packed logical INVERT and AND.
ANDPS Packed logical AND.
CMPPS Packed compare. Y Y
CMPSS Scalar compare. Y Y
Ta b le C -2 . E x ce p t io n s G e n e ra t e d w it h x 8 7 F P U F lo a t in g -P o in t I n st ru ct io n s (C o n t d .)
Mn e m o n ic I n st ru ct io n # I S # I A # D # Z # O # U # P
C-4 Vol. 1
FLOATING-POINT EXCEPTIONS SUMMARY
COMISS Scalar ordered compare lower SP FP numbers and set the status
flags.
Y Y
CVTPI2PS Convert two 32-bit signed integers from MM2/Mem to two SP FP. Y
CVTPS2PI Convert lower two SP FP fromXMM/Memto two 32-bit signed
integers in MM using rounding specified by MXCSR.
Y Y
CVTSI2SS Convert one 32-bit signed integer from Integer Reg/Memto one
SP FP.
Y
CVTSS2SI Convert one SP FP fromXMM/Memto one 32-bit signed integer
using rounding mode specified by MXCSR, and move the result to
an integer register.
Y Y
CVTTPS2PI Convert two SP FP from XMM2/Mem to two 32-bit signed
integers in MM1 using truncate.
Y Y
CVTTSS2SI Convert lowest SP FP from XMM/Mem to one 32-bit signed
integer using truncate, and move the result to an integer register.
Y Y
DIVPS Packed divide. Y Y Y Y Y Y
DIVSS Scalar divide. Y Y Y Y Y Y
LDMXCSR Load control/status word.
MAXPS Packed maximum. Y Y
MAXSS Scalar maximum. Y Y
MINPS Packed minimum. Y Y
MINSS Scalar minimum. Y Y
MOVAPS Move four packed SP values.
MOVHLPS Move packed SP high to low.
MOVHPS Move two packed SP values between memory and the high half of
an XMM register.
MOVLHPS Move packed SP low to high.
MOVLPS Move two packed SP values between memory and the low half of
an XMM register.
MOVMSKPS Move sign mask to r32.
MOVSS Move scalar SP number between an XMM register and memory or
a second XMM register.
MOVUPS Move unaligned packed data.
MULPS Packed multiply. Y Y Y Y Y
MULSS Scalar multiply. Y Y Y Y Y
ORPS Packed OR.
RCPPS Packed reciprocal.
RCPSS Scalar reciprocal.
RSQRTPS Packed reciprocal square root.
RSQRTSS Scalar reciprocal square root.
SHUFPS Shuffle.
SQRTPS Square Root of the packed SP FP numbers. Y Y Y
SQRTSS Scalar square root. Y Y Y
Ta b le C -3 . E x ce p t io n s G e n e ra t e d w it h S S E I n st ru ct io n s (C o n t d .)
Mn e m o n ic I n st ru ct io n # I # D # Z # O # U # P
Vol. 1 C-5
FLOATING-POINT EXCEPTIONS SUMMARY
C .4 S S E 2 I N S T R U C T I O N S
Table C-4 lists SSE2 instructions with at least one of the following characteristics:
floating-point operands
floating point results
For each instruction, the table summarizes the floating-point exceptions that the instruction can generate.
STMXCSR Store control/status word.
SUBPS Packed subtract. Y Y Y Y Y
SUBSS Scalar subtract. Y Y Y Y Y
UCOMISS Unordered compare lower SP FP numbers and set the status flags. Y Y
UNPCKHPS Interleave SP FP numbers.
UNPCKLPS Interleave SP FP numbers.
XORPS Packed XOR.
Ta b le C -4 . E x ce p t io n s G e n e ra t e d w it h S S E 2 I n st ru ct io n s
I n st ru ct io n D e scrip t io n # I # D # Z # O # U # P
ADDPD Add two packed DP FP numbers from XMM2/Mem to XMM1. Y Y Y Y Y
ADDSD Add the lower DP FP number from XMM2/Mem to XMM1. Y Y Y Y Y
ANDNPD Invert the 128 bits in XMM1and then AND the result with 128 bits
from XMM2/Mem.
ANDPD Logical And of 128 bits from XMM2/Mem to XMM1 register.
CMPPD Compare packed DP FP numbers from XMM2/Mem to packed DP
FP numbers in XMM1 register using imm8 as predicate.
Y Y
CMPSD Compare lowest DP FP number from XMM2/Mem to lowest DP FP
number in XMM1 register using imm8 as predicate.
Y Y
COMISD Compare lower DP FP number in XMM1 register with lower DP FP
number in XMM2/Memand set the status flags accordingly
Y Y
CVTDQ2PS Convert four 32-bit signed integers from XMM/Mem to four SP FP. Y
CVTPS2DQ Convert four SP FP from XMM/Mem to four 32-bit signed integers
in XMM using rounding specified by MXCSR.
Y Y
CVTTPS2DQ Convert four SP FP from XMM/Mem to four 32-bit signed integers
in XMM using truncate.
Y Y
CVTDQ2PD Convert two 32-bit signed integers in XMM2/Mem to 2 DP FP in
xmm1 using rounding specified by MXCSR.
CVTPD2DQ Convert two DP FP fromXMM2/Mem to two 32-bit signed
integers in xmm1 using rounding specified by MXCSR.
Y Y
CVTPD2PI Convert lower two DP FP fromXMM/Memto two 32-bit signed
integers in MM using rounding specified by MXCSR.
Y Y
CVTPD2PS Convert two DP FP to two SP FP. Y Y Y Y Y
CVTPI2PD Convert two 32-bit signed integers from MM2/Memto two DP FP.
CVTPS2PD Convert two SP FP to two DP FP. Y Y
Ta b le C -3 . E x ce p t io n s G e n e ra t e d w it h S S E I n st ru ct io n s (C o n t d .)
Mn e m o n ic I n st ru ct io n # I # D # Z # O # U # P
C-6 Vol. 1
FLOATING-POINT EXCEPTIONS SUMMARY
CVTSD2SI Convert one DP FP from XMM/Mem to one 32 bit signed integer
using rounding mode specified by MXCSR, and move the result to
an integer register.
Y Y
CVTSD2SS Convert scalar DP FP to scalar SP FP. Y Y Y Y Y
CVTSI2SD Convert one 32-bit signed integer fromInteger Reg/Memto one
DP FP.

CVTSS2SD Convert scalar SP FP to scalar DP FP. Y Y
CVTTPD2DQ Convert two DP FP fromXMM2/Mem to two 32-bit signed
integers in XMM1 using truncate.
Y Y
CVTTPD2PI Convert two DP FP fromXMM2/Mem to two 32-bit signed
integers in MM1 using truncate.
Y Y
CVTTSD2SI Convert lowest DP FP from XMM/Mem to one 32 bit signed
integer using truncate, and move the result to an integer register.
Y Y
DIVPD Divide packed DP FP numbers in XMM1 by XMM2/Mem Y Y Y Y Y Y
DIVSD Divide lower DP FP numbers in XMM1 by XMM2/Mem Y Y Y Y Y Y
MAXPD Return the maximum DP FP numbers between XMM2/Mem and
XMM1.
Y Y
MAXSD Return the maximum DP FP number between the lower DP FP
numbers from XMM2/Memand XMM1.
Y Y
MINPD Return the minimum DP numbers between XMM2/Mem and
XMM1.
Y Y
MINSD Return the minimum DP FP number between the lowest DP FP
numbers from XMM2/Memand XMM1.
Y Y
MOVAPD Move 128 bits representing 2 packed DP data fromXMM2/Mem to
XMM1 register.
Or Move 128 bits representing 2 packed DP from XMM1 register
to XMM2/Mem.
MOVHPD Move 64 bits representing one DP operand from Mem to upper
field of XMM register.
Or move 64 bits representing one DP operand from upper field of
XMM register to Mem.
MOVLPD Move 64 bits representing one DP operand from Mem to lower
field of XMM register.
Or move 64 bits representing one DP operand from lower field of
XMM register to Mem.
MOVMSKPD Move the sign mask to r32.
MOVSD Move 64 bits representing one scalar DP operand from
XMM2/Mem to XMM1 register.
Or move 64 bits representing one scalar DP operand from XMM1
register to XMM2/Mem.
MOVUPD Move 128 bits representing 2 DP data fromXMM2/Memto XMM1
register.
Or move 128 bits representing 2 DP data from XMM1 register to
XMM2/Mem.
MULPD Multiply packed DP FP numbers in XMM2/Mem to XMM1. Y Y Y Y Y
Ta b le C -4 . E x ce p t io n s G e n e ra t e d w it h S S E 2 I n st ru ct io n s (C o n t d .)
I n st ru ct io n D e scrip t io n # I # D # Z # O # U # P
Vol. 1 C-7
FLOATING-POINT EXCEPTIONS SUMMARY
C .5 S S E 3 I N S T R U C T I O N S
Table C-5 lists the SSE3 instructions that have at least one of the following
characteristics:
have floating-point operands
generate floating-point results
For each instruction, the table summarizes the floating-point exceptions that the instruction can generate.
C .6 S S S E 3 I N S T R U C T I O N S
SSSE3 instructions operate on integer data elements. They do not generate floating-point exceptions.
MULSD Multiply the lowest DP FP number in XMM2/Memto XMM1. Y Y Y Y Y
ORPD OR 128 bits fromXMM2/Mem to XMM1 register.
SHUFPD Shuffle Double.
SQRTPD Square Root Packed Double-Precision Y Y Y
SQRTSD Square Root Scaler Double-Precision Y Y Y
SUBPD Subtract Packed Double-Precision. Y Y Y Y Y
SUBSD Subtract Scaler Double-Precision. Y Y Y Y Y
UCOMISD Compare lower DP FP number in XMM1 register with lower DP FP
number in XMM2/Memand set the status flags accordingly.
Y Y
UNPCKHPD Interleaves DP FP numbers from the high halves of XMM1 and
XMM2/Mem into XMM1 register.
UNPCKLPD Interleaves DP FP numbers from the low halves of XMM1 and
XMM2/Mem into XMM1 register.
XORPD XOR 128 bits fromXMM2/Mem to XMM1 register.
Ta b le C -5 . E x ce p t io n s G e n e ra t e d w it h S S E 3 I n st ru ct io n s
I n st ru ct io n D e scrip t io n # I # D # Z # O # U # P
ADDSUBPD Add /Sub packed DP FP numbers fromXMM2/Mem to XMM1. Y Y Y Y Y
ADDSUBPS Add /Sub packed SP FP numbers from XMM2/Mem to XMM1. Y Y Y Y Y
FISTTP See Table C-2. Y Y
HADDPD Add horizontally packed DP FP numbers XMM2/Mem to XMM1. Y Y Y Y Y
HADDPS Add horizontally packed SP FP numbers XMM2/Memto XMM1 Y Y Y Y Y
HSUBPD Sub horizontally packed DP FP numbers XMM2/Mem to XMM1 Y Y Y Y Y
HSUBPS Sub horizontally packed SP FP numbers XMM2/Mem to XMM1 Y Y Y Y Y
LDDQU Load unaligned integer 128-bit.
MOVDDUP Move 64 bits representing one DP data fromXMM2/Mem to
XMM1 and duplicate.
MOVSHDUP Move 128 bits representing 4 SP data from XMM2/Mem to
XMM1 and duplicate high.
MOVSLDUP Move 128 bits representing 4 SP data from XMM2/Mem to
XMM1 and duplicate low.
Ta b le C -4 . E x ce p t io n s G e n e ra t e d w it h S S E 2 I n st ru ct io n s (C o n t d .)
I n st ru ct io n D e scrip t io n # I # D # Z # O # U # P
C-8 Vol. 1
FLOATING-POINT EXCEPTIONS SUMMARY
C .7 S S E 4 I N S T R U C T I O N S
Table C-6 lists the SSE4.1 instructions that generate floating-point results.
For each instruction, the table summarizes the floating-point exceptions that the instruction can generate.
Other SSE4.1 instructions and SSE4.2 instructions do not generate floating-point exceptions.
Ta b le C -6 . E x ce p t io n s G e n e ra t e d w it h S S E 4 I n st ru ct io n s
I n st ru ct io n D e scrip t io n # I # D # Z # O # U # P
DPPD DP FP dot product. Y Y Y Y Y
DPPS SP FP dot product. Y Y Y Y Y
ROUNDPD Round packed DP FP values to integer FP values. Y Y
1
N O T E S :
1. If bit 3 of immediate operand is 0
ROUNDPS Round packed SP FP values to integer FP values. Y Y
1
ROUNDSD Round scalar DP FP value to integer FP value. Y Y
1
ROUNDSS Round scalar SP FP value to integer FP value. Y Y
1
Vol. 1 D-1
AP P E N D I X D
G U I D E LI N E S F O R WR I T I N G X8 7 F P U
E XC E P T I O N H AN D LE R S
As described in Chapter 8, Programming with the x87 FPU, the IA-32 Architecture supports two mechanisms for
accessing exception handlers to handle unmasked x87 FPU exceptions: native mode and MS-DOS compatibility
mode. The primary purpose of this appendix is to provide detailed information to help software engineers design
and write x87 FPU exception-handling facilities to run on PC systems that use the MS-DOS compatibility mode
1
for
handling x87 FPU exceptions. Some of the information in this appendix will also be of interest to engineers who are
writing native-mode x87 FPU exception handlers. The information provided is as follows:
Discussion of the origin of the MS-DOS x87 FPU exception handling mechanism and its relationship to the x87
FPUs native exception handling mechanism.
Description of the IA-32 flags and processor pins that control the MS-DOS x87 FPU exception handling
mechanism.
Description of the external hardware typically required to support MS-DOS exception handling mechanism.
Description of the x87 FPUs exception handling mechanism and the typical protocol for x87 FPU exception
handlers.
Code examples that demonstrate various levels of x87 FPU exception handlers.
Discussion of x87 FPU considerations in multitasking environments.
Discussion of native mode x87 FPU exception handling.
The information given is oriented toward the most recent generations of IA-32 processors, starting with the
Intel486. It is intended to augment the reference information given in Chapter 8, Programming with the x87 FPU.
A more extensive version of this appendix is available in the application note AP-578, Software and Hardware
Considerations for x87 FPU Exception Handlers for Intel Architecture Processors (Order Number 243291), which is
available from Intel.
D .1 MS -D O S C O MP AT I B I LI T Y S U B -MO D E F O R H AN D LI N G X8 7 F P U E XC E P T I O N S
The first generations of IA-32 processors (starting with the Intel 8086 and 8088 processors and going through the
Intel 286 and Intel386 processors) did not have an on-chip floating-point unit. Instead, floating-point capability
was provided on a separate numeric coprocessor chip. The first of these numeric coprocessors was the Intel 8087,
which was followed by the Intel 287 and Intel 387 numeric coprocessors.
To allow the 8087 to signal floating-point exceptions to its companion 8086 or 8088, the 8087 has an output pin,
INT, which it asserts when an unmasked floating-point exception occurs. The designers of the 8087 recommended
that the output from this pin be routed through a programmable interrupt controller (PIC) such as the Intel 8259A
to the INTR pin of the 8086 or 8088. The accompanying interrupt vector number could then be used to access the
floating-point exception handler.
However, the original IBM* PC design and MS-DOS operating system used a different mechanism for handling the
INT output from the 8087. It connected the INT pin directly to the NMI input pin of the 8086 or 8088. The NMI inter-
rupt handler then had to determine if the interrupt was caused by a floating-point exception or another NMI event.
This mechanism is the origin of what is now called the MS-DOS compatibility mode. The decision to use this latter
floating-point exception handling mechanism came about because when the IBM PC was first designed, the 8087
was not available. When the 8087 did become available, other functions had already been assigned to the eight
inputs to the PIC. One of these functions was a BIOS video interrupt, which was assigned to interrupt number 16
for the 8086 and 8088.
1 Microsoft Windows* 95 and Windows 3.1 (and earlier versions) operating systems use almost the same x87 FPU exception handling
interface as MS-DOS. The recommendations in this appendix for a MS-DOS compatible exception handler thus apply to all three oper-
ating systems.
D-2 Vol. 1
GUIDELINES FOR WRITING X87 FPU EXCEPTION HANDLERS
The Intel 286 processor created the native mode for handling floating-point exceptions by providing a dedicated
input pin (ERROR#) for receiving floating-point exception signals and a dedicated interrupt number, 16. Interrupt
16 was used to signal floating-point errors (also called math faults). It was intended that the ERROR# pin on the
Intel 286 be connected to a corresponding ERROR# pin on the Intel 287 numeric coprocessor. When the Intel 287
signals a floating-point exception using this mechanism, the Intel 286 generates an interrupt 16, to invoke the
floating-point exception handler.
To maintain compatibility with existing PC software, the native floating-point exception handling mode of the Intel
286 and 287 was not used in the IBM PC AT system design. Instead, the ERROR# pin on the Intel 286 was tied
permanently high, and the ERROR# pin from the Intel 287 was routed to a second (cascaded) PIC. The resulting
output of this PIC was routed through an exception handler and eventually caused an interrupt 2 (NMI interrupt).
Here the NMI interrupt was shared with IBM PC ATs new parity checking feature. Interrupt 16 remained assigned
to the BIOS video interrupt handler. The external hardware for the MS-DOS compatibility mode must prevent the
Intel 286 processor from executing past the next x87 FPU instruction when an unmasked exception has been gener-
ated. To do this, it asserts the BUSY# signal into the Intel 286 when the ERROR# signal is asserted by the Intel 287.
The Intel386 processor and its companion Intel 387 numeric coprocessor provided the same hardware mechanism
for signaling and handling floating-point exceptions as the Intel 286 and 287 processors. And again, to maintain
compatibility with existing MS-DOS software, basically the same MS-DOS compatibility floating-point exception
handling mechanism that was used in the IBM PC AT was used in PCs based on the Intel386 processor.
D .2 I MP LE ME N T AT I O N O F T H E MS -D O S * C O MP AT I B I LI T Y S U B -MO D E I N T H E
I N T E L4 8 6 , P E N T I U M, AN D P 6 P R O C E S S O R FAMI LY, AN D P E N T I U M 4
P R O C E S S O R S
Beginning with the Intel486 processor, the IA-32 architecture provided a dedicated mechanism for enabling the
MS-DOS compatibility mode for x87 FPU exceptions and for generating external x87 FPU-exception signals while
operating in this mode. The following sections describe the implementation of the MS-DOS compatibility mode in
the Intel486 and Pentium processors and in the P6 family and Pentium 4 processors. Also described is the recom-
mended external hardware to support this mode of operation.
D .2 .1 MS -D O S * C o m p a t ib ilit y S u b -m o d e in t h e I n t e l4 8 6 a n d P e n t iu m P ro ce sso rs
In the Intel486 processor, several things were done to enhance and speed up the numeric coprocessor, now called
the floating-point unit (x87 FPU). The most important enhancement was that the x87 FPU was included in the same
chip as the processor, for increased speed in x87 FPU computations and reduced latency for x87 FPU exception
handling. Also, for the first time, the MS-DOS compatibility mode was built into the chip design, with the addition
of the NE bit in control register CR0 and the addition of the FERR# (Floating-point ERRor) and IGNNE# (IGNore
Numeric Error) pins.
The NE bit selects the native x87 FPU exception handling mode (NE = 1) or the MS-DOS compatibility mode (NE =
0). When native mode is selected, all signaling of floating-point exceptions is handled internally in the Intel486
chip, resulting in the generation of an interrupt 16.
When MS-DOS compatibility mode is selected, the FERRR# and IGNNE# pins are used to signal floating-point
exceptions. The FERR# output pin, which replaces the ERROR# pin from the previous generations of IA-32 numeric
coprocessors, is connected to a PIC. A new input signal, IGNNE#, is provided to allow the x87 FPU exception
handler to execute x87 FPU instructions, if desired, without first clearing the error condition and without triggering
the interrupt a second time. This IGNNE# feature is needed to replicate the capability that was provided on MS-
DOS compatible Intel 286 and Intel 287 and Intel386 and Intel 387 systems by turning off the BUSY# signal, when
inside the x87 FPU exception handler, before clearing the error condition.
Note that Intel, in order to provide Intel486 processors for market segments that had no need for an x87 FPU,
created the SX versions. These Intel486 SX processors did not contain the floating-point unit. Intel also produced
Intel 487 SX processors for end users who later decided to upgrade to a system with an x87 FPU. These Intel 487
SX processors are similar to standard Intel486 processors with a working x87 FPU on board.
Thus, the external circuitry necessary to support the MS-DOS compatibility mode for Intel 487 SX processors is the
same as for standard Intel486 DX processors.
Vol. 1 D-3
GUIDELINES FOR WRITING X87 FPU EXCEPTION HANDLERS
The Pentium, P6 family, and Pentium 4 processors offer the same mechanism (the NE bit and the FERR# and
IGNNE# pins) as the Intel486 processors for generating x87 FPU exceptions in MS-DOS compatibility mode. The
actions of these mechanisms are slightly different and more straightforward for the P6 family and Pentium 4
processors, as described in Section D.2.2, MS-DOS* Compatibility Sub-mode in the P6 Family and Pentium 4
Processors.
For Pentium, P6 family, and Pentium 4 processors, it is important to note that the special DP (Dual Processing)
mode for Pentium processors and also the more general Intel MultiProcessor Specification for systems with
multiple Pentium, P6 family, or Pentium 4 processors support x87 FPU exception handling only in the native mode.
Intel does not recommend using the MS-DOS compatibility x87 FPU mode for systems using more than one
processor.
D .2 .1 .1 B a sic R u le s: Wh e n F E R R # I s G e n e ra t e d
When MS-DOS compatibility mode is enabled for the Intel486 or Pentium processors (NE bit is set to 0) and the
IGNNE# input pin is de-asserted, the FERR# signal is generated as follows:
1. When an x87 FPU instruction causes an unmasked x87 FPU exception, the processor (in most cases) uses a
deferred method of reporting the error. This means that the processor does not respond immediately, but
rather freezes just before executing the next WAIT or x87 FPU instruction (except for no-wait instructions,
which the x87 FPU executes regardless of an error condition).
2. When the processor freezes, it also asserts the FERR# output.
3. The frozen processor waits for an external interrupt, which must be supplied by external hardware in response
to the FERR# assertion.
4. In MS-DOS compatibility systems, FERR# is fed to the IRQ13 input in the cascaded PIC. The PIC generates
interrupt 75H, which then branches to interrupt 2, as described earlier in this appendix for systems using the
Intel 286 and Intel 287 or Intel386 and Intel 387 processors.
The deferred method of error reporting is used for all exceptions caused by the basic arithmetic instructions
(including FADD, FSUB, FMUL, FDIV, FSQRT, FCOM and FUCOM), for precision exceptions caused by all types of x87
FPU instructions, and for numeric underflow and overflow exceptions caused by all types of x87 FPU instructions
except stores to memory.
Some x87 FPU instructions with some x87 FPU exceptions use an immediate method of reporting errors. Here,
the FERR# is asserted immediately, at the time that the exception occurs. The immediate method of error
reporting is used for x87 FPU stack fault, invalid operation and denormal exceptions caused by all transcendental
instructions, FSCALE, FXTRACT, FPREM and others, and all exceptions (except precision) when caused by x87 FPU
store instructions. Like deferred error reporting, immediate error reporting will cause the processor to freeze just
before executing the next WAIT or x87 FPU instruction if the error condition has not been cleared by that time.
Note that in general, whether deferred or immediate error reporting is used for an x87 FPU exception depends both
on which exception occurred and which instruction caused that exception. A complete specification of these cases,
which applies to both the Pentium and the Intel486 processors, is given in Section 5.1.21 in the Pentium Processor
Family Developers Manual: Volume 1.
If NE = 0 but the IGNNE# input is active while an unmasked x87 FPU exception is in effect, the processor disre-
gards the exception, does not assert FERR#, and continues. If IGNNE# is then de-asserted and the x87 FPU excep-
tion has not been cleared, the processor will respond as described above. (That is, an immediate exception case
will assert FERR# immediately. A deferred exception case will assert FERR# and freeze just before the next x87
FPU or WAIT instruction.) The assertion of IGNNE# is intended for use only inside the x87 FPU exception handler,
where it is needed if one wants to execute non-control x87 FPU instructions for diagnosis, before clearing the
exception condition. When IGNNE# is asserted inside the exception handler, a preceding x87 FPU exception has
already caused FERR# to be asserted, and the external interrupt hardware has responded, but IGNNE# assertion
still prevents the freeze at x87 FPU instructions. Note that if IGNNE# is left active outside of the x87 FPU exception
handler, additional x87 FPU instructions may be executed after a given instruction has caused an x87 FPU excep-
tion. In this case, if the x87 FPU exception handler ever did get invoked, it could not determine which instruction
caused the exception.
To properly manage the interface between the processors FERR# output, its IGNNE# input, and the IRQ13 input
of the PIC, additional external hardware is needed. A recommended configuration is described in the following
section.
D-4 Vol. 1
GUIDELINES FOR WRITING X87 FPU EXCEPTION HANDLERS
D .2 .1 .2 R e co m m e n d e d E x t e rn a l H a rd w a re to S u p p o rt t h e MS -D O S * C o m p a t ib ilit y S u b -m o d e
Figure D-1 provides an external circuit that will assure proper handling of FERR# and IGNNE# when an x87 FPU
exception occurs. In particular, it assures that IGNNE# will be active only inside the x87 FPU exception handler
without depending on the order of actions by the exception handler. Some hardware implementations have been
less robust because they have depended on the exception handler to clear the x87 FPU exception interrupt request
to the PIC (FP_IRQ signal) before the handler causes FERR# to be de-asserted by clearing the exception from the
x87 FPU itself. Figure D-2 shows the details of how IGNNE# will behave when the circuit in Figure D-1 is imple-
mented. The temporal regions within the x87 FPU exception handler activity are described as follows:
1. The FERR# signal is activated by an x87 FPU exception and sends an interrupt request through the PIC to the
processors INTR pin.
2. During the x87 FPU interrupt service routine (exception handler) the processor will need to clear the interrupt
request latch (Flip Flop #1). It may also want to execute non-control x87 FPU instructions before the exception
is cleared from the x87 FPU. For this purpose the IGNNE# must be driven low. Typically in the PC environment
an I/O access to Port 0F0H clears the external x87 FPU exception interrupt request (FP_IRQ). In the
recommended circuit, this access also is used to activate IGNNE#. With IGNNE# active, the x87 FPU exception
handler may execute any x87 FPU instruction without being blocked by an active x87 FPU exception.
3. Clearing the exception within the x87 FPU will cause the FERR# signal to be deactivated and then there is no
further need for IGNNE# to be active. In the recommended circuit, the deactivation of FERR# is used to
deactivate IGNNE#. If another circuit is used, the software and circuit together must assure that IGNNE# is
deactivated no later than the exit from the x87 FPU exception handler.
F ig u re D -1 . R e co m m e n d e d C ircu it fo r MS -D O S C o m p a t ib ilit y x 8 7 F P U
E x ce p t io n H a n d lin g
/O Port F0H
Address Decode
FERR#
GNNE#
NTR
nterrupt
Controller
RESET
FP_IRQ
+5V
PR
+5V
+5V
+5V
PR
CLR
FF #1
FF #2

n
t
e
l
4
8
6


P
r
o
c
e
s
s
o
r
P
e
n
t
i
u
m


P
r
o
c
e
s
s
o
r
P
e
n
t
i
u
m


P
r
o

P
r
o
c
e
s
s
o
r
LEGEND:
FF #n Flip Flop #n
CLR Clear or Reset
Vol. 1 D-5
GUIDELINES FOR WRITING X87 FPU EXCEPTION HANDLERS
In the circuit in Figure D-1, when the x87 FPU exception handler accesses I/O port 0F0H it clears the IRQ13 inter-
rupt request output from Flip Flop #1 and also clocks out the IGNNE# signal (active) from Flip Flop #2. So the
handler can activate IGNNE#, if needed, by doing this 0F0H access before clearing the x87 FPU exception condition
(which de-asserts FERR#).
However, the circuit does not depend on the order of actions by the x87 FPU exception handler to guarantee the
correct hardware state upon exit from the handler. Flip Flop #2, which drives IGNNE# to the processor, has its
CLEAR input attached to the inverted FERR#. This ensures that IGNNE# can never be active when FERR# is inac-
tive. So if the handler clears the x87 FPU exception condition before the 0F0H access, IGNNE# does not get acti-
vated and left on after exit from the handler.
D .2 .1 .3 N o -Wa it x 8 7 F P U I n st ru ct io n s C a n G e t x 8 7 F P U I n t e rru p t in Win d o w
The Pentium and Intel486 processors implement the no-wait floating-point instructions (FNINIT, FNCLEX,
FNSTENV, FNSAVE, FNSTSW, FNSTCW, FNENI, FNDISI or FNSETPM) in the MS-DOS compatibility mode in the
following manner. (See Section 8.3.11, x87 FPU Control Instructions, and Section 8.3.12, Waiting vs. Non-
waiting Instructions, for a discussion of the no-wait instructions.)
If an unmasked numeric exception is pending from a preceding x87 FPU instruction, a member of the no-wait class
of instructions will, at the beginning of its execution, assert the FERR# pin in response to that exception just like
other x87 FPU instructions, but then, unlike the other x87 FPU instructions, FERR# will be de-asserted. This de-
assertion was implemented to allow the no-wait class of instructions to proceed without an interrupt due to any
pending numeric exception. However, the brief assertion of FERR# is sufficient to latch the x87 FPU exception
request into most hardware interface implementations (including Intels recommended circuit).
All the x87 FPU instructions are implemented such that during their execution, there is a window in which the
processor will sample and accept external interrupts. If there is a pending interrupt, the processor services the
interrupt first before resuming the execution of the instruction. Consequently, it is possible that the no-wait
floating-point instruction may accept the external interrupt caused by its own assertion of the FERR# pin in the
event of a pending unmasked numeric exception, which is not an explicitly documented behavior of a no-wait
instruction. This process is illustrated in Figure D-3.
F ig u re D -2 . B e h a v io r o f S ig n a ls D u rin g x 8 7 F P U E x ce p t io n H a n d lin g
0F0H Address
Decode
D-6 Vol. 1
GUIDELINES FOR WRITING X87 FPU EXCEPTION HANDLERS
Figure D-3 assumes that a floating-point instruction that generates a deferred error (as defined in the Section
D.2.1.1, Basic Rules: When FERR# Is Generated), which asserts the FERR# pin only on encountering the next
floating-point instruction, causes an unmasked numeric exception. Assume that the next floating-point instruction
following this instruction is one of the no-wait floating-point instructions. The FERR# pin is asserted by the
processor to indicate the pending exception on encountering the no-wait floating-point instruction. After the asser-
tion of the FERR# pin the no-wait floating-point instruction opens a window where the pending external interrupts
are sampled.
Then there are two cases possible depending on the timing of the receipt of the interrupt via the INTR pin (asserted
by the system in response to the FERR# pin) by the processor.
Case 1 If the system responds to the assertion of FERR# pin by the no-wait floating-point instruction via
the INTR pin during this window then the interrupt is serviced first, before resuming the execu-
tion of the no-wait floating-point instruction.
Case 2 If the system responds via the INTR pin after the window has closed then the interrupt is recognized
only at the next instruction boundary.
There are two other ways, in addition to Case 1 above, in which a no-wait floating-point instruction can service a
numeric exception inside its interrupt window. First, the first floating-point error condition could be of the imme-
diate category (as defined in Section D.2.1.1, Basic Rules: When FERR# Is Generated) that asserts FERR#
immediately. If the system delay before asserting INTR is long enough, relative to the time elapsed before the no-
wait floating-point instruction, INTR can be asserted inside the interrupt window for the latter. Second, consider
two no-wait x87 FPU instructions in close sequence, and assume that a previous x87 FPU instruction has caused an
unmasked numeric exception. Then if the INTR timing is too long for an FERR# signal triggered by the first no-wait
instruction to hit the first instructions interrupt window, it could catch the interrupt window of the second.
The possible malfunction of a no-wait x87 FPU instruction explained above cannot happen if the instruction is being
used in the manner for which Intel originally designed it. The no-wait instructions were intended to be used inside
the x87 FPU exception handler, to allow manipulation of the x87 FPU before the error condition is cleared, without
hanging the processor because of the x87 FPU error condition, and without the need to assert IGNNE#. They will
perform this function correctly, since before the error condition is cleared, the assertion of FERR# that caused the
x87 FPU error handler to be invoked is still active. Thus the logic that would assert FERR# briefly at a no-wait
instruction causes no change since FERR# is already asserted. The no-wait instructions may also be used without
problem in the handler after the error condition is cleared, since now they will not cause FERR# to be asserted at
all.
F ig u re D -3 . T im in g o f R e ce ip t o f E x t e rn a l I n t e rru p t
Assertion of FERR#
Exception Generating
Floating-Point
Instruction
by the Processor
System
Assertion of INTR Pin
by the System
Case 1
Case 2
Start of the No-Wait
Floating-Point
Instruction
External Interrupt
Sampling Window
Window Closed
Dependent
Delay
Vol. 1 D-7
GUIDELINES FOR WRITING X87 FPU EXCEPTION HANDLERS
If a no-wait instruction is used outside of the x87 FPU exception handler, it may malfunction as explained above,
depending on the details of the hardware interface implementation and which particular processor is involved. The
actual interrupt inside the window in the no-wait instruction may be blocked by surrounding it with the instruc-
tions: PUSHFD, CLI, no-wait, then POPFD. (CLI blocks interrupts, and the push and pop of flags preserves and
restores the original value of the interrupt flag.) However, if FERR# was triggered by the no-wait, its latched value
and the PIC response will still be in effect. Further code can be used to check for and correct such a condition, if
needed. Section D.3.6, Considerations When x87 FPU Shared Between Tasks, discusses an important example of
this type of problem and gives a solution.
D .2 .2 MS -D O S * C o m p a t ib ilit y S u b -m o d e in t h e P 6 Fa m ily
a n d P e n t iu m 4 P ro ce sso rs
When bit NE = 0 in CR0, the MS-DOS compatibility mode of the P6 family and Pentium 4 processors provides
FERR# and IGNNE# functionality that is almost identical to the Intel486 and Pentium processors. The same
external hardware described in Section D.2.1.2, Recommended External Hardware to Support the MS-DOS*
Compatibility Sub-mode, is recommended for the P6 family and Pentium 4 processors as well as the two previous
generations. The only change to MS-DOS compatibility x87 FPU exception handling with the P6 family and Pentium
4 processors is that all exceptions for all x87 FPU instructions cause immediate error reporting. That is, FERR# is
asserted as soon as the x87 FPU detects an unmasked exception; there are no cases in which error reporting is
deferred to the next x87 FPU or WAIT instruction.
(As is discussed in Section D.2.1.1, Basic Rules: When FERR# Is Generated, most exception cases in the Intel486
and Pentium processors are of the deferred type.)
Although FERR# is asserted immediately upon detection of an unmasked x87 FPU error, this certainly does not
mean that the requested interrupt will always be serviced before the next instruction in the code sequence is
executed. To begin with, the P6 family and Pentium 4 processors execute several instructions simultaneously.
There also will be a delay, which depends on the external hardware implementation, between the FERR# assertion
from the processor and the responding INTR assertion to the processor. Further, the interrupt request to the PICs
(IRQ13) may be temporarily blocked by the operating system, or delayed by higher priority interrupts, and
processor response to INTR itself is blocked if the operating system has cleared the IF bit in EFLAGS. Note that
Streaming SIMD Extensions numeric exceptions will not cause assertion of FERR# (independent of the value of
CR0.NE). In addition, they ignore the assertion/deassertion of IGNNE#).
However, just as with the Intel486 and Pentium processors, if the IGNNE# input is inactive, a floating-point excep-
tion which occurred in the previous x87 FPU instruction and is unmasked causes the processor to freeze immedi-
ately when encountering the next WAIT or x87 FPU instruction (except for no-wait instructions). This means that if
the x87 FPU exception handler has not already been invoked due to the earlier exception (and therefore, the
handler not has cleared that exception state from the x87 FPU), the processor is forced to wait for the handler to
be invoked and handle the exception, before the processor can execute another WAIT or x87 FPU instruction.
As explained in Section D.2.1.3, No-Wait x87 FPU Instructions Can Get x87 FPU Interrupt in Window, if a no-wait
instruction is used outside of the x87 FPU exception handler, in the Intel486 and Pentium processors, it may accept
an unmasked exception from a previous x87 FPU instruction which happens to fall within the external interrupt
sampling window that is opened near the beginning of execution of all x87 FPU instructions. This will not happen in
the P6 family and Pentium 4 processors, because this sampling window has been removed from the no-wait group
of x87 FPU instructions.
D .3 R E C O MME N D E D P R O T O C O L F O R MS -D O S * C O MP AT I B I LI T Y H AN D LE R S
The activities of numeric programs can be split into two major areas: program control and arithmetic. The program
control part performs activities such as deciding what functions to perform, calculating addresses of numeric oper-
ands, and loop control. The arithmetic part simply adds, subtracts, multiplies, and performs other operations on
the numeric operands. The processor is designed to handle these two parts separately and efficiently. An x87 FPU
exception handler, if a system chooses to implement one, is often one of the most complicated parts of the program
control code.
D-8 Vol. 1
GUIDELINES FOR WRITING X87 FPU EXCEPTION HANDLERS
D .3 .1 F lo a t in g -P o in t E x ce p t io n s a n d T h e ir D e fa u lt s
The x87 FPU can recognize six classes of floating-point exception conditions while executing floating-point instruc-
tions:
1. #I Invalid operation
#IS Stack fault
#IA IEEE standard invalid operation
2. #Z Divide-by-zero
3. #D Denormalized operand
4. #O Numeric overflow
5. #U Numeric underflow
6. #P Inexact result (precision)
For complete details on these exceptions and their defaults, see Section 8.4, x87 FPU Floating-Point Exception
Handling, and Section 8.5, x87 FPU Floating-Point Exception Conditions.
D .3 .2 T w o O p t io n s fo r H a n d lin g N u m e ric E x ce p t io n s
Depending on options determined by the software system designer, the processor takes one of two possible
courses of action when a numeric exception occurs:
1. The x87 FPU can handle selected exceptions itself, producing a default fix-up that is reasonable in most
situations. This allows the numeric program execution to continue undisturbed. Programs can mask individual
exception types to indicate that the x87 FPU should generate this safe, reasonable result whenever the
exception occurs. The default exception fix-up activity is treated by the x87 FPU as part of the instruction
causing the exception; no external indication of the exception is given (except that the instruction takes longer
to execute when it handles a masked exception.) When masked exceptions are detected, a flag is set in the
numeric status register, but no information is preserved regarding where or when it was set.
2. A software exception handler can be invoked to handle the exception. When a numeric exception is unmasked
and the exception occurs, the x87 FPU stops further execution of the numeric instruction and causes a branch
to a software exception handler. The exception handler can then implement any sort of recovery procedures
desired for any numeric exception detectable by the x87 FPU.
D .3 .2 .1 Au to m a t ic E x ce p t io n H a n d lin g : U sin g Ma ske d E x ce p t io n s
Each of the six exception conditions described above has a corresponding flag bit in the x87 FPU status word and a
mask bit in the x87 FPU control word. If an exception is masked (the corresponding mask bit in the control word =
1), the processor takes an appropriate default action and continues with the computation.
The processor has a default fix-up activity for every possible exception condition it may encounter. These masked-
exception responses are designed to be safe and are generally acceptable for most numeric applications.
For example, if the Inexact result (Precision) exception is masked, the system can specify whether the x87 FPU
should handle a result that cannot be represented exactly by one of four modes of rounding: rounding it normally,
chopping it toward zero, always rounding it up, or always down. If the Underflow exception is masked, the x87 FPU
will store a number that is too small to be represented in normalized form as a denormal (or zero if its smaller than
the smallest denormal). Note that when exceptions are masked, the x87 FPU may detect multiple exceptions in a
single instruction, because it continues executing the instruction after performing its masked response. For
example, the x87 FPU could detect a denormalized operand, perform its masked response to this exception, and
then detect an underflow.
As an example of how even severe exceptions can be handled safely and automatically using the default exception
responses, consider a calculation of the parallel resistance of several values using only the standard formula (see
Figure D-4). If R1 becomes zero, the circuit resistance becomes zero. With the divide-by-zero and precision excep-
tions masked, the processor will produce the correct result. FDIV of R1 into 1 gives infinity, and then FDIV of
(infinity +R2 +R3) into 1 gives zero.
Vol. 1 D-9
GUIDELINES FOR WRITING X87 FPU EXCEPTION HANDLERS
By masking or unmasking specific numeric exceptions in the x87 FPU control word, programmers can delegate
responsibility for most exceptions to the processor, reserving the most severe exceptions for programmed excep-
tion handlers. Exception-handling software is often difficult to write, and the masked responses have been tailored
to deliver the most reasonable result for each condition. For the majority of applications, masking all exceptions
yields satisfactory results with the least programming effort. Certain exceptions can usefully be left unmasked
during the debugging phase of software development, and then masked when the clean software is actually run.
An invalid-operation exception for example, typically indicates a program error that must be corrected.
The exception flags in the x87 FPU status word provide a cumulative record of exceptions that have occurred since
these flags were last cleared. Once set, these flags can be cleared only by executing the FCLEX/FNCLEX (clear
exceptions) instruction, by reinitializing the x87 FPU with FINIT/FNINIT or FSAVE/FNSAVE, or by overwriting the
flags with an FRSTOR or FLDENV instruction. This allows a programmer to mask all exceptions, run a calculation,
and then inspect the status word to see if any exceptions were detected at any point in the calculation.
D .3 .2 .2 S o ft w a re E x ce p t io n H a n d lin g
If the x87 FPU in or with an IA-32 processor (Intel 286 and onwards) encounters an unmasked exception condition,
with the system operated in the MS-DOS compatibility mode and with IGNNE# not asserted, a software exception
handler is invoked through a PIC and the processors INTR pin. The FERR# (or ERROR#) output from the x87 FPU
that begins the process of invoking the exception handler may occur when the error condition is first detected, or
when the processor encounters the next WAIT or x87 FPU instruction. Which of these two cases occurs depends on
the processor generation and also on which exception and which x87 FPU instruction triggered it, as discussed
earlier in Section D.1, MS-DOS Compatibility Sub-mode for Handling x87 FPU Exceptions, and Section D.2,
Implementation of the MS-DOS* Compatibility Sub-mode in the Intel486, Pentium, and P6 Processor Family,
and Pentium 4 Processors. The elapsed time between the initial error signal and the invocation of the x87 FPU
exception handler depends of course on the external hardware interface, and also on whether the external inter-
rupt for x87 FPU errors is enabled. But the architecture ensures that the handler will be invoked before execution
of the next WAIT or floating-point instruction since an unmasked floating-point exception causes the processor to
freeze just before executing such an instruction (unless the IGNNE# input is active, or it is a no-wait x87 FPU
instruction).
The frozen processor waits for an external interrupt, which must be supplied by external hardware in response to
the FERR# (or ERROR#) output of the processor (or coprocessor), usually through IRQ13 on the slave PIC, and
then through INTR. Then the external interrupt invokes the exception handling routine. Note that if the external
interrupt for x87 FPU errors is disabled when the processor executes an x87 FPU instruction, the processor will
freeze until some other (enabled) interrupt occurs if an unmasked x87 FPU exception condition is in effect. If NE =
0 but the IGNNE# input is active, the processor disregards the exception and continues. Error reporting via an
external interrupt is supported for MS-DOS compatibility. Chapter 22, IA-32 Architecture Compatibility, of the
Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3B, contains further discussion of compat-
ibility issues.
F ig u re D -4 . Arit h m e t ic E x a m p le U sin g I n fin it y
Equivalent Resistance =
1
1
R
1
+ +
R
1
1
R
2
1
R
3
R
2
R
3
D-10 Vol. 1
GUIDELINES FOR WRITING X87 FPU EXCEPTION HANDLERS
The references above to the ERROR# output from the x87 FPU apply to the Intel 387 and Intel 287 math coproces-
sors (NPX chips). If one of these coprocessors encounters an unmasked exception condition, it signals the excep-
tion to the Intel 286 or Intel386 processor using the ERROR# status line between the processor and the
coprocessor. See Section D.1, MS-DOS Compatibility Sub-mode for Handling x87 FPU Exceptions, in this
appendix, and Chapter 22, IA-32 Architecture Compatibility, in the Intel 64 and IA-32 Architectures Software
Developers Manual, Volume 3B, for differences in x87 FPU exception handling.
The exception-handling routine is normally a part of the systems software. The routine must clear (or disable) the
active exception flags in the x87 FPU status word before executing any floating-point instructions that cannot
complete execution when there is a pending floating-point exception. Otherwise, the floating-point instruction will
trigger the x87 FPU interrupt again, and the system will be caught in an endless loop of nested floating-point
exceptions, and hang. In any event, the routine must clear (or disable) the active exception flags in the x87 FPU
status word after handling them, and before IRET(D). Typical exception responses may include:
Incrementing an exception counter for later display or printing.
Printing or displaying diagnostic information (e.g., the x87 FPU environment and registers).
Aborting further execution, or using the exception pointers to build an instruction that will run without
exception and executing it.
Applications programmers should consult their operating system's reference manuals for the appropriate system
response to numerical exceptions. For systems programmers, some details on writing software exception handlers
are provided in Chapter 6, Interrupt and Exception Handling, in the Intel 64 and IA-32 Architectures Software
Developers Manual, Volume 3A, as well as in Section D.3.4, x87 FPU Exception Handling Examples, in this
appendix.
As discussed in Section D.2.1.2, Recommended External Hardware to Support the MS-DOS* Compatibility Sub-
mode, some early FERR# to INTR hardware interface implementations are less robust than the recommended
circuit. This is because they depended on the exception handler to clear the x87 FPU exception interrupt request to
the PIC (by accessing port 0F0H) before the handler causes FERR# to be de-asserted by clearing the exception
from the x87 FPU itself. To eliminate the chance of a problem with this early hardware, Intel recommends that x87
FPU exception handlers always access port 0F0H before clearing the error condition from the x87 FPU.
D .3 .3 S y n ch ro n iza t io n R e q u ire d fo r U se o f x 8 7 F P U E x ce p t io n H a n d le rs
Concurrency or synchronization management requires a check for exceptions before letting the processor change
a value just used by the x87 FPU. It is important to remember that almost any numeric instruction can, under the
wrong circumstances, produce a numeric exception.
D .3 .3 .1 E x ce p t io n S y n ch ro n iza t io n : Wh a t , Wh y, a n d Wh e n
Exception synchronization means that the exception handler inspects and deals with the exception in the context
in which it occurred. If concurrent execution is allowed, the state of the processor when it recognizes the exception
is often not in the context in which it occurred. The processor may have changed many of its internal registers and
be executing a totally different program by the time the exception occurs. If the exception handler cannot recap-
ture the original context, it cannot reliably determine the cause of the exception or recover successfully from the
exception. To handle this situation, the x87 FPU has special registers updated at the start of each numeric instruc-
tion to describe the state of the numeric program when the failed instruction was attempted.
This provides tools to help the exception handler recapture the original context, but the application code must also
be written with synchronization in mind. Overall, exception synchronization must ensure that the x87 FPU and
other relevant parts of the context are in a well defined state when the handler is invoked after an unmasked
numeric exception occurs.
When the x87 FPU signals an unmasked exception condition, it is requesting help. The fact that the exception was
unmasked indicates that further numeric program execution under the arithmetic and programming rules of the
x87 FPU will probably yield invalid results. Thus the exception must be handled, and with proper synchronization,
or the program will not operate reliably.
For programmers using higher-level languages, all required synchronization is automatically provided by the
appropriate compiler. However, for assembly language programmers exception synchronization remains the
responsibility of the programmer. It is not uncommon for a programmer to expect that their numeric program will
Vol. 1 D-11
GUIDELINES FOR WRITING X87 FPU EXCEPTION HANDLERS
not cause numeric exceptions after it has been tested and debugged, but in a different system or numeric environ-
ment, exceptions may occur regularly nonetheless. An obvious example would be use of the program with some
numbers beyond the range for which it was designed and tested. Example D-1 and Example D-2 in Section D.3.3.2,
Exception Synchronization Examples, show a subtle way in which unexpected exceptions can occur.
As described in Section D.3.1, Floating-Point Exceptions and Their Defaults, depending on options determined by
the software system designer, the processor can perform one of two possible courses of action when a numeric
exception occurs.
The x87 FPU can provide a default fix-up for selected numeric exceptions. If the x87 FPU performs its default
action for all exceptions, then the need for exception synchronization is not manifest. However, code is often
ported to contexts and operating systems for which it was not originally designed. Example D-1 and Example
D-2, below, illustrate that it is safest to always consider exception synchronization when designing code that
uses the x87 FPU.
Alternatively, a software exception handler can be invoked to handle the exception. When a numeric exception
is unmasked and the exception occurs, the x87 FPU stops further execution of the numeric instruction and
causes a branch to a software exception handler. When an x87 FPU exception handler will be invoked, synchro-
nization must always be considered to assure reliable performance.
Example D-1 and Example D-2, below, illustrate the need to always consider exception synchronization when
writing numeric code, even when the code is initially intended for execution with exceptions masked.
D .3 .3 .2 E x ce p t io n S y n ch ro n iza t io n E x a m p le s
In the following examples, three instructions are shown to load an integer, calculate its square root, then increment
the integer. The synchronous execution of the x87 FPU will allow both of these programs to execute correctly, with
INC COUNT being executed in parallel in the processor, as long as no exceptions occur on the FILD instruction.
However, if the code is later moved to an environment where exceptions are unmasked, the code in Example D-1
will not work correctly:
E x a m p le D -1 . I n co rre ct E rro r S y n ch ro n iza t io n
FILD COUNT ;x87 FPU instruction
INC COUNT ;integer instruction alters operand
FSQRT ;subsequent x87 FPU instruction -- error
;from previous x87 FPU instruction detected here
E x a m p le D -2 . P ro p e r E rro r S y n ch ro n iza t io n
FILD COUNT ;x87 FPU instruction
FSQRT ;subsequent x87 FPU instruction -- error from
;previous x87 FPU instruction detected here
INC COUNT ;integer instruction alters operand
In some operating systems supporting the x87 FPU, the numeric register stack is extended to memory. To extend
the x87 FPU stack to memory, the invalid exception is unmasked. A push to a full register or pop from an empty
register sets SF (Stack Fault flag) and causes an invalid operation exception. The recovery routine for the exception
must recognize this situation, fix up the stack, then perform the original operation. The recovery routine will not
work correctly in Example D-1. The problem is that the value of COUNT increments before the exception handler is
invoked, so that the recovery routine will load an incorrect value of COUNT, causing the program to fail or behave
unreliably.
D .3 .3 .3 P ro p e r E x ce p t io n S y n ch ro n iza t io n
As explained in Section D.2.1.2, Recommended External Hardware to Support the MS-DOS* Compatibility Sub-
mode, if the x87 FPU encounters an unmasked exception condition a software exception handler is invoked before
execution of the next WAIT or floating-point instruction. This is because an unmasked floating-point exception
causes the processor to freeze immediately before executing such an instruction (unless the IGNNE# input is
D-12 Vol. 1
GUIDELINES FOR WRITING X87 FPU EXCEPTION HANDLERS
active, or it is a no-wait x87 FPU instruction). Exactly when the exception handler will be invoked (in the interval
between when the exception is detected and the next WAIT or x87 FPU instruction) is dependent on the processor
generation, the system, and which x87 FPU instruction and exception is involved.
To be safe in exception synchronization, one should assume the handler will be invoked at the end of the interval.
Thus the program should not change any value that might be needed by the handler (such as COUNT in Example
D-1 and Example D-2) until after the next x87 FPU instruction following an x87 FPU instruction that could cause
an error. If the program needs to modify such a value before the next x87 FPU instruction (or if the next x87 FPU
instruction could also cause an error), then a WAIT instruction should be inserted before the value is modified. This
will force the handling of any exception before the value is modified. A WAIT instruction should also be placed after
the last floating-point instruction in an application so that any unmasked exceptions will be serviced before the task
completes.
D .3 .4 x 8 7 F P U E x ce p t io n H a n d lin g E x a m p le s
There are many approaches to writing exception handlers. One useful technique is to consider the exception
handler procedure as consisting of prologue, body, and epilogue sections of code.
In the transfer of control to the exception handler due to an INTR, NMI, or SMI, external interrupts have been
disabled by hardware. The prologue performs all functions that must be protected from possible interruption by
higher-priority sources. Typically, this involves saving registers and transferring diagnostic information from the
x87 FPU to memory. When the critical processing has been completed, the prologue may re-enable interrupts to
allow higher-priority interrupt handlers to preempt the exception handler. The standard prologue not only saves
the registers and transfers diagnostic information from the x87 FPU to memory but also clears the floating-point
exception flags in the status word. Alternatively, when it is not necessary for the handler to be re-entrant, another
technique may also be used. In this technique, the exception flags are not cleared in the prologue and the body
of the handler must not contain any floating-point instructions that cannot complete execution when there is a
pending floating-point exception. (The no-wait instructions are discussed in Section 8.3.12, Waiting vs. Non-
waiting Instructions.) Note that the handler must still clear the exception flag(s) before executing the IRET. If the
exception handler uses neither of these techniques, the system will be caught in an endless loop of nested floating-
point exceptions, and hang.
The body of the exception handler examines the diagnostic information and makes a response that is necessarily
application-dependent. This response may range from halting execution, to displaying a message, to attempting to
repair the problem and proceed with normal execution. The epilogue essentially reverses the actions of the
prologue, restoring the processor so that normal execution can be resumed. The epilogue must not load an
unmasked exception flag into the x87 FPU or another exception will be requested immediately.
The following code examples show the ASM386/486 coding of three skeleton exception handlers, with the save
spaces given as correct for 32-bit protected mode. They show how prologues and epilogues can be written for
various situations, but the application-dependent exception handling body is just indicated by comments showing
where it should be placed.
The first two are very similar; their only substantial difference is their choice of instructions to save and restore the
x87 FPU. The trade-off here is between the increased diagnostic information provided by FNSAVE and the faster
execution of FNSTENV. (Also, after saving the original contents, FNSAVE re-initializes the x87 FPU, while FNSTENV
only masks all x87 FPU exceptions.) For applications that are sensitive to interrupt latency or that do not need to
examine register contents, FNSTENV reduces the duration of the critical region, during which the processor does
not recognize another interrupt request. (See the Section 8.1.10, Saving the x87 FPUs State with
FSTENV/FNSTENV and FSAVE/FNSAVE, for a complete description of the x87 FPU save image.) If the processor
supports Streaming SIMD Extensions and the operating system supports it, the FXSAVE instruction should be used
instead of FNSAVE. If the FXSAVE instruction is used, the save area should be increased to 512 bytes and aligned
to 16 bytes to save the entire state. These steps will ensure that the complete context is saved.
After the exception handler body, the epilogues prepare the processor to resume execution from the point of inter-
ruption (for example, the instruction following the one that generated the unmasked exception). Notice that the
exception flags in the memory image that is loaded into the x87 FPU are cleared to zero prior to reloading (in fact,
in these examples, the entire status word image is cleared).
Example D-3 and Example D-4 assume that the exception handler itself will not cause an unmasked exception.
Where this is a possibility, the general approach shown in Example D-5 can be employed. The basic technique is to
Vol. 1 D-13
GUIDELINES FOR WRITING X87 FPU EXCEPTION HANDLERS
save the full x87 FPU state and then to load a new control word in the prologue. Note that considerable care should
be taken when designing an exception handler of this type to prevent the handler from being reentered endlessly.
E x a m p le D -3 . Fu ll-S t a t e E x ce p t io n H a n d le r
SAVE_ALL PROC
;
;SAVE REGISTERS, ALLOCATE STACK SPACE FOR x87 FPU STATE IMAGE
PUSH EBP
.
.
MOV EBP, ESP
SUB ESP, 108 ; ALLOCATES 108 BYTES (32-bit PROTECTED MODE SIZE)
;SAVE FULL x87 FPU STATE, RESTORE INTERRUPT ENABLE FLAG (IF)
FNSAVE [EBP-108]
PUSH [EBP +OFFSET_TO_EFLAGS] ; COPY OLD EFLAGS TO STACK TOP
POPFD ;RESTORE IF TO VALUE BEFORE x87 FPU EXCEPTION
;
;APPLICATION-DEPENDENT EXCEPTION HANDLING CODE GOES HERE
;
;CLEAR EXCEPTION FLAGS IN STATUS WORD (WHICH IS IN MEMORY)
;RESTORE MODIFIED STATE IMAGE
MOV BYTE PTR [EBP-104], 0H
FRSTOR [EBP-108]
;DE-ALLOCATE STACK SPACE, RESTORE REGISTERS
MOV ESP, EBP
.
.
POP EBP
;
;RETURN TO INTERRUPTED CALCULATION
IRETD
SAVE_ALL ENDP
E x a m p le D -4 . R e d u ce d -La t e n cy E x ce p t io n H a n d le r
SAVE_ENVIRONMENTPROC
;
;SAVE REGISTERS, ALLOCATE STACK SPACE FOR x87 FPU ENVIRONMENT
PUSH EBP
.
.
MOV EBP, ESP
SUB ESP, 28 ;ALLOCATES 28 BYTES (32-bit PROTECTED MODE SIZE)
;SAVE ENVIRONMENT, RESTORE INTERRUPT ENABLE FLAG (IF)
FNSTENV [EBP - 28]
PUSH [EBP +OFFSET_TO_EFLAGS] ; COPY OLD EFLAGS TO STACK TOP
POPFD ;RESTORE IF TO VALUE BEFORE x87 FPU EXCEPTION
;
;APPLICATION-DEPENDENT EXCEPTION HANDLING CODE GOES HERE
;
;CLEAR EXCEPTION FLAGS IN STATUS WORD (WHICH IS IN MEMORY)
;RESTORE MODIFIED ENVIRONMENT IMAGE
MOV BYTE PTR [EBP-24], 0H
D-14 Vol. 1
GUIDELINES FOR WRITING X87 FPU EXCEPTION HANDLERS
FLDENV [EBP-28]
;DE-ALLOCATE STACK SPACE, RESTORE REGISTERS
MOV ESP, EBP
.
.
POP EBP
;
;RETURN TO INTERRUPTED CALCULATION
IRETD
SAVE_ENVIRONMENT ENDP
E x a m p le D -5 . R e e n t ra n t E x ce p t io n H a n d le r
.
.
LOCAL_CONTROL DW ?; ASSUME INITIALIZED
.
.
REENTRANTPROC
;
;SAVE REGISTERS, ALLOCATE STACK SPACE FOR x87 FPU STATE IMAGE
PUSH EBP
.
.
MOV EBP, ESP
SUB ESP, 108 ;ALLOCATES 108 BYTES (32-bit PROTECTED MODE SIZE)
;SAVE STATE, LOAD NEW CONTROL WORD, RESTORE INTERRUPT ENABLE FLAG (IF)
FNSAVE [EBP-108]
FLDCW LOCAL_CONTROL
PUSH [EBP +OFFSET_TO_EFLAGS] ;COPY OLD EFLAGS TO STACK TOP
POPFD ;RESTORE IF TO VALUE BEFORE x87 FPU EXCEPTION
.
.
;
;APPLICATION-DEPENDENT EXCEPTION HANDLING CODE
;GOES HERE - AN UNMASKED EXCEPTION
;GENERATED HERE WILL CAUSE THE EXCEPTION HANDLER TO BE REENTERED
;IF LOCAL STORAGE IS NEEDED, IT MUST BE ALLOCATED ON THE STACK
.
;CLEAR EXCEPTION FLAGS IN STATUS WORD (WHICH IS IN MEMORY)
;RESTORE MODIFIED STATE IMAGE
MOV BYTE PTR [EBP-104], 0H
FRSTOR [EBP-108]
;DE-ALLOCATE STACK SPACE, RESTORE REGISTERS
MOV ESP, EBP
.
.
POP EBP
;
;RETURN TO POINT OF INTERRUPTION
IRETD
REENTRANT ENDP
Vol. 1 D-15
GUIDELINES FOR WRITING X87 FPU EXCEPTION HANDLERS
D .3 .5 N e e d fo r S t o rin g S t a t e o f I G N N E # C ircu it I f U sin g x 8 7 F P U a n d S MM
The recommended circuit (see Figure D-1) for MS-DOS compatibility x87 FPU exception handling for Intel486
processors and beyond contains two flip flops. When the x87 FPU exception handler accesses I/O port 0F0H it
clears the IRQ13 interrupt request output from Flip Flop #1 and also clocks out the IGNNE# signal (active) from
Flip Flop #2.
The assertion of IGNNE# may be used by the handler if needed to execute any x87 FPU instruction while ignoring
the pending x87 FPU errors. The problem here is that the state of Flip Flop #2 is effectively an additional (but
hidden) status bit that can affect processor behavior, and so ideally should be saved upon entering SMM, and
restored before resuming to normal operation. If this is not done, and also the SMM code saves the x87 FPU state,
AND an x87 FPU error handler is being used which relies on IGNNE# assertion, then (very rarely) the x87 FPU
handler will nest inside itself and malfunction. The following example shows how this can happen.
Suppose that the x87 FPU exception handler includes the following sequence:
FNSTSW save_sw ; save the x87 FPU status word
; using a no-wait x87 FPU instruction
OUT 0F0H, AL ; clears IRQ13 & activates IGNNE#
. . . .
FLDCW new_cw ; loads new CW ignoring x87 FPU errors,
; since IGNNE# is assumed active; or any
; other x87 FPU instruction that is not a no-wait
; type will cause the same problem
. . . .
FCLEX ; clear the x87 FPU error conditions & thus
; turn off FERR# & reset the IGNNE# FF
The problem will only occur if the processor enters SMM between the OUT and the FLDCW instructions. But if that
happens, AND the SMM code saves the x87 FPU state using FNSAVE, then the IGNNE# Flip Flop will be cleared
(because FNSAVE clears the x87 FPU errors and thus de-asserts FERR#). When the processor returns from SMM it
will restore the x87 FPU state with FRSTOR, which will re-assert FERR#, but the IGNNE# Flip Flop will not get set.
Then when the x87 FPU error handler executes the FLDCW instruction, the active error condition will cause the
processor to re-enter the x87 FPU error handler from the beginning. This may cause the handler to malfunction.
To avoid this problem, Intel recommends two measures:
1. Do not use the x87 FPU for calculations inside SMM code. (The normal power management, and sometimes
security, functions provided by SMM have no need for x87 FPU calculations; if they are needed for some special
case, use scaling or emulation instead.) This eliminates the need to do FNSAVE/FRSTOR inside SMM code,
except when going into a 0 V suspend state (in which, in order to save power, the CPU is turned off completely,
requiring its complete state to be saved).
2. The system should not call upon SMM code to put the processor into 0 V suspend while the processor is running
x87 FPU calculations, or just after an interrupt has occurred. Normal power management protocol avoids this
by going into power down states only after timed intervals in which no system activity occurs.
D .3 .6 C o n sid e ra t io n s Wh e n x 8 7 F P U S h a re d B e t w e e n Ta sk s
The IA-32 architecture allows speculative deferral of floating-point state swaps on task switches. This feature
allows postponing an x87 FPU state swap until an x87 FPU instruction is actually encountered in another task. Since
kernel tasks rarely use floating-point, and some applications do not use floating-point or use it infrequently, the
amount of time saved by avoiding unnecessary stores of the floating-point state is significant. Speculative deferral
of x87 FPU saves does, however, place an extra burden on the kernel in three key ways:
1. The kernel must keep track of which thread owns the x87 FPU, which may be different from the currently
executing thread.
2. The kernel must associate any floating-point exceptions with the generating task. This requires special
handling since floating-point exceptions are delivered asynchronous with other system activity.
D-16 Vol. 1
GUIDELINES FOR WRITING X87 FPU EXCEPTION HANDLERS
3. There are conditions under which spurious floating-point exception interrupts are generated, which the kernel
must recognize and discard.
D .3 .6 .1 S p e cu la t iv e ly D e fe rrin g x 8 7 F P U S a v e s, G e n e ra l O v e rv ie w
In order to support multitasking, each thread in the system needs a save area for the general-purpose registers,
and each task that is allowed to use floating-point needs an x87 FPU save area large enough to hold the entire x87
FPU stack and associated x87 FPU state such as the control word and status word. (See Section 8.1.10, Saving the
x87 FPUs State with FSTENV/FNSTENV and FSAVE/FNSAVE, for a complete description of the x87 FPU save
image.) If the processor and the operating system support Streaming SIMD Extensions, the save area should be
large enough and aligned correctly to hold x87 FPU and Streaming SIMD Extensions state.
On a task switch, the general-purpose registers are swapped out to their save area for the suspending thread, and
the registers of the resuming thread are loaded. The x87 FPU state does not need to be saved at this point. If the
resuming thread does not use the x87 FPU before it is itself suspended, then both a save and a load of the x87 FPU
state has been avoided. It is often the case that several threads may be executed without any usage of the x87
FPU.
The processor supports speculative deferral of x87 FPU saves via interrupt 7 Device Not Available (DNA), used in
conjunction with CR0 bit 3, the Task Switched bit (TS). (See Control Registers in Chapter 2 of the Intel 64 and
IA-32 Architectures Software Developers Manual, Volume 3A.) Every task switch via the hardware supported task
switching mechanism (see Task Switching in Chapter 7 of the Intel 64 and IA-32 Architectures Software Devel-
opers Manual, Volume 3A) sets TS. Multi-threaded kernels that use software task switching
1
can set the TS bit by
reading CR0, ORing a 1 into
2
bit 3, and writing back CR0. Any subsequent floating-point instructions (now being
executed in a new thread context) will fault via interrupt 7 before execution.
This allows a DNA handler to save the old floating-point context and reload the x87 FPU state for the current
thread. The handler should clear the TS bit before exit using the CLTS instruction. On return from the handler the
faulting thread will proceed with its floating-point computation.
Some operating systems save the x87 FPU context on every task switch, typically because they also change the
linear address space between tasks. The problem and solution discussed in the following sections apply to these
operating systems also.
D .3 .6 .2 T ra ck in g x 8 7 F P U O w n e rsh ip
Since the contents of the x87 FPU may not belong to the currently executing thread, the thread identifier for the
last x87 FPU user needs to be tracked separately. This is not complicated; the kernel should simply provide a vari-
able to store the thread identifier of the x87 FPU owner, separate from the variable that stores the identifier for the
currently executing thread. This variable is updated in the DNA exception handler, and is used by the DNA excep-
tion handler to find the x87 FPU save areas of the old and new threads. A simplified flow for a DNA exception
handler is then:
1. Use the x87 FPU Owner variable to find the x87 FPU save area of the last thread to use the x87 FPU.
2. Save the x87 FPU contents to the old threads save area, typically using an FNSAVE or FXSAVE instruction.
3. Set the x87 FPU Owner variable to the identify the currently executing thread.
4. Reload the x87 FPU contents from the new threads save area, typically using an FRSTOR or FXSTOR
instruction.
5. Clear TS using the CLTS instruction and exit the DNA exception handler.
While this flow covers the basic requirements for speculatively deferred x87 FPU state swaps, there are some addi-
tional subtleties that need to be handled in a robust implementation.
1 In a software task switch, the operating system uses a sequence of instructions to save the suspending threads state and restore
the resuming threads state, instead of the single long non-interruptible task switch operation provided by the IA-32 architecture.
2 Although CR0, bit 2, the emulation flag (EM), also causes a DNA exception, d o n o t use the EM bit as a surrogate for TS. EM means that
no x87 FPU is available and that floating-point instructions must be emulated. Using EM to trap on task switches is not compatible
with the MMX technology. If the EM flag is set, MMX instructions raise the invalid opcode exception.
Vol. 1 D-17
GUIDELINES FOR WRITING X87 FPU EXCEPTION HANDLERS
D .3 .6 .3 I n t e ra ct io n o f x 8 7 F P U S t a t e S a v e s a n d F lo a t in g -P o in t E x ce p t io n Asso cia t io n
Recall these key points from earlier in this document: When considering floating-point exceptions across all imple-
mentations of the IA-32 architecture, and across all floating-point instructions, a floating-point exception can be
initiated from any time during the excepting floating-point instruction, up to just before the next floating-point
instruction. The next floating-point instruction may be the FNSAVE used to save the x87 FPU state for a task
switch. In the case of no-wait: instructions such as FNSAVE, the interrupt from a previously excepting instruc-
tion (NE = 0 case) may arrive just before the no-wait instruction, during, or shortly thereafter with a system
dependent delay.
Note that this implies that an floating-point exception might be registered during the state swap process itself, and
the kernel and floating-point exception interrupt handler must be prepared for this case.
A simple way to handle the case of exceptions arriving during x87 FPU state swaps is to allow the kernel to be one
of the x87 FPU owning threads. A reserved thread identifier is used to indicate kernel ownership of the x87 FPU.
During an floating-point state swap, the x87 FPU owner variable should be set to indicate the kernel as the
current owner. At the completion of the state swap, the variable should be set to indicate the new owning thread.
The numeric exception handler needs to check the x87 FPU owner and discard any numeric exceptions that occur
while the kernel is the x87 FPU owner. A more general flow for a DNA exception handler that handles this case is
shown in Figure D-5.
Numeric exceptions received while the kernel owns the x87 FPU for a state swap must be discarded in the kernel
without being dispatched to a handler. A flow for a numeric exception dispatch routine is shown in Figure D-6.
It may at first glance seem that there is a possibility of floating-point exceptions being lost because of exceptions
that are discarded during state swaps. This is not the case, as the exception will be re-issued when the floating-
point state is reloaded. Walking through state swaps both with and without pending numeric exceptions will clarify
the operation of these two handlers.
F ig u re D -5 . G e n e ra l P ro g ra m F lo w fo r D N A E x ce p t io n H a n d le r
DNA Handler Entry
Current Thread
same as
FPU Owner?
FPU Owner := Kernel
FNSAVE to Old Threads
FP Save Area
(may cause numeric exception)
<other handler set up code>
<other handler code>
FPU Owner := Current Thread
FRSTOR from Current Threads
FP Save Area
CLTS (clears CR0.TS)
Exit DNA Handler
No
Yes
<handler final clean-up>
D-18 Vol. 1
GUIDELINES FOR WRITING X87 FPU EXCEPTION HANDLERS
C a se # 1 : x 8 7 F P U S t a t e S w a p Wit h o u t N u m e ric E x ce p t io n
Assume two threads A and B, both using the floating-point unit. Let A be the thread to have most recently executed
a floating-point instruction, with no pending numeric exceptions. Let B be the currently executing thread. CR0.TS
was set when thread A was suspended.
When B starts to execute a floating-point instruction the instruction will fault with the DNA exception because TS is
set.
At this point the handler is entered, and eventually it finds that the current x87 FPU Owner is not the currently
executing thread. To guard the x87 FPU state swap from extraneous numeric exceptions, the x87 FPU Owner is set
to be the kernel. The old owners x87 FPU state is saved with FNSAVE, and the current threads x87 FPU state is
restored with FRSTOR. Before exiting, the x87 FPU owner is set to thread B, and the TS bit is cleared.
On exit, thread B resumes execution of the faulting floating-point instruction and continues.
C a se # 2 : x 8 7 F P U S t a t e S w a p w it h D isca rd e d N u m e ric E x ce p t io n
Again, assume two threads A and B, both using the floating-point unit. Let A be the thread to have most recently
executed a floating-point instruction, but this time let there be a pending numeric exception. Let B be the currently
executing thread. When B starts to execute a floating-point instruction the instruction will fault with the DNA
exception and enter the DNA handler. (If both numeric and DNA exceptions are pending, the DNA exception takes
precedence, in order to support handling the numeric exception in its own context.)
When the FNSAVE starts, it will trigger an interrupt via FERR# because of the pending numeric exception. After
some system dependent delay, the numeric exception handler is entered. It may be entered before the FNSAVE
starts to execute, or it may be entered shortly after execution of the FNSAVE. Since the x87 FPU Owner is the
kernel, the numeric exception handler simply exits, discarding the exception. The DNA handler resumes execution,
completing the FNSAVE of the old floating-point context of thread A and the FRSTOR of the floating-point context
for thread B.
Thread A eventually gets an opportunity to handle the exception that was discarded during the task switch. After
some time, thread B is suspended, and thread A resumes execution. When thread A starts to execute an floating-
point instruction, once again the DNA exception handler is entered. Bs x87 FPU state is saved with FNSAVE, and
As x87 FPU state is restored with FRSTOR. Note that in restoring the x87 FPU state from As save area, the pending
numeric exception flags are reloaded into the floating-point status word. Now when the DNA exception handler
returns, thread A resumes execution of the faulting floating-point instruction just long enough to immediately
generate a numeric exception, which now gets handled in the normal way. The net result is that the task switch and
resulting x87 FPU state swap via the DNA exception handler causes an extra numeric exception which can be safely
discarded.
D .3 .6 .4 I n t e rru p t R o u t in g F ro m t h e K e rn e l
In MS-DOS, an application that wishes to handle numeric exceptions hooks interrupt 16 by placing its handler
address in the interrupt vector table, and exiting via a jump to the previous interrupt 16 handler. Protected mode
systems that run MS-DOS programs under a subsystem can emulate this exception delivery mechanism. For
example, assume a protected mode OS. that runs with CR0.NE[bit 5] = 1, and that runs MS-DOS programs in a
F ig u re D -6 . P ro g ra m F lo w fo r a N u m e ric E x ce p t io n D isp a t ch R o u t in e
Numeric Exception Entry
Is Kernel
FPU Owner?
Normal Dispatch to
Numeric Exception Handler Exit
No
Yes
Vol. 1 D-19
GUIDELINES FOR WRITING X87 FPU EXCEPTION HANDLERS
virtual machine subsystem. The MS-DOS program is set up in a virtual machine that provides a virtualized inter-
rupt table. The MS-DOS application hooks interrupt 16 in the virtual machine in the normal way. A numeric excep-
tion will trap to the kernel via the real INT 16 residing in the kernel at ring 0.
The INT 16 handler in the kernel then locates the correct MS-DOS virtual machine, and reflects the interrupt to the
virtual machine monitor. The virtual machine monitor then emulates an interrupt by jumping through the address
in the virtualized interrupt table, eventually reaching the applications numeric exception handler.
D .3 .6 .5 S p e cia l C o n sid e ra t io n s fo r O p e ra t in g S y st e m s t h a t S u p p o rt S t re a m in g S I MD E x t e n sio n s
Operating systems that support Streaming SIMD Extensions instructions introduced with the Pentium III processor
should use the FXSAVE and FXRSTOR instructions to save and restore the new SIMD floating-point instruction
register state as well as the floating-point state. Such operating systems must consider the following issues:
1. Enlarged state save area FNSAVE/FRSTOR instructions operate on a 94-byte or 108-byte memory region,
depending on whether they are executed in 16-bit or 32-bit mode. The FXSAVE/FXRSTOR instructions operate
on a 512-byte memory region.
2. Alignment requirements FXSAVE/FXRSTOR instructions require the memory region on which they
operate to be 16-byte aligned (refer to the individual instruction instructions descriptions in Chapter 3 of the
Intel 64 and IA-32 Architectures Software Developers Manual, Volume 2A, for information about exceptions
generated if the memory region is not aligned).
3. Maintaining compatibility with legacy applications/libraries The operating system changes to
support Streaming SIMD Extensions must be invisible to legacy applications or libraries that deal only with
floating-point instructions. The layout of the memory region operated on by the FXSAVE/FXRSTOR instructions
is different from the layout for the FNSAVE/FRSTOR instructions. Specifically, the format of the x87 FPU tag
word and the length of the various fields in the memory region is different. Care must be taken to return the
x87 FPU state to a legacy application (e.g., when reporting FP exceptions) in the format it expects.
4. Instruction semantic differences There are some semantic differences between the way the FXSAVE and
FSAVE/FNSAVE instructions operate. The FSAVE/FNSAVE instructions clear the x87 FPU after they save the
state while the FXSAVE instruction saves the x87 FPU/Streaming SIMD Extensions state but does not clear it.
Operating systems that use FXSAVE to save the x87 FPU state before making it available for another thread
(e.g., during thread switch time) should take precautions not to pass a dirty x87 FPU to another application.
D .4 D I F F E R E N C E S FO R H AN D LE R S U S I N G N AT I VE MO D E
The 8087 has an INT pin which it asserts when an unmasked exception occurs. But there is no interrupt input pin
in the 8086 or 8088 dedicated to its attachment, nor an interrupt vector number in the 8086 or 8088 specific for an
x87 FPU error assertion. Beginning with the Intel 286 and Intel 287 hardware, a connection was dedicated to
support the x87 FPU exception and interrupt vector 16 was assigned to it.
D .4 .1 O rig in w it h t h e I n t e l 2 8 6 a n d I n t e l 2 8 7, a n d I n t e l3 8 6 a n d I n t e l 3 8 7 P ro ce sso rs
The Intel 286 and Intel 287, and Intel386 and Intel 387 processor/coprocessor pairs are each provided with
ERROR# pins that are recommended to be connected between the processor and x87 FPU. If this is done, when an
unmasked x87 FPU exception occurs, the x87 FPU records the exception, and asserts its ERROR# pin. The
processor recognizes this active condition of the ERROR# status line immediately before execution of the next
WAIT or x87 FPU instruction (except for the no-wait type) in its instruction stream, and branches to the routine at
interrupt vector 16. Thus an x87 FPU exception will be handled before any other x87 FPU instruction (after the one
causing the error) is executed (except for no-wait instructions, which will be executed without triggering the x87
FPU exception interrupt, but it will remain pending).
Using the dedicated INT 16 for x87 FPU exception handling is referred to as the native mode. It is the simplest
approach, and the one recommended most highly by Intel.
D-20 Vol. 1
GUIDELINES FOR WRITING X87 FPU EXCEPTION HANDLERS
D .4 .2 C h a n g e s w it h I n t e l4 8 6 , P e n t iu m a n d P e n t iu m P ro P ro ce sso rs w it h C R 0 .N E [b it 5 ] = 1
With these three generations of the IA-32 architecture, more enhancements and speedup features have been
added to the corresponding x87 FPUs. Also, the x87 FPU is now built into the same chip as the processor, which
allows further increases in the speed at which the x87 FPU can operate as part of the integrated system. This also
means that the native mode of x87 FPU exception handling, selected by setting bit NE of register CR0 to 1, is now
entirely internal.
If an unmasked exception occurs during an x87 FPU instruction, the x87 FPU records the exception internally, and
triggers the exception handler through interrupt 16 immediately before execution of the next WAIT or x87 FPU
instruction (except for no-wait instructions, which will be executed as described in Section D.4.1, Origin with the
Intel 286 and Intel 287, and Intel386 and Intel 387 Processors).
An unmasked numerical exception causes the FERR# output to be activated even with NE = 1, and at exactly the
same point in the program flow as it would have been asserted if NE were zero. However, the system would not
connect FERR# to a PIC to generate INTR when operating in the native, internal mode. (If the hardware of a system
has FERR# connected to trigger IRQ13 in order to support MS-DOS, but an operating system using the native mode
is actually running the system, it is the operating systems responsibility to make sure that IRQ13 is not enabled in
the slave PIC.) With this configuration a system is immune to the problem discussed in Section D.2.1.3, No-Wait
x87 FPU Instructions Can Get x87 FPU Interrupt in Window, where for Intel486 and Pentium processors a no-wait
x87 FPU instruction can get an x87 FPU exception.
D .4 .3 C o n sid e ra t io n s Wh e n x 8 7 F P U S h a re d B e t w e e n Ta sk s U sin g N a t iv e Mo d e
The protocols recommended in Section D.3.6, Considerations When x87 FPU Shared Between Tasks, for MS-DOS
compatibility x87 FPU exception handlers that are shared between tasks may be used without change with the
native mode. However, the protocols for a handler written specifically for native mode can be simplified, because
the problem of a spurious floating-point exception interrupt occurring while the kernel is executing cannot happen
in native mode.
The problem as actually found in practical code in a MS-DOS compatibility system happens when the DNA handler
uses FNSAVE to switch x87 FPU contexts. If an x87 FPU exception is active, then FNSAVE triggers FERR# briefly,
which usually will cause the x87 FPU exception handler to be invoked inside the DNA handler. In native mode,
neither FNSAVE nor any other no-wait instructions can trigger interrupt 16. (As discussed above, FERR# gets
asserted independent of the value of the NE bit, but when NE = 1, the operating system should not enable its path
through the PIC.) Another possible (very rare) way a floating-point exception interrupt could occur while the kernel
is executing is by an x87 FPU immediate exception case having its interrupt delayed by the external hardware until
execution has switched to the kernel. This also cannot happen in native mode because there is no delay through
external hardware.
Thus the native mode x87 FPU exception handler can omit the test to see if the kernel is the x87 FPU owner, and
the DNA handler for a native mode system can omit the step of setting the kernel as the x87 FPU owner at the
handlers beginning. Since however these simplifications are minor and save little code, it would be a reasonable
and conservative habit (as long as the MS-DOS compatibility mode is widely used) to include these steps in all
systems.
Note that the special DP (Dual Processing) mode for Pentium processors, and also the more general Intel MultiPro-
cessor Specification for systems with multiple Pentium, P6 family, or Pentium 4 processors, support x87 FPU
exception handling only in the native mode. Intel does not recommend using the MS-DOS compatibility mode for
systems using more than one processor.
Vol. 1 E-1
AP P E N D I X E
G U I D E LI N E S F O R WR I T I N G S I MD F LO AT I N G -P O I N T E XC E P T I O N
H AN D LE R S
See Section 11.5, SSE, SSE2, and SSE3 Exceptions, for a detailed discussion of SIMD floating-point exceptions.
This appendix considers only SSE/SSE2/SSE3 instructions that can generate numeric (SIMD floating-point) excep-
tions, and gives an overview of the necessary support for handling such exceptions. This appendix does not
address instructions that do not generate floating-point exceptions (such as RSQRTSS, RSQRTPS, RCPSS, or
RCPPS), any x87 instructions, or any unlisted instruction.
For detailed information on which instructions generate numeric exceptions, and a listing of those exceptions, refer
to Appendix C, Floating-Point Exceptions Summary. Non-numeric exceptions are handled in a way similar to that
for the standard IA-32 instructions.
E .1 T WO O P T I O N S F O R H AN D LI N G F LO AT I N G -P O I N T E XC E P T I O N S
Just as for x87 FPU floating-point exceptions, the processor takes one of two possible courses of action when an
SSE/SSE2/SSE3 instruction raises a floating-point exception:
If the exception being raised is masked (by setting the corresponding mask bit in the MXCSR to 1), then a
default result is produced which is acceptable in most situations. No external indication of the exception is
given, but the corresponding exception flags in the MXCSR are set and may be examined later. Note though
that for packed operations, an exception flag that is set in the MXCSR will not tell which of the sub-operands
caused the event to occur.
If the exception being raised is not masked (by setting the corresponding mask bit in the MXCSR to 0), a
software exception handler previously registered by the user with operating system support will be invoked
through the SIMD floating-point exception (#XM, vector 19). This case is discussed below in Section E.2,
Software Exception Handling.
E .2 S O F T WAR E E XC E P T I O N H AN D LI N G
The exception handling routine reached via interrupt vector 19 is usually part of the system software (the operating
system kernel). Note that an interrupt descriptor table (IDT) entry must have been previously set up for this vector
(refer to Chapter 6, Interrupt and Exception Handling, in the Intel 64 and IA-32 Architectures Software Devel-
opers Manual, Volume 3A). Some compilers use specific run-time libraries to assist in floating-point exception
handling. If any x87 FPU floating-point operations are going to be performed that might raise floating-point excep-
tions, then the exception handling routine must either disable all floating-point exceptions (for example, loading a
local control word with FLDCW), or it must be implemented as re-entrant (for the case of x87 FPU exceptions, refer
to Example D-1 in Appendix D, Guidelines for Writing x87 FPU Exception Handlers). If this is not the case, the
routine has to clear the status flags for x87 FPU exceptions or to mask all x87 FPU floating-point exceptions. For
SIMD floating-point exceptions though, the exception flags in MXCSR do not have to be cleared, even if they remain
unmasked (but they may still be cleared). Exceptions are in this case precise and occur immediately, and a SIMD
floating-point exception status flag that is set when the corresponding exception is unmasked will not generate an
exception.
Typical actions performed by this low-level exception handling routine are:
Incrementing an exception counter for later display or printing
Printing or displaying diagnostic information (e.g. the MXCSR and XMM registers)
Aborting further execution, or using the exception pointers to build an instruction that will run without
exception and executing it
Storing information about the exception in a data structure that will be passed to a higher level user exception
handler
E-2 Vol. 1
GUIDELINES FOR WRITING SIMD FLOATING-POINT EXCEPTION HANDLERS
In most cases (and this applies also to SSE/SSE2/SSE3 instructions), there will be three main components of a low-
level floating-point exception handler: a prologue, a body, and an epilogue.
The prologue performs functions that must be protected from possible interruption by higher-priority sources -
typically saving registers and transferring diagnostic information from the processor to memory. When the critical
processing has been completed, the prologue may re-enable interrupts to allow higher-priority interrupt handlers
to preempt the exception handler (assuming that the interrupt handler was called through an interrupt gate,
meaning that the processor cleared the interrupt enable (IF) flag in the EFLAGS register - refer to Section 6.4.1,
Call and Return Operation for Interrupt or Exception Handling Procedures).
The body of the exception handler examines the diagnostic information and makes a response that is application-
dependent. It may range from halting execution, to displaying a message, to attempting to fix the problem and
then proceeding with normal execution, to setting up a data structure, calling a higher-level user exception handler
and continuing execution upon return from it. This latter case will be assumed in Section E.4, SIMD Floating-Point
Exceptions and the IEEE Standard 754 below.
Finally, the epilogue essentially reverses the actions of the prologue, restoring the processor state so that normal
execution can be resumed.
The following example represents a typical exception handler. To link it with Example E-2 that will follow in Section
E.4.3, Example SIMD Floating-Point Emulation Implementation, assume that the body of the handler (not shown
here in detail) passes the saved state to a routine that will examine in turn all the sub-operands of the excepting
instruction, invoking a user floating-point exception handler if a particular set of sub-operands raises an unmasked
(enabled) exception, or emulating the instruction otherwise.
E x a m p le E -1 . S I MD F lo a t in g -P o in t E x ce p t io n H a n d le r
SIMD_FP_EXC_HANDLER PROC
;PROLOGUE
;SAVE REGISTERS THAT MIGHT BE USED BY THE EXCEPTION HANDLER
PUSH EBP ;SAVE EBP
PUSH EAX ;SAVE EAX
...
MOV EBP, ESP ;SAVE ESP in EBP
SUB ESP, 512 ;ALLOCATE 512 BYTES
AND ESP, 0fffffff0h ;MAKE THE ADDRESS 16-BYTE ALIGNED
FXSAVE [ESP] ;SAVE FP, MMX, AND SIMD FP STATE
PUSH [EBP+EFLAGS_OFFSET] ;COPY OLD EFLAGS TO STACK TOP
POPFD ;RESTORE THE INTERRUPT ENABLE FLAG IF
;TO VALUE BEFORE SIMD FP EXCEPTION
;BODY
;APPLICATION-DEPENDENT EXCEPTION HANDLING CODE GOES HERE
LDMXCSR LOCAL_MXCSR ;LOAD LOCAL MXCSR VALUE IF NEEDED
...
...
;EPILOGUE
FXRSTOR [ESP] ;RESTORE MODIFIED STATE IMAGE
MOV ESP, EBP ;DE-ALLOCATE STACK SPACE
...
POP EAX ;RESTORE EAX
POP EBP ;RESTORE EBP
IRET ;RETURN TO INTERRUPTED CALCULATION
SIMD_FP_EXC_HANDLER ENDP
Vol. 1 E-3
GUIDELINES FOR WRITING SIMD FLOATING-POINT EXCEPTION HANDLERS
E .3 E XC E P T I O N S YN C H R O N I Z AT I O N
An SSE/SSE2/SSE3 instruction can execute in parallel with other similar instructions, with integer instructions, and
with floating-point or MMX instructions. Unlike for x87 instructions, special precaution for exception synchroniza-
tion is not necessary in this case. This is because floating-point exceptions for SSE/SSE2/SSE3 instructions occur
immediately and are not delayed until a subsequent floating-point instruction is executed. However, floating-
point emulation may be necessary when unmasked floating-point exceptions are generated.
E .4 S I MD F LO AT I N G -P O I N T E XC E P T I O N S AN D T H E I E E E S T AN D AR D 7 5 4
SSE/SSE2/SSE3 extensions are 100% compatible with the IEEE Standard 754 for Binary Floating-Point Arithmetic,
satisfying all of its mandatory requirements (when the flush-to-zero or denormals-are-zeros modes are not
enabled). But a programming environment that includes SSE/SSE2/SSE3 instructions will comply with both the
obligatory and the strongly recommended requirements of the IEEE Standard 754 regarding floating-point excep-
tion handling, only as a combination of hardware and software (which is acceptable). The standard states that a
user should be able to request a trap on any of the five floating-point exceptions (note that the denormal exception
is an IA-32 addition), and it also specifies the values (operands or result) to be delivered to the exception handler.
The main issue is that for SSE/SSE2/SSE3 instructions that raise post-computation exceptions (traps: overflow,
underflow, or inexact), unlike for x87 FPU instructions, the processor does not provide the result recommended by
IEEE Standard 754 to the user handler. If a user program needs the result of an instruction that generated a post-
computation exception, it is the responsibility of the software to produce this result by emulating the faulting
SSE/SSE2/SSE3 instruction. Another issue is that the standard does not specify explicitly how to handle multiple
floating-point exceptions that occur simultaneously. For packed operations, a logical OR of the flags that would be
set by each sub-operation is used to set the exception flags in the MXCSR. The following subsections present one
possible way to solve these problems.
E .4 .1 F lo a t in g -P o in t E m u la t io n
Every operating system must provide a kernel level floating-point exception handler (a template was presented in
Section E.2, Software Exception Handling above). In the following discussion, assume that a user mode floating-
point exception filter is supplied for SIMD floating-point exceptions (for example as part of a library of C functions),
that a user program can invoke in order to handle unmasked exceptions. The user mode floating-point exception
filter (not shown here) has to be able to emulate the subset of SSE/SSE2/SSE3 instructions that can generate
numeric exceptions, and has to be able to invoke a user provided floating-point exception handler for floating-point
exceptions. When a floating-point exception that is not masked is raised by an SSE/SSE2/SSE3 instruction, the
low-level floating-point exception handler will be called. This low-level handler may in turn call the user mode
floating-point exception filter. The filter function receives the original operands of the excepting instruction as no
results are provided by the hardware, whether a pre-computation or a post-computation exception has occurred.
The filter will unpack the operands into up to four sets of sub-operands, and will submit them one set at a time to
an emulation function (See Example E-2 in Section E.4.3, Example SIMD Floating-Point Emulation Implementa-
tion). The emulation function will examine the sub-operands, and will possibly redo the necessary calculation.
Two cases are possible:
If an unmasked (enabled) exception would occur in this process, the emulation function will return to its caller
(the filter function) with the appropriate information. The filter will invoke a (previously registered) user
floating-point exception handler for this set of sub-operands, and will record the result upon return from the
user handler (provided the user handler allows continuation of the execution).
If no unmasked (enabled) exception would occur, the emulation function will determine and will return to its
caller the result of the operation for the current set of sub-operands (it has to be IEEE Standard 754
compliant). The filter function will record the result (plus any new flag settings).
The user level filter function will then call the emulation function for the next set of sub-operands (if any). When
done with all the operand sets, the partial results will be packed (if the excepting instruction has a packed floating-
point result, which is true for most SSE/SSE2/SSE3 numeric instructions) and the filter will return to the low-level
exception handler, which in turn will return from the interruption, allowing execution to continue. Note that the
E-4 Vol. 1
GUIDELINES FOR WRITING SIMD FLOATING-POINT EXCEPTION HANDLERS
instruction pointer (EIP) has to be altered to point to the instruction following the excepting instruction, in order to
continue execution correctly.
If a user mode floating-point exception filter is not provided, then all the work for decoding the excepting instruc-
tion, reading its operands, emulating the instruction for the components of the result that do not correspond to
unmasked floating-point exceptions, and providing the compounded result will have to be performed by the user-
provided floating-point exception handler.
Actual emulation might have to take place for one operand or pair of operands for scalar operations, and for all sub-
operands or pairs of sub-operands for packed operations. The steps to perform are the following:
The excepting instruction has to be decoded and the operands have to be read from the saved context.
The instruction has to be emulated for each (pair of) sub-operand(s); if no floating-point exception occurs, the
partial result has to be saved; if a masked floating-point exception occurs, the masked result has to be
produced through emulation and saved, and the appropriate status flags have to be set; if an unmasked
floating-point exception occurs, the result has to be generated by the user provided floating-point exception
handler, and the appropriate status flags have to be set.
The partial results have to be combined and written to the context that will be restored upon application
program resumption.
A diagram of the control flow in handling an unmasked floating-point exception is presented below.
From the user-level floating-point filter, Example E-2 in Section E.4.3, Example SIMD Floating-Point Emulation
Implementation, will present only the floating-point emulation part. In order to understand the actions involved,
the expected response to exceptions has to be known for all SSE/SSE2/SSE3 numeric instructions in two situa-
tions: with exceptions enabled (unmasked result), and with exceptions disabled (masked result). The latter can be
found in Section 6.4, Interrupts and Exceptions. The response to NaN operands that do not raise an exception is
specified in Section 4.8.3.4, NaNs. Operations on NaNs are explained in the same source. This response is also
discussed in more detail in the next subsection, along with the unmasked and masked responses to floating-point
exceptions.
E .4 .2 S S E /S S E 2 /S S E 3 R e sp o n se To F lo a t in g -P o in t E x ce p t io n s
This subsection specifies the unmasked response expected from the SSE/SSE2/SSE3 instructions that raise
floating-point exceptions. The masked response is given in parallel, as it is necessary in the emulation process of
F ig u re E -1 . C o n t ro l F lo w fo r H a n d lin g U n m a ske d F lo a t in g -P o in t E x ce p t io n s
User Application
User Level Floating-Point Exception Filter
Low-Level Floating-Point Exception Handler
User Floating-Point Exception Handler
Vol. 1 E-5
GUIDELINES FOR WRITING SIMD FLOATING-POINT EXCEPTION HANDLERS
the instructions that raise unmasked floating-point exceptions. The response to NaN operands is also included in
more detail than in Section 4.8.3.4, NaNs. For floating-point exception priority, refer to Priority Among Simulta-
neous Exceptions and Interrupts in Chapter 6, Interrupt and Exception Handling, of Intel 64 and IA-32 Archi-
tectures Software Developers Manual, Volume 3A.
E .4 .2 .1 N u m e ric E x ce p t io n s
There are six classes of numeric (floating-point) exception conditions that can occur: Invalid operation (#I),
Divide-by-Zero (#Z), Denormal Operand (#D), Numeric Overflow (#O), Numeric Underflow (#U), and Inexact
Result (precision) (#P). #I, #Z, #D are pre-computation exceptions (floating-point faults), detected before the
arithmetic operation. #O, #U, #P are post-computation exceptions (floating-point traps).
Users can control how the SSE/SSE2/SSE3 floating-point exceptions are handled by setting the mask/unmask bits
in MXCSR. Masked exceptions are handled by the processor, or by software if they are combined with unmasked
exceptions occurring in the same instruction. Unmasked exceptions are usually handled by the low-level exception
handler, in conjunction with user-level software.
E .4 .2 .2 R e su lt s o f O p e ra t io n s w it h N a N O p e ra n d s o r a N a N R e su lt fo r S S E /S S E 2 /S S E 3 N u m e ric
I n st ru ct io n s
The tables below (E-1 through E-10) specify the response of SSE/SSE2/SSE3 instructions to NaN inputs, or to
other inputs that lead to NaN results.
These results will be referenced by subsequent tables (e.g., E-10). Most operations do not raise an invalid excep-
tion for quiet NaN operands, but even so, they will have higher precedence over raising floating-point exceptions
other than invalid operation.
Note that the single precision QNaN Indefinite value is 0xffc00000, the double precision QNaN Indefinite value is
0xfff8000000000000, and the Integer Indefinite value is 0x80000000 (not a floating-point number, but it can be
the result of a conversion instruction from floating-point to integer).
For an unmasked exception, no result will be provided by the hardware to the user handler. If a user registered
floating-point exception handler is invoked, it may provide a result for the excepting instruction, that will be used
if execution of the application code is continued after returning from the interruption.
In Tables E-1 through Table E-12, the specified operands cause an invalid exception, unless the unmasked result is
marked with not an exception. In this latter case, the unmasked and masked results are the same.
Ta b le E -1 . AD D P S , AD D S S , S U B P S , S U B S S , MU LP S , MU LS S , D I V P S , D I VS S , AD D P D , AD D S D , S U B P D , S U B S D , MU LP D ,
MU LS D , D I V P D , D I VS D , AD D S U B P S , AD D S U B P D , H AD D P S , H AD D P D , H S U B P S , H S U B P D
S o u rce O p e ra n d s Ma ske d R e su lt U n m a ske d R e su lt
SNaN1 op
1
SNaN2 SNaN1 | 00400000H or
SNaN1 | 0008000000000000H
2
None
SNaN1 op QNaN2 SNaN1 | 00400000H or
SNaN1 | 0008000000000000H
2
None
QNaN1 op SNaN2 QNaN1 None
QNaN1 op QNaN2 QNaN1 QNaN1 (not an exception)
SNaN op real value SNaN | 00400000H or
SNaN1 | 0008000000000000H
2
None
Real value op SNaN SNaN | 00400000H or
SNaN1 | 0008000000000000H
2
None
QNaN op real value QNaN QNaN (not an exception)
Real value op QNaN QNaN QNaN (not an exception)
E-6 Vol. 1
GUIDELINES FOR WRITING SIMD FLOATING-POINT EXCEPTION HANDLERS
Neither source operand is SNaN,
but #I is signaled (e.g. for Inf - Inf,
Inf 0, Inf / Inf, 0/0)
Single precision or double precision QNaN
Indefinite
None
N O T E S :
1. For Tables E-1 to E-12: op denotes the operation to be performed.
2. SNaN | 0x00400000 is a quiet NaN in single precision format (if SNaN is in single precision) and SNaN | 0008000000000000H is
a quiet NaN in double precision format (if SNaN is in double precision), obtained fromthe signaling NaN given as input.
3. Operations involving only quiet NaNs do not raise floating-point exceptions.
Ta b le E -2 . C MP P S .E Q , C MP S S .E Q , C MP P S .O R D , C MP S S .O R D ,
C MP P D .E Q , C MP S D .E Q , C MP P D .O R D , C MP S D .O R D
S o u rce O p e ra n d s Ma ske d R e su lt U n m a ske d R e su lt
NaN op Opd2 (any Opd2) 00000000H or 0000000000000000H
1
00000000H or 0000000000000000H
1

(not an exception)
Opd1 op NaN (any Opd1) 00000000H or 0000000000000000H
1
00000000H or 0000000000000000H
1

(not an exception)
N O T E :
1. 32-bit results are for single, and 64-bit results for double precision operations.
Ta b le E -3 . C MP P S .N E Q , C MP S S .N E Q , C MP P S .U N O R D , C MP S S .U N O R D , C MP P D .N E Q , C MP S D .N E Q ,
C MP P D .U N O R D , C MP S D .U N O R D
S o u rce O p e ra n d s Ma ske d R e su lt U n m a ske d R e su lt
NaN op Opd2 (any Opd2) FFFFFFFFH or FFFFFFFFFFFFFFFFH
1
FFFFFFFFH or FFFFFFFFFFFFFFFFH
1
(not
an exception)
Opd1 op NaN (any Opd1) FFFFFFFFH or FFFFFFFFFFFFFFFFH
1
FFFFFFFFH or FFFFFFFFFFFFFFFFH
1
(not
an exception)
N O T E :
1. 32-bit results are for single, and 64-bit results for double precision operations.
Ta b le E -4 . C MP P S .LT , C MP S S .LT , C MP P S .LE , C MP S S .LE , C MP P D .LT , C MP S D .LT, C MP P D .LE , C MP S D .LE
S o u rce O p e ra n d s Ma ske d R e su lt U n m a ske d R e su lt
NaN op Opd2 (any Opd2) 00000000H or 0000000000000000H
1
None
Opd1 op NaN (any Opd1) 00000000H or 0000000000000000H
1
None
N O T E :
1. 32-bit results are for single, and 64-bit results for double precision operations.
Ta b le E -1 . AD D P S , AD D S S , S U B P S , S U B S S , MU LP S , MU LS S , D I V P S , D I VS S , AD D P D , AD D S D , S U B P D , S U B S D , MU LP D ,
MU LS D , D I V P D , D I VS D , AD D S U B P S , AD D S U B P D , H AD D P S , H AD D P D , H S U B P S , H S U B P D (C o n t d .)
S o u rce O p e ra n d s Ma ske d R e su lt U n m a ske d R e su lt
Vol. 1 E-7
GUIDELINES FOR WRITING SIMD FLOATING-POINT EXCEPTION HANDLERS
Ta b le E -5 . C MP P S .N LT , C MP S S .N LT , C MP P S .N LE , C MP S S .N LE , C MP P D .N LT , C MP S D .N LT , C MP P D .N LE , C MP S D .N LE
S o u rce O p e ra n d s Ma ske d R e su lt U n m a ske d R e su lt
NaN op Opd2 (any Opd2) FFFFFFFFH or FFFFFFFFFFFFFFFFH
1
None
Opd1 op NaN (any Opd1) FFFFFFFFH or FFFFFFFFFFFFFFFFH
1
None
N O T E :
1. 32-bit results are for single, and 64-bit results for double precision operations.
Ta b le E -6 . C O MI S S , C O MI S D
S o u rce O p e ra n d s Ma ske d R e su lt U n m a ske d R e su lt
SNaN op Opd2 (any Opd2) OF, SF, AF =000
ZF, PF, CF =111
None
Opd1 op SNaN (any Opd1) OF, SF, AF =000
ZF, PF, CF =111
None
QNaN op Opd2 (any Opd2) OF, SF, AF =000
ZF, PF, CF =111
None
Opd1 op QNaN (any Opd1) OF, SF, AF =000
ZF, PF, CF =111
None
Ta b le E -7. U C O MI S S , U C O MI S D
S o u rce O p e ra n d s Ma ske d R e su lt U n m a ske d R e su lt
SNaN op Opd2 (any Opd2) OF, SF, AF =000
ZF, PF, CF =111
None
Opd1 op SNaN (any Opd1) OF, SF, AF =000
ZF, PF, CF =111
None
QNaN op Opd2
(any Opd2 SNaN)
OF, SF, AF =000
ZF, PF, CF =111
OF, SF, AF =000
ZF, PF, CF =111 (not an exception)
Opd1 op QNaN
(any Opd1 SNaN)
OF, SF, AF =000
ZF, PF, CF =111
OF, SF, AF =000
ZF, PF, CF =111 (not an exception)
Ta b le E -8 . C V T P S 2 P I , C V T S S 2 S I , C V T T P S 2 P I , C V T T S S 2 S I , C V T P D 2 P I , C V T S D 2 S I , C V T T P D 2 P I , C V T T S D 2 S I ,
C V T P S 2 D Q , C V T T P S 2 D Q , C V T P D 2 D Q , C V T T P D 2 D Q
S o u rce O p e ra n d Ma ske d R e su lt U n m a ske d R e su lt
SNaN 80000000H or 8000000000000000
1

(Integer Indefinite)
None
QNaN 80000000H or 8000000000000000
1

(Integer Indefinite)
None
N O T E :
1. 32-bit results are for single, and 64-bit results for double precision operations.
E-8 Vol. 1
GUIDELINES FOR WRITING SIMD FLOATING-POINT EXCEPTION HANDLERS
Ta b le E -9 . MAXP S , MAXS S , MI N P S , MI N S S , MAX P D , MAXS D , MI N P D , MI N S D
S o u rce O p e ra n d s Ma ske d R e su lt U n m a ske d R e su lt
Opd1 op NaN2 (any Opd1) NaN2 None
NaN1 op Opd2 (any Opd2) Opd2 None
N O T E :
1. SNaN and QNaN operands raise an Invalid Operation fault.
Ta b le E -1 0 . S Q R T P S , S Q R T S S , S Q R T P D , S Q R T S D
S o u rce O p e ra n d Ma ske d R e su lt U n m a ske d R e su lt
QNaN QNaN QNaN (not an exception)
SNaN SNaN | 00400000H or
SNaN | 0008000000000000H
1
None
Source operand is not SNaN;
but #I is signaled (e.g. for
sqrt (-1.0))
Single precision or
double precision QNaN Indefinite
None
N O T E :
1. SNaN | 00400000H is a quiet NaN in single precision format (if SNaN is in single precision) and SNaN | 0008000000000000H is a
quiet NaN in double precision format (if SNaN is in double precision), obtained from the signaling NaN given as input.
Ta b le E -1 1 . C V T P S 2 P D , C V T S S 2 S D
S o u rce O p e ra n d s Ma ske d R e su lt U n m a ske d R e su lt
QNaN QNaN1
1
QNaN1
1
(not an exception)
SNaN QNaN1
2
None
N O T E S :
1. The double precision output QNaN1 is created from the single precision input QNaN as follows: the sign bit is preserved, the 8-bit
exponent FFH is replaced by the 11-bit exponent 7FFH, and the 24-bit significand is extended to a 53-bit significand by appending
29 bits equal to 0.
2. The double precision output QNaN1 is created fromthe single precision input SNaN as follows: the sign bit is preserved, the 8-bit
exponent FFH is replaced by the 11-bit exponent 7FFH, and the 24-bit significand is extended to a 53-bit significand by pending
29 bits equal to 0. The second most significant bit of the significand is changed from0 to 1 to convert the signaling NaN into a
quiet NaN.
Ta b le E -1 2 . C V T P D 2 P S , C V T S D 2 S S
S o u rce O p e ra n d s Ma ske d R e su lt U n m a ske d R e su lt
QNaN QNaN1
1
QNaN1
1
(not an exception)
SNaN QNaN1
2
None
N O T E S :
1. The single precision output QNaN1 is created fromthe double precision input QNaN as follows: the sign bit is preserved, the 11-bit
exponent 7FFH is replaced by the 8-bit exponent FFH, and the 53-bit significand is truncated to a 24-bit significand by removing its
29 least significant bits.
2. The single precision output QNaN1 is created from the double precision input SNaN as follows: the sign bit is preserved, the 11-bit
exponent 7FFH is replaced by the 8-bit exponent FFH, and the 53-bit significand is truncated to a 24-bit significand by removing its
29 least significant bits. The second most significant bit of the significand is changed from 0 to 1 to convert the signaling NaN into
a quiet NaN.
Vol. 1 E-9
GUIDELINES FOR WRITING SIMD FLOATING-POINT EXCEPTION HANDLERS
E .4 .2 .3 C o n d it io n C o d e s, E x ce p t io n F la g s, a n d R e sp o n se fo r Ma ske d a n d U n m a ske d N u m e ric
E x ce p t io n s
In the following, the masked response is what the processor provides when a masked exception is raised by an
SSE/SSE2/SSE3 numeric instruction. The same response is provided by the floating-point emulator for
SSE/SSE2/SSE3 numeric instructions, when certain components of the quadruple input operands generate excep-
tions that are masked (the emulator also generates the correct answer, as specified by IEEE Standard 754 wher-
ever applicable, in the case when no floating-point exception occurs). The unmasked response is what the
emulator provides to the user handler for those components of the packed operands of SSE/SSE2/SSE3 instruc-
tions that raise unmasked exceptions. Note that for pre-computation exceptions (floating-point faults), no result is
provided to the user handler. For post-computation exceptions (floating-point traps), a result is provided to the
user handler, as specified below.
In the following tables, the result is denoted by 'res', with the understanding that for the actual instruction, the
destination coincides with the first source operand (except for COMISS, UCOMISS, COMISD, and UCOMISD, whose
destination is the EFLAGS register).
Ta b le E -1 3 . # I - I n v a lid O p e ra t io n s
I n st ru ct io n C o n d it io n Ma ske d R e sp o n se
U n m a ske d R e sp o n se
a n d E x ce p t io n C o d e
ADDPS
ADDPD
ADDSS
ADDSD
HADDPS
HADDPD
src1 or src2
1
=SNaN Refer to Table E-1 for
NaN operands, #IA =1
src1, src2 unchanged; #IA
=1
ADDSUBPS (the
addition component)
ADDSUBPD (the
addition component)
src1 =+Inf, src2 =-Inf or
src1 =-Inf, src2 =+Inf
res
1
=QNaN Indefinite,
#IA =1
SUBPS
SUBPD
SUBSS
SUBSD
HSUBPS
HSUBPD
src1 or src2 =SNaN Refer to Table E-1 for NaN
operands, #IA =1
src1, src2 unchanged; #IA
=1
ADDSUBPS (the
subtraction
component)
ADDSUBPD (the
subtraction
component)
src1 =+Inf, src2 =+Inf or
src1 =-Inf, src2 =-Inf
res =QNaN Indefinite,
#IA =1
MULPS
MULPD
src1 or src2 =SNaN Refer to Table E-1 for
NaN operands, #IA =1
src1, src2 unchanged;
#IA =1
MULSS
MULSD
src1 =Inf, src2 =0 or
src1 =0, src2 =Inf
res =QNaN Indefinite,
#IA =1
DIVPS
DIVPD
src1 or src2 =SNaN Refer to Table E-1 for
NaN operands, #IA =1
src1, src2 unchanged;
#IA =1
DIVSS
DIVSD
src1 =Inf, src2 =Inf or
src1 =0, src2 =0
res =QNaN Indefinite,
#IA =1
SQRTPS
SQRTPD
SQRTSS
SQRTSD
src =SNaN Refer to Table E-10 for
NaN operands, #IA =1
src unchanged,
#IA =1
src <0
(note that -0 <0 is false)
res =QNaN Indefinite,
#IA =1
E-10 Vol. 1
GUIDELINES FOR WRITING SIMD FLOATING-POINT EXCEPTION HANDLERS
MAXPS
MAXSS
MAXPD
MAXSD
src1 =NaN or src2 =NaN res =src2, #IA =1 src1, src2 unchanged; #IA
=1
MINPS
MINSS
MINPD
MINSD
src1 =NaN or src2 =NaN res =src2, #IA =1 src1, src2 unchanged; #IA
=1
CMPPS.LT
CMPPS.LE
CMPPS.NLT
CMPPS.NLE
CMPSS.LT
CMPSS.LE
CMPSS.NLT
CMPSS.NLE
CMPPD.LT
CMPPD.LE
CMPPD.NLT
CMPPD.NLE
CMPSD.LT
CMPSD.LE
CMPSD.NLT
CMPSD.NLE
src1 =NaN or src2 =NaN Refer to Table E-4 and Table E-5 for
NaN operands; #IA =1
src1, src2 unchanged; #IA
=1
COMISS
COMISD
src1 =NaN or src2 =NaN Refer to Table E-6 for NaN operands src1, src2, EFLAGS
unchanged; #IA =1
UCOMISS
UCOMISD
src1 =SNaN or src2 =SNaN Refer to Table E-7 for NaN operands src1, src2, EFLAGS
unchanged; #IA =1
CVTPS2PI
CVTSS2SI
CVTPD2PI
CVTSD2SI
CVTPS2DQ
CVTPD2DQ
src =NaN, Inf, or
|(src)
rnd
| >7FFFFFFFH and (src)
rnd

80000000H
See Note
2
for information
on rnd.
res =Integer Indefinite,
#IA =1
src unchanged,
#IA =1
CVTTPS2PI
CVTTSS2SI
CVTTPD2PI
CVTTSD2SI
CVTTPS2DQ
CVTTPD2DQ
src =NaN, Inf, or
|(src)
rz
| >7FFFFFFFHand (src)
rz

80000000H
See Note
2
for information
on rz.
res =Integer Indefinite,
#IA =1
src unchanged,
#IA =1
Ta b le E -1 3 . # I - I n v a lid O p e ra t io n s (C o n t d .)
I n st ru ct io n C o n d it io n Ma ske d R e sp o n se
U n m a ske d R e sp o n se
a n d E x ce p t io n C o d e
Vol. 1 E-11
GUIDELINES FOR WRITING SIMD FLOATING-POINT EXCEPTION HANDLERS
CVTPS2PD
CVTSS2SD
src =NAN Refer to Table E-11 for
NaN operands
src unchanged,
#IA =1
CVTPD2PS
CVTSD2SS
src =NAN Refer to Table E-12 for
NaN operands
src unchanged,
#IA =1
N O T E S :
1. For Tables E-13 to E-18:
- src denotes the single source operand of a unary operation.
- src1, src2 denote the first and second source operand of a binary operation.
- res denotes the numerical result of an operation.
2. rnd signifies the user rounding mode from MXCSR, and rz signifies the rounding mode toward zero. (truncate), when rounding a
floating-point value to an integer. For more information, refer to Table 4-8.
3. For NAN encodings, see Table 4-3.
Ta b le E -1 4 . # Z - D iv id e -b y -Z e ro
I n st ru ct io n C o n d it io n Ma ske d R e sp o n se
U n m a ske d R e sp o n se
a n d E x ce p t io n C o d e
DIVPS
DIVSS
DIVPD
DIVPS
src1 =finite non-zero (normal, or
denormal)
src2 =0
res =Inf,
#ZE =1
src1, src2 unchanged;
#ZE =1
Ta b le E -1 3 . # I - I n v a lid O p e ra t io n s (C o n t d .)
I n st ru ct io n C o n d it io n Ma ske d R e sp o n se
U n m a ske d R e sp o n se
a n d E x ce p t io n C o d e
E-12 Vol. 1
GUIDELINES FOR WRITING SIMD FLOATING-POINT EXCEPTION HANDLERS
Ta b le E -1 5 . # D - D e n o rm a l O p e ra n d
I n st ru ct io n C o n d it io n Ma ske d R e sp o n se
U n m a ske d R e sp o n se a n d
E x ce p t io n C o d e
ADDPS
ADDPD
ADDSUBPS
ADDSUBPD
HADDPS
HADDPD
SUBPS
SUBPD
HSUBPS
HSUBPD
MULPS
MULPD
DIVPS
DIVPD
SQRTPS
SQRTPD
MAXPS
MAXPD
MINPS
MINPD
CMPPS
CMPPD
ADDSS
ADDSD
SUBSS
SUBSD
MULSS
MULSD
DIVSS
DIVSD
SQRTSS
SQRTSD
MAXSS
MAXSD
MINSS
MINSD
CMPSS
CMPSD
COMISS
COMISD
UCOMISS
UCOMISD
CVTPS2PD
src1 =denormal
1
or
src2 =denormal (and
the DAZ bit in MXCSR
is 0)
res =Result rounded to the
destination precision and using the
bounded exponent, but only if no
unmasked post-computation
exception occurs.
src1, src2 unchanged;
#DE =1
Note that SQRT, CVTPS2PD,
CVTSS2SD, CVTPD2PS, CVTSD2SS
have only 1 src.
CVTSS2SD
CVTPD2PS
CVTSD2SS
N O T E :
1. For denormal encodings, see Section 4.8.3.2, Normalized and Denormalized Finite Numbers.
Vol. 1 E-13
GUIDELINES FOR WRITING SIMD FLOATING-POINT EXCEPTION HANDLERS
Ta b le E -1 6 . # O - N u m e ric O v e rf lo w
I n st ru ct io n C o n d it io n Ma ske d R e sp o n se
U n m a ske d R e sp o n se a n d
E x ce p t io n C o d e
ADDPS
ADDSUBPS
HADDPS
SUBPS
HSUBPS
MULPS
DIVPS
ADDSS
SUBSS
MULSS
DIVSS
CVTPD2PS
CVTSD2SS
Rounded result >
largest single
precision finite
normal value
R o u n d in g Sign R e su lt & S t a t u s F la g s res =(result calculated with
unbounded exponent and rounded
to the destination precision) / 2
192
#OE =1
#PE =1 if the result is inexact
To
nearest +
-
#OE =1, #PE =1
res =
res =
Toward
+
-
#OE =1, #PE =1
res =1.111 * 2
127
res =
Toward
+
-
#OE =1, #PE =1
res =
res =-1.111 * 2
127
Toward
0 +
-
#OE =1, #PE =1
res =1.111 * 2
127
res =-1.111 * 2
127
ADDPD
ADDSUBPD
HADDPD
SUBPD
HSUBPD
MULPD
DIVPD
ADDSD
SUBSD
MULSD
DIVSD
Rounded result >
largest double
precision finite
normal value
R o u n d in g Sign R e su lt & S t a t u s F la g s res =(result calculated with
unbounded exponent and rounded
to the destination precision) / 2
1536
#OE =1
#PE =1 if the result is inexact
To
nearest +
-
#OE =1, #PE =1
res =
res =
Toward
+
-
#OE =1, #PE =1
res =1.111 * 2
1023
res =
Toward
+
-
#OE =1, #PE =1
res =
res =-1.111 * 2
1023
Toward
0 +
-
#OE =1, #PE =1
res =1.111 * 2
1023
res =-1.111 * 2
1023
+



+
+
+



+
+
E-14 Vol. 1
GUIDELINES FOR WRITING SIMD FLOATING-POINT EXCEPTION HANDLERS
Ta b le E -1 7. # U - N u m e ric U n d e rf lo w
I n st ru ct io n C o n d it io n Ma ske d R e sp o n se
U n m a ske d R e sp o n se a n d
E x ce p t io n C o d e
ADDPS
ADDSUBPS
HADDPS
SUBPS
HSUBPS
MULPS
DIVPS
ADDSS
SUBSS
MULSS
DIVSS
CVTPD2PS
CVTSD2SS
Result calculated with unbounded
exponent and rounded to the
destination precision <smallest
single precision finite normal value.
res =0, denormal, or normal
#UE =1 and #PE =1,
but only if the result is
inexact
res =(result calculated with
unbounded exponent and rounded
to the destination precision) * 2
192
#UE =1
#PE =1 if the result is inexact
ADDPD
ADDSUBPD
HADDPD
SUBPD
HSUBPD
MULPD
DIVPD
ADDSD
SUBSD
MULSD
DIVSD
Result calculated with unbounded
exponent and rounded to the
destination precision <smallest
double precision finite normal value.
res =0, denormal or normal
#UE =1 and #PE =1,
but only if the result is
inexact
res =(result calculated with
unbounded exponent and rounded
to the destination precision) * 2
1536
#UE =1
#PE =1 if the result is inexact
Vol. 1 E-15
GUIDELINES FOR WRITING SIMD FLOATING-POINT EXCEPTION HANDLERS
E .4 .3 E x a m p le S I MD F lo a t in g -P o in t E m u la t io n I m p le m e n t a t io n
The sample code listed below may be considered as being part of a user-level floating-point exception filter for the
SSE/SSE2/SSE3 numeric instructions. It is assumed that the filter function is invoked by a low-level exception
handler (reached via interrupt vector 19 when an unmasked floating-point exception occurs), and that it operates
as explained in Section E.4.1, Floating-Point Emulation. The sample code does the emulation only for the SSE
instructions for addition, subtraction, multiplication, and division. For this, it uses C code and x87 FPU operations.
Operations corresponding to other SSE/SSE2/SSE3 numeric instructions can be emulated similarly. The example
assumes that the emulation function receives a pointer to a data structure specifying a number of input parame-
ters: the operation that caused the exception, a set of sub-operands (unpacked, of type float), the rounding mode
Ta b le E -1 8 . # P - I n e x a ct R e su lt (P re cisio n )
I n st ru ct io n C o n d it io n Ma ske d R e sp o n se U n m a ske d R e sp o n se a n d E x ce p t io n C o d e
ADDPS
ADDPD
ADDSUBPS
ADDSUBPD
HADDPS
HADDPD
SUBPS
SUBPD
HSUBPS
HSUBPD
MULPS
MULPD
DIVPS
DIVPD
SQRTPS
SQRTPD
CVTDQ2PS
CVTPI2PS
CVTPS2PI
CVTPS2DQ
CVTPD2PI
CVTPD2DQ
CVTPD2PS
CVTTPS2PI
CVTTPD2PI
CVTTPD2DQ
CVTTPS2DQ
ADDSS
ADDSD
SUBSS
SUBSD
MULSS
MULSD
DIVSS
DIVSD
SQRTSS
SQRTSD
CVTSI2SS
CVTSS2SI
CVTSD2SI
CVTSD2SS
CVTTSS2SI
CVTTSD2SI
The result is not exactly
representable in the
destination format.
res =Result rounded to the
destination precision and
using the bounded
exponent, but only if no
unmasked underflow or
overflow conditions occur
(this exception can occur in
the presence of a masked
underflow or overflow); #PE
=1.
Only if no underflow/overflow condition occurred, or
if the corresponding exceptions are masked:
Set #OE if masked overflow and set result as
described above for masked overflow.
Set #UE if masked underflow and set result as
described above for masked underflow.
If neither underflow nor overflow, res equals the
result rounded to the destination precision and using
the bounded exponent set #PE =1.
E-16 Vol. 1
GUIDELINES FOR WRITING SIMD FLOATING-POINT EXCEPTION HANDLERS
(the precision is always single), exception masks (having the same relative bit positions as in the MXCSR but
starting from bit 0 in an unsigned integer), and flush-to-zero and denormals-are-zeros indicators.
The output parameters are a floating-point result (of type float), the cause of the exception (identified by constants
not explicitly defined below), and the exception status flags. The corresponding C definition is:
typedef struct {
unsigned int operation; //SSE or SSE2 operation: ADDPS, ADDSS, ...
unsigned int operand1_uint32; //first operand value
unsigned int operand2_uint32; //second operand value (if any)
float result_fval; // result value (if any)
unsigned int rounding_mode; //rounding mode
unsigned int exc_masks; //exception masks, in the order P,U,O,Z,D,I
unsigned int exception_cause; //exception cause
unsigned int status_flag_inexact; //inexact status flag
unsigned int status_flag_underflow; //underflow status flag
unsigned int status_flag_overflow; //overflow status flag
unsigned int status_flag_divide_by_zero;
//dividebyzero status flag
unsigned int status_flag_denormal_operand;
//denormal operand status flag
unsigned int status_flag_invalid_operation;
//invalid operation status flag
unsigned int ftz; // flush-to-zero flag
unsigned int daz; // denormals-are-zeros flag
}EXC_ENV;
The arithmetic operations exemplified are emulated as follows:
1. If the denormals-are-zeros mode is enabled (the DAZ bit in MXCSR is set to 1), replace all the denormal inputs
with zeroes of the same sign (the denormal flag is not affected by this change).
2. Perform the operation using x87 FPU instructions, with exceptions disabled, the original user rounding mode,
and single precision. This reveals invalid, denormal, or divide-by-zero exceptions (if there are any) and stores
the result in memory as a double precision value (whose exponent range is large enough to look like
unbounded to the result of the single precision computation).
3. If no unmasked exceptions were detected, determine if the result is less than the smallest normal number
(tiny) that can be represented in single precision format, or greater than the largest normal number that can
be represented in single precision format (huge). If an unmasked overflow or underflow occurs, calculate the
scaled result that will be handed to the user exception handler, as specified by IEEE Standard 754.
4. If no exception was raised, calculate the result with a bounded exponent. If the result is tiny, it requires
denormalization (shifting the significand right while incrementing the exponent to bring it into the admissible
range of [-126,+127] for single precision floating-point numbers).
The result obtained in step 2 cannot be used because it might incur a double rounding error (it was rounded to
24 bits in step 2, and might have to be rounded again in the denormalization process). To overcome this is,
calculate the result as a double precision value, and store it to memory in single precision format.
Rounding first to 53 bits in the significand, and then to 24 never causes a double rounding error (exact
properties exist that state when double-rounding error occurs, but for the elementary arithmetic operations,
the rule of thumb is that if an infinitely precise result is rounded to 2p+1 bits and then again to p bits, the result
is the same as when rounding directly to p bits, which means that no double-rounding error occurs).
5. If the result is inexact and the inexact exceptions are unmasked, the calculated result will be delivered to the
user floating-point exception handler.
6. The flush-to-zero case is dealt with if the result is tiny.
Vol. 1 E-17
GUIDELINES FOR WRITING SIMD FLOATING-POINT EXCEPTION HANDLERS
7. The emulation function returns RAISE_EXCEPTION to the filter function if an exception has to be raised (the
exception_cause field indicates the cause). Otherwise, the emulation function returns DO_NOT_
RAISE_EXCEPTION. In the first case, the result is provided by the user exception handler called by the filter
function. In the second case, it is provided by the emulation function. The filter function has to collect all the
partial results, and to assemble the scalar or packed result that is used if execution is to continue.
E x a m p le E -2 . S I MD F lo a t in g -P o in t E m u la t io n
// masks for individual status word bits
#define PRECISION_MASK 0x20
#define UNDERFLOW_MASK 0x10
#define OVERFLOW_MASK 0x08
#define ZERODIVIDE_MASK 0x04
#define DENORMAL_MASK 0x02
#define INVALID_MASK 0x01
// 32-bit constants
static unsigned ZEROF_ARRAY[] = {0x00000000};
#define ZEROF *(float *) ZEROF_ARRAY
// +0.0
static unsigned NZEROF_ARRAY[] = {0x80000000};
#define NZEROF *(float *) NZEROF_ARRAY
// -0.0
static unsigned POSINFF_ARRAY[] = {0x7f800000};
#define POSINFF *(float *)POSINFF_ARRAY
// +Inf
static unsigned NEGINFF_ARRAY[] = {0xff800000};
#define NEGINFF *(float *)NEGINFF_ARRAY
// -Inf
// 64-bit constants
static unsigned MIN_SINGLE_NORMAL_ARRAY [] = {0x00000000, 0x38100000};
#define MIN_SINGLE_NORMAL *(double *)MIN_SINGLE_NORMAL_ARRAY
// +1.0 * 2^-126
static unsigned MAX_SINGLE_NORMAL_ARRAY [] = {0x70000000, 0x47efffff};
#define MAX_SINGLE_NORMAL *(double *)MAX_SINGLE_NORMAL_ARRAY
// +1.1...1*2^127
static unsigned TWO_TO_192_ARRAY[] = {0x00000000, 0x4bf00000};
#define TWO_TO_192 *(double *)TWO_TO_192_ARRAY
// +1.0 * 2^192
static unsigned TWO_TO_M192_ARRAY[] = {0x00000000, 0x33f00000};
#define TWO_TO_M192 *(double *)TWO_TO_M192_ARRAY
// +1.0 * 2^-192
// auxiliary functions
static int isnanf (unsigned int ); // returns 1 if f is a NaN, and 0 otherwise
static float quietf (unsigned int ); // converts a signaling NaN to a quiet
// NaN, and leaves a quiet NaN unchanged
static unsigned int check_for_daz (unsigned int ); // converts denormals
// to zeros of the same sign;
// does not affect any status flags
// emulation of SSE and SSE2 instructions using
// C code and x87 FPU instructions
unsigned int
simd_fp_emulate (EXC_ENV *exc_env)
{
int uiopd1; // first operand of the add, subtract, multiply, or divide
int uiopd2; // second operand of the add, subtract, multiply, or divide
float res; // result of the add, subtract, multiply, or divide
double dbl_res24; // result with 24-bit significand, but "unbounded" exponent
E-18 Vol. 1
GUIDELINES FOR WRITING SIMD FLOATING-POINT EXCEPTION HANDLERS
// (needed to check tininess, to provide a scaled result to
// an underflow/overflow trap handler, and in flush-to-zero mode)
double dbl_res; // result in double precision format (needed to avoid a
// double rounding error when denormalizing)
unsigned int result_tiny;
unsigned int result_huge;
unsigned short int sw; // 16 bits
unsigned short int cw; // 16 bits
// have to check first for faults (V, D, Z), and then for traps (O, U, I)
// initialize x87 FPU (floating-point exceptions are masked)
_asm {
fninit;
}
result_tiny = 0;
result_huge = 0;
switch (exc_env->operation) {
case ADDPS:
case ADDSS:
case SUBPS:
case SUBSS:
case MULPS:
case MULSS:
case DIVPS:
case DIVSS:
uiopd1 = exc_env->operand1_uint32; // copy as unsigned int
// do not copy as float to avoid conversion
// of SNaN to QNaN by compiled code
uiopd2 = exc_env->operand2_uint32;
// do not copy as float to avoid conversion of SNaN
// to QNaN by compiled code
uiopd1 = check_for_daz (uiopd1); // operand1 = +0.0 * operand1 if it is
// denormal and DAZ=1
uiopd2 = check_for_daz (uiopd2); // operand2 = +0.0 * operand2 if it is
// denormal and DAZ=1
// execute the operation and check whether the invalid, denormal, or
// divide by zero flags are set and the respective exceptions enabled
// set control word with rounding mode set to exc_env->rounding_mode,
// single precision, and all exceptions disabled
switch (exc_env->rounding_mode) {
case ROUND_TO_NEAREST:
cw = 0x003f; // round to nearest, single precision, exceptions masked
break;
case ROUND_DOWN:
cw = 0x043f; // round down, single precision, exceptions masked
break;
case ROUND_UP:
cw = 0x083f; // round up, single precision, exceptions masked
break;
case ROUND_TO_ZERO:
cw = 0x0c3f; // round to zero, single precision, exceptions masked
break;
default:
;
}
__asm {
fldcw WORD PTR cw;
Vol. 1 E-19
GUIDELINES FOR WRITING SIMD FLOATING-POINT EXCEPTION HANDLERS
}
// compute result and round to the destination precision, with
// "unbounded" exponent (first IEEE rounding)
switch (exc_env->operation) {
case ADDPS:
case ADDSS:
// perform the addition
__asm {
fnclex;
// load input operands
fld DWORD PTR uiopd1; // may set denormal or invalid status flags
fld DWORD PTR uiopd2; // may set denormal or invalid status flags
faddp st(1), st(0); // may set inexact or invalid status flags
// store result
fstp QWORD PTR dbl_res24; // exact
}
break;
case SUBPS:
case SUBSS:
// perform the subtraction
__asm {
fnclex;
// load input operands
fld DWORD PTR uiopd1; // may set denormal or invalid status flags
fld DWORD PTR uiopd2; // may set denormal or invalid status flags
fsubp st(1), st(0); // may set the inexact or invalid status flags

// store result
fstp QWORD PTR dbl_res24; // exact
}
break;
case MULPS:
case MULSS:
// perform the multiplication
__asm {
fnclex;
// load input operands
fld DWORD PTR uiopd1; // may set denormal or invalid status flags
fld DWORD PTR uiopd2; // may set denormal or invalid status flags
fmulp st(1), st(0); // may set inexact or invalid status flags

// store result
fstp QWORD PTR dbl_res24; // exact
}
break;
case DIVPS:
case DIVSS:
// perform the division
__asm {
fnclex;
// load input operands
fld DWORD PTR uiopd1; // may set denormal or invalid status flags
fld DWORD PTR uiopd2; // may set denormal or invalid status flags
fdivp st(1), st(0); // may set the inexact, divide by zero, or
// invalid status flags
// store result
fstp QWORD PTR dbl_res24; // exact
}
break;
E-20 Vol. 1
GUIDELINES FOR WRITING SIMD FLOATING-POINT EXCEPTION HANDLERS
default:
; // will never occur
}
// read status word
__asm {
fstsw WORD PTR sw;
}
if (sw & ZERODIVIDE_MASK)
sw = sw & ~DENORMAL_MASK; // clear D flag for (denormal / 0)
// if invalid flag is set, and invalid exceptions are enabled, take trap
if (!(exc_env->exc_masks & INVALID_MASK) && (sw & INVALID_MASK)) {
exc_env->status_flag_invalid_operation = 1;
exc_env->exception_cause = INVALID_OPERATION;
return (RAISE_EXCEPTION);
}
// checking for NaN operands has priority over denormal exceptions;
// also fix for the SSE and SSE2
// differences in treating two NaN inputs between the
// instructions and other IA-32 instructions
if (isnanf (uiopd1) || isnanf (uiopd2)) {
if (isnanf (uiopd1) && isnanf (uiopd2))
exc_env->result_fval = quietf (uiopd1);
else
exc_env->result_fval = (float)dbl_res24; // exact

if (sw & INVALID_MASK) exc_env->status_flag_invalid_operation = 1;
return (DO_NOT_RAISE_EXCEPTION);
}
// if denormal flag set, and denormal exceptions are enabled, take trap
if (!(exc_env->exc_masks & DENORMAL_MASK) && (sw & DENORMAL_MASK)) {
exc_env->status_flag_denormal_operand = 1;
exc_env->exception_cause = DENORMAL_OPERAND;
return (RAISE_EXCEPTION);
}
// if divide by zero flag set, and divide by zero exceptions are
// enabled, take trap (for divide only)
if (!(exc_env->exc_masks & ZERODIVIDE_MASK) && (sw & ZERODIVIDE_MASK)) {
exc_env->status_flag_divide_by_zero = 1;
exc_env->exception_cause = DIVIDE_BY_ZERO;
return (RAISE_EXCEPTION);
}
// done if the result is a NaN (QNaN Indefinite)
res = (float)dbl_res24;
if (isnanf (*(unsigned int *)&res)) {
exc_env->result_fval = res; // exact
exc_env->status_flag_invalid_operation = 1;
return (DO_NOT_RAISE_EXCEPTION);
}
// dbl_res24 is not a NaN at this point
if (sw & DENORMAL_MASK) exc_env->status_flag_denormal_operand = 1;
// Note: (dbl_res24 == 0.0 && sw & PRECISION_MASK) cannot occur
if (-MIN_SINGLE_NORMAL < dbl_res24 && dbl_res24 < 0.0 ||
0.0 < dbl_res24 && dbl_res24 < MIN_SINGLE_NORMAL) {
Vol. 1 E-21
GUIDELINES FOR WRITING SIMD FLOATING-POINT EXCEPTION HANDLERS
result_tiny = 1;
}
// check if the result is huge
if (NEGINFF < dbl_res24 && dbl_res24 < -MAX_SINGLE_NORMAL ||
MAX_SINGLE_NORMAL < dbl_res24 && dbl_res24 < POSINFF) {
result_huge = 1;
}
// at this point, there are no enabled I,D, or Z exceptions
// to take; the instr.
// might lead to an enabled underflow, enabled underflow and inexact,
// enabled overflow, enabled overflow and inexact, enabled inexact, or
// none of these; if there are no U or O enabled exceptions, re-execute
// the instruction using IA-32 double precision format, and the
// user's rounding mode; exceptions must have
// been disabled before calling
// this function; an inexact exception may be reported on the 53-bit
// fsubp, fmulp, or on both the 53-bit and 24-bit conversions, while an
// overflow or underflow (with traps disabled) may be reported on the
// conversion from dbl_res to res
// check whether there is an underflow, overflow,
// or inexact trap to be taken
// if the underflow traps are enabled and the result is
// tiny, take underflow trap
if (!(exc_env->exc_masks & UNDERFLOW_MASK) && result_tiny) {
dbl_res24 = TWO_TO_192 * dbl_res24; // exact
exc_env->status_flag_underflow = 1;
exc_env->exception_cause = UNDERFLOW;
exc_env->result_fval = (float)dbl_res24; // exact
if (sw & PRECISION_MASK) exc_env->status_flag_inexact = 1;
return (RAISE_EXCEPTION);
}
// if overflow traps are enabled and the result is huge, take
// overflow trap
if (!(exc_env->exc_masks & OVERFLOW_MASK) && result_huge) {
dbl_res24 = TWO_TO_M192 * dbl_res24; // exact
exc_env->status_flag_overflow = 1;
exc_env->exception_cause = OVERFLOW;
exc_env->result_fval = (float)dbl_res24; // exact
if (sw & PRECISION_MASK) exc_env->status_flag_inexact = 1;
return (RAISE_EXCEPTION);
}
// set control word with rounding mode set to exc_env->rounding_mode,
// double precision, and all exceptions disabled
cw = cw | 0x0200; // set precision to double
__asm {
fldcw WORD PTR cw;
}
switch (exc_env->operation) {
case ADDPS:
case ADDSS:
// perform the addition
__asm {
// load input operands
fld DWORD PTR uiopd1; // may set the denormal status flag
fld DWORD PTR uiopd2; // may set the denormal status flag
faddp st(1), st(0); // rounded to 53 bits, may set the inexact
// status flag
E-22 Vol. 1
GUIDELINES FOR WRITING SIMD FLOATING-POINT EXCEPTION HANDLERS
// store result
fstp QWORD PTR dbl_res; // exact, will not set any flag
}
break;
case SUBPS:
case SUBSS:
// perform the subtraction
__asm {
// load input operands
fld DWORD PTR uiopd1; // may set the denormal status flag
fld DWORD PTR uiopd2; // may set the denormal status flag
fsubp st(1), st(0); // rounded to 53 bits, may set the inexact
// status flag
// store result
fstp QWORD PTR dbl_res; // exact, will not set any flag
}
break;
case MULPS:
case MULSS:
// perform the multiplication
__asm {
// load input operands
fld DWORD PTR uiopd1; // may set the denormal status flag
fld DWORD PTR uiopd2; // may set the denormal status flag
fmulp st(1), st(0); // rounded to 53 bits, exact
// store result
fstp QWORD PTR dbl_res; // exact, will not set any flag
}
break;
case DIVPS:
case DIVSS:
// perform the division
__asm {
// load input operands
fld DWORD PTR uiopd1; // may set the denormal status flag
fld DWORD PTR uiopd2; // may set the denormal status flag
fdivp st(1), st(0); // rounded to 53 bits, may set the inexact
// status flag
// store result
fstp QWORD PTR dbl_res; // exact, will not set any flag
}
break;
default:
; // will never occur
}
// calculate result for the case an inexact trap has to be taken, or
// when no trap occurs (second IEEE rounding)
res = (float)dbl_res;
// may set P, U or O; may also involve denormalizing the result
// read status word
__asm {
fstsw WORD PTR sw;
}
// if inexact traps are enabled and result is inexact, take inexact trap
if (!(exc_env->exc_masks & PRECISION_MASK) &&
((sw & PRECISION_MASK) || (exc_env->ftz && result_tiny))) {
exc_env->status_flag_inexact = 1;
Vol. 1 E-23
GUIDELINES FOR WRITING SIMD FLOATING-POINT EXCEPTION HANDLERS
exc_env->exception_cause = INEXACT;
if (result_tiny) {
exc_env->status_flag_underflow = 1;
// if ftz = 1 and result is tiny, result = 0.0
// (no need to check for underflow traps disabled: result tiny and
// underflow traps enabled would have caused taking an underflow
// trap above)
if (exc_env->ftz) {
if (res > 0.0)
res = ZEROF;
else if (res < 0.0)
res = NZEROF;
// else leave res unchanged
}
}
if (result_huge) exc_env->status_flag_overflow = 1;
exc_env->result_fval = res;
return (RAISE_EXCEPTION);
}
// if it got here, then there is no trap to be taken; the following must
// hold: ((the MXCSR U exceptions are disabled or
//
// the MXCSR underflow exceptions are enabled and the underflow flag is
// clear and (the inexact flag is set or the inexact flag is clear and
// the 24-bit result with unbounded exponent is not tiny)))
// and (the MXCSR overflow traps are disabled or the overflow flag is
// clear) and (the MXCSR inexact traps are disabled or the inexact flag
// is clear)
//
// in this case, the result has to be delivered (the status flags are
// sticky, so they are all set correctly already)
// read status word to see if result is inexact
__asm {
fstsw WORD PTR sw;
}

if (sw & UNDERFLOW_MASK) exc_env->status_flag_underflow = 1;
if (sw & OVERFLOW_MASK) exc_env->status_flag_overflow = 1;
if (sw & PRECISION_MASK) exc_env->status_flag_inexact = 1;
// if ftz = 1, and result is tiny (underflow traps must be disabled),
// result = 0.0
if (exc_env->ftz && result_tiny) {
if (res > 0.0)
res = ZEROF;
else if (res < 0.0)
res = NZEROF;
// else leave res unchanged
exc_env->status_flag_inexact = 1;
exc_env->status_flag_underflow = 1;
}
exc_env->result_fval = res;
if (sw & ZERODIVIDE_MASK) exc_env->status_flag_divide_by_zero = 1;
if (sw & DENORMAL_MASK) exc_env->status_flag_denormal= 1;
if (sw & INVALID_MASK) exc_env->status_flag_invalid_operation = 1;
return (DO_NOT_RAISE_EXCEPTION);
break;
case CMPPS:
E-24 Vol. 1
GUIDELINES FOR WRITING SIMD FLOATING-POINT EXCEPTION HANDLERS
case CMPSS:
...
break;
case COMISS:
case UCOMISS:
...
break;
case CVTPI2PS:
case CVTSI2SS:
...
break;
case CVTPS2PI:
case CVTSS2SI:
case CVTTPS2PI:
case CVTTSS2SI:
...
break;
case MAXPS:
case MAXSS:
case MINPS:
case MINSS:
...
break;
case SQRTPS:
case SQRTSS:
...
break;
...
case UNSPEC:
...
break;
default:
...
}
}
I n t e l 6 4 a n d I A-3 2 Arch it e ct u re s
S o ft w a re D e v e lo p e rs Ma n u a l
Volume 2 (2A, 2B & 2C):
Instruction Set Reference, A-Z
NOTE: The Intel 64 and IA-32 Architectures Software Developer's Manual consists of three volumes:
Basic Architecture, Order Number 253665; Instruction Set Reference A-Z, Order Number 325383;
System Programming Guide, Order Number 325384. Refer to all three volumes when evaluating your
design needs.
Order Number: 325383-047US
June 2013
INFORMATION IN THIS DOCUMENT IS PROVIDED IN CONNECTION WITH INTEL PRODUCTS. NO LICENSE, EXPRESS OR IMPLIED, BY ESTOPPEL
OR OTHERWISE, TO ANY INTELLECTUAL PROPERTY RIGHTS IS GRANTED BY THIS DOCUMENT. EXCEPT AS PROVIDED IN INTEL'S TERMS AND
CONDITIONS OF SALE FOR SUCH PRODUCTS, INTEL ASSUMES NO LIABILITY WHATSOEVER AND INTEL DISCLAIMS ANY EXPRESS OR IMPLIED
WARRANTY, RELATING TO SALE AND/OR USE OF INTEL PRODUCTS INCLUDING LIABILITY OR WARRANTIES RELATING TO FITNESS FOR A PARTIC-
ULAR PURPOSE, MERCHANTABILITY, OR INFRINGEMENT OF ANY PATENT, COPYRIGHT OR OTHER INTELLECTUAL PROPERTY RIGHT.
A "Mission Critical Application" is any application in which failure of the Intel Product could result, directly or indirectly, in personal injury or death.
SHOULD YOU PURCHASE OR USE INTEL'S PRODUCTS FOR ANY SUCH MISSION CRITICAL APPLICATION, YOU SHALL INDEMNIFY AND HOLD INTEL
AND ITS SUBSIDIARIES, SUBCONTRACTORS AND AFFILIATES, AND THE DIRECTORS, OFFICERS, AND EMPLOYEES OF EACH, HARMLESS AGAINST
ALL CLAIMS COSTS, DAMAGES, AND EXPENSES AND REASONABLE ATTORNEYS' FEES ARISING OUT OF, DIRECTLY OR INDIRECTLY, ANY CLAIM OF
PRODUCT LIABILITY, PERSONAL INJURY, OR DEATH ARISING IN ANY WAY OUT OF SUCH MISSION CRITICAL APPLICATION, WHETHER OR NOT
INTEL OR ITS SUBCONTRACTOR WAS NEGLIGENT IN THE DESIGN, MANUFACTURE, OR WARNING OF THE INTEL PRODUCT OR ANY OF ITS PARTS.
Intel may make changes to specifications and product descriptions at any time, without notice. Designers must not rely on the absence or char-
acteristics of any features or instructions marked "reserved" or "undefined". Intel reserves these for future definition and shall have no responsi-
bility whatsoever for conflicts or incompatibilities arising from future changes to them. The information here is subject to change without notice.
Do not finalize a design with this information.
The products described in this document may contain design defects or errors known as errata which may cause the product to deviate from
published specifications. Current characterized errata are available on request.
Intel

AES-NI requires a computer system with an AES-NI enabled processor, as well as non-Intel software to execute the instructions in the
correct sequence. AES-NI is available on select Intel

processors. For availability, consult your reseller or system manufacturer. For more in-
formation, see http://software.intel.com/en-us/articles/intel-advanced-encryption-standard-instructions-aes-ni/.
Intel

Hyper-Threading Technology (Intel

HT Technology) is available on select Intel

Core processors. Requires an Intel

HT Technology-
enabled system. Consult your PC manufacturer. Performance will vary depending on the specific hardware and software used. For more infor-
mation including details on which processors support HT Technology, visit http://www.intel.com/info/hyperthreading.
Intel

Virtualization Technology requires a computer system with an enabled Intel

processor, BIOS, and virtual machine monitor (VMM). Func-


tionality, performance or other benefits will vary depending on hardware and software configurations. Software applications may not be com-
patible with all operating systems. Consult your PC manufacturer. For more information, visit http://www.intel.com/go/virtualization.
Intel

64 architecture Requires a system with a 64-bit enabled processor, chipset, BIOS and software. Performance will vary depending on the
specific hardware and software you use. Consult your PC manufacturer for more information. For more information, visit http://www.in-
tel.com/info/em64t.
Enabling Execute Disable Bit functionality requires a PC with a processor with Execute Disable Bit capability and a supporting operating system.
Check with your PC manufacturer on whether your system delivers Execute Disable Bit functionality.
Intel, the Intel logo, Pentium, Xeon, Intel NetBurst, Intel Core, Intel Core Solo, Intel Core Duo, Intel Core 2 Duo, Intel Core 2 Extreme, Intel
Pentium D, Itanium, Intel SpeedStep, MMX, Intel Atom, and VTune are trademarks of Intel Corporation in the U.S. and/or other countries.
*Other names and brands may be claimed as the property of others.
Contact your local Intel sales office or your distributor to obtain the latest specifications and before placing your product order.
Copies of documents which have an order number and are referenced in this document, or other Intel literature, may be obtained by calling 1-
800-548-4725, or go to: http://www.intel.com/design/literature.htm
Copyright 1997-2013 Intel Corporation. All rights reserved.
Vol. 2A iii
CONTENTS
PAGE
C H AP T E R 1
AB O U T T H I S MAN U AL
1.1 INTEL

64 AND IA-32 PROCESSORS COVERED IN THIS MANUAL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-1


1.2 OVERVIEW OF VOLUME 2A, 2B AND 2C: INSTRUCTION SET REFERENCE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-3
1.3 NOTATIONAL CONVENTIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-3
1.3.1 Bit and Byte Order. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1-3
1.3.2 Reserved Bits and Software Compatibility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1-4
1.3.3 Instruction Operands. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1-4
1.3.4 Hexadecimal and Binary Numbers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1-5
1.3.5 Segmented Addressing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1-5
1.3.6 Exceptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1-5
1.3.7 A New Syntax for CPUID, CR, and MSR Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1-5
1.4 RELATED LITERATURE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-6
C H AP T E R 2
I N S T R U C T I O N FO R MAT
2.1 INSTRUCTION FORMAT FOR PROTECTED MODE, REAL-ADDRESS MODE, AND VIRTUAL-8086 MODE. . . . . . . . . . . . . . . . . . . . 2-1
2.1.1 Instruction Prefixes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-1
2.1.2 Opcodes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-2
2.1.3 ModR/M and SIB Bytes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-3
2.1.4 Displacement and Immediate Bytes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-3
2.1.5 Addressing-Mode Encoding of ModR/M and SIB Bytes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-3
2.2 IA-32E MODE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-6
2.2.1 REX Prefixes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-7
2.2.1.1 Encoding. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-7
2.2.1.2 More on REX Prefix Fields. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-7
2.2.1.3 Displacement. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-10
2.2.1.4 Direct Memory-Offset MOVs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-10
2.2.1.5 Immediates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-10
2.2.1.6 RIP-Relative Addressing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-11
2.2.1.7 Default 64-Bit Operand Size. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-11
2.2.2 Additional Encodings for Control and Debug Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-11
2.3 INTELADVANCED VECTOR EXTENSIONS (INTELAVX). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-12
2.3.1 Instruction Format. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-12
2.3.2 VEX and the LOCK prefix. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-12
2.3.3 VEX and the 66H, F2H, and F3H prefixes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-12
2.3.4 VEX and the REX prefix. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-12
2.3.5 The VEX Prefix. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-13
2.3.5.1 VEX Byte 0, bits[7:0] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-14
2.3.5.2 VEX Byte 1, bit [7] - R. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-14
2.3.5.3 3-byte VEX byte 1, bit[6] - X . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-15
2.3.5.4 3-byte VEX byte 1, bit[5] - B . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-15
2.3.5.5 3-byte VEX byte 2, bit[7] - W. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-15
2.3.5.6 2-byte VEX Byte 1, bits[6:3] and 3-byte VEX Byte 2, bits [6:3]- vvvv the Source or dest Register Specifier. . . . . 2-15
2.3.6 Instruction Operand Encoding and VEX.vvvv, ModR/M . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-16
2.3.6.1 3-byte VEX byte 1, bits[4:0] - m-mmmm. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-17
2.3.6.2 2-byte VEX byte 1, bit[2], and 3-byte VEX byte 2, bit [2]- L . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-17
2.3.6.3 2-byte VEX byte 1, bits[1:0], and 3-byte VEX byte 2, bits [1:0]- pp. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-17
2.3.7 The Opcode Byte . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-18
2.3.8 The MODRM, SIB, and Displacement Bytes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-18
2.3.9 The Third Source Operand (Immediate Byte) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-18
2.3.10 AVX Instructions and the Upper 128-bits of YMM registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-18
2.3.10.1 Vector Length Transition and Programming Considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-18
CONTENTS
iv Vol. 2A
PAGE
2.3.11 AVX Instruction Length . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-19
2.3.12 Vector SIB (VSIB) Memory Addressing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-19
2.3.12.1 64-bit Mode VSIB Memory Addressing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-20
2.4 INSTRUCTION EXCEPTION SPECIFICATION. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-20
2.4.1 Exceptions Type 1 (Aligned memory reference) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-25
2.4.2 Exceptions Type 2 (>=16 Byte Memory Reference, Unaligned). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-26
2.4.3 Exceptions Type 3 (<16 Byte memory argument) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-27
2.4.4 Exceptions Type 4 (>=16 Byte mem arg no alignment, no floating-point exceptions) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-28
2.4.5 Exceptions Type 5 (<16 Byte mem arg and no FP exceptions). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-29
2.4.6 Exceptions Type 6 (VEX-Encoded Instructions Without Legacy SSE Analogues) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-30
2.4.7 Exceptions Type 7 (No FP exceptions, no memory arg) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-30
2.4.8 Exceptions Type 8 (AVX and no memory argument) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-31
2.4.9 Exception Type 11 (VEX-only, mem arg no AC, floating-point exceptions). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-32
2.4.10 Exception Type 12 (VEX-only, VSIB mem arg, no AC, no floating-point exceptions) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-33
2.5 VEX ENCODING SUPPORT FOR GPR INSTRUCTIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-33
2.5.1 Exception Conditions for VEX-Encoded GPR Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-34
C H AP T E R 3
I N S T R U C T I O N S E T R E F E R E N C E , A-M
3.1 INTERPRETING THE INSTRUCTION REFERENCE PAGES. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-1
3.1.1 Instruction Format. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-1
3.1.1.1 Opcode Column in the Instruction Summary Table (Instructions without VEX prefix). . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-2
3.1.1.2 Opcode Column in the Instruction Summary Table (Instructions with VEX prefix). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-3
3.1.1.3 Instruction Column in the Opcode Summary Table . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-4
3.1.1.4 Operand Encoding Column in the Instruction Summary Table. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-7
3.1.1.5 64/32-bit Mode Column in the Instruction Summary Table . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-7
3.1.1.6 CPUID Support Column in the Instruction Summary Table . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-7
3.1.1.7 Description Column in the Instruction Summary Table . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-7
3.1.1.8 Description Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-7
3.1.1.9 Operation Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-8
3.1.1.10 IntelC/C++Compiler Intrinsics Equivalents Section. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-11
3.1.1.11 Flags Affected Section. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-13
3.1.1.12 FPU Flags Affected Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-13
3.1.1.13 Protected Mode Exceptions Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-13
3.1.1.14 Real-Address Mode Exceptions Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-14
3.1.1.15 Virtual-8086 Mode Exceptions Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-14
3.1.1.16 Floating-Point Exceptions Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-14
3.1.1.17 SIMD Floating-Point Exceptions Section. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-15
3.1.1.18 Compatibility Mode Exceptions Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-15
3.1.1.19 64-Bit Mode Exceptions Section. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-15
3.2 INSTRUCTIONS (A-M). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-15
AAAASCII Adjust After Addition. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-16
AADASCII Adjust AX Before Division . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-18
AAMASCII Adjust AX After Multiply . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-20
AASASCII Adjust AL After Subtraction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-22
ADCAdd with Carry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-24
ADDAdd. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-27
ADDPDAdd Packed Double-Precision Floating-Point Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-29
ADDPSAdd Packed Single-Precision Floating-Point Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-31
ADDSDAdd Scalar Double-Precision Floating-Point Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-33
ADDSSAdd Scalar Single-Precision Floating-Point Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-34
ADDSUBPDPacked Double-FP Add/Subtract . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-35
ADDSUBPSPacked Single-FP Add/Subtract . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-37
AESDECPerform One Round of an AES Decryption Flow. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-40
AESDECLASTPerform Last Round of an AES Decryption Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-42
AESENCPerform One Round of an AES Encryption Flow. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-44
AESENCLASTPerform Last Round of an AES Encryption Flow. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-46
AESIMCPerform the AES InvMixColumn Transformation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-48
AESKEYGENASSISTAES Round Key Generation Assist. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-49
Vol. 2A v
C O N T E N T S
PAGE
ANDLogical AND. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-51
ANDN Logical AND NOT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-53
ANDPDBitwise Logical AND of Packed Double-Precision Floating-Point Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-54
ANDPSBitwise Logical AND of Packed Single-Precision Floating-Point Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-56
ANDNPDBitwise Logical AND NOT of Packed Double-Precision Floating-Point Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-58
ANDNPSBitwise Logical AND NOT of Packed Single-Precision Floating-Point Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-60
ARPLAdjust RPL Field of Segment Selector . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-62
BLENDPD Blend Packed Double Precision Floating-Point Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-64
BEXTR Bit Field Extract . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-66
BLENDPS Blend Packed Single Precision Floating-Point Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-68
BLENDVPD Variable Blend Packed Double Precision Floating-Point Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-70
BLENDVPS Variable Blend Packed Single Precision Floating-Point Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-72
BLSI Extract Lowest Set Isolated Bit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-75
BLSMSK Get Mask Up to Lowest Set Bit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-76
BLSR Reset Lowest Set Bit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-77
BOUNDCheck Array Index Against Bounds . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-78
BSFBit Scan Forward . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-80
BSRBit Scan Reverse . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-82
BSWAPByte Swap . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-84
BTBit Test . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-85
BTCBit Test and Complement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-87
BTRBit Test and Reset. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-89
BTSBit Test and Set . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-91
BZHI Zero High Bits Starting with Specified Bit Position. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-93
CALLCall Procedure. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-95
CBW/CWDE/CDQEConvert Byte to Word/Convert Word to Doubleword/Convert Doubleword to Quadword. . . . . . .3-108
CLCClear Carry Flag. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-109
CLDClear Direction Flag . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-110
CLFLUSHFlush Cache Line. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-111
CLI Clear Interrupt Flag. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-113
CLTSClear Task-Switched Flag in CR0. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-115
CMCComplement Carry Flag . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-116
CMOVccConditional Move . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-117
CMPCompare Two Operands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-121
CMPPDCompare Packed Double-Precision Floating-Point Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-123
CMPPSCompare Packed Single-Precision Floating-Point Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-130
CMPS/CMPSB/CMPSW/CMPSD/CMPSQCompare String Operands. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-136
CMPSDCompare Scalar Double-Precision Floating-Point Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-140
CMPSSCompare Scalar Single-Precision Floating-Point Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-144
CMPXCHGCompare and Exchange. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-148
CMPXCHG8B/CMPXCHG16BCompare and Exchange Bytes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-150
COMISDCompare Scalar Ordered Double-Precision Floating-Point Values and Set EFLAGS. . . . . . . . . . . . . . . . . . . . . . .3-152
COMISSCompare Scalar Ordered Single-Precision Floating-Point Values and Set EFLAGS. . . . . . . . . . . . . . . . . . . . . . . .3-154
CPUIDCPU Identification. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-156
CRC32 Accumulate CRC32 Value. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-184
CVTDQ2PDConvert Packed Dword Integers to Packed Double-Precision FP Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-187
CVTDQ2PSConvert Packed Dword Integers to Packed Single-Precision FP Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-189
CVTPD2DQConvert Packed Double-Precision FP Values to Packed Dword Integers . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-191
CVTPD2PIConvert Packed Double-Precision FP Values to Packed Dword Integers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-193
CVTPD2PSConvert Packed Double-Precision FP Values to Packed Single-Precision FP Values . . . . . . . . . . . . . . . . . . .3-194
CVTPI2PDConvert Packed Dword Integers to Packed Double-Precision FP Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-196
CVTPI2PSConvert Packed Dword Integers to Packed Single-Precision FP Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-197
CVTPS2DQConvert Packed Single-Precision FP Values to Packed Dword Integers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-198
CVTPS2PDConvert Packed Single-Precision FP Values to Packed Double-Precision FP Values . . . . . . . . . . . . . . . . . . .3-200
CVTPS2PIConvert Packed Single-Precision FP Values to Packed Dword Integers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-202
CVTSD2SIConvert Scalar Double-Precision FP Value to Integer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-203
CVTSD2SSConvert Scalar Double-Precision FP Value to Scalar Single-Precision FP Value. . . . . . . . . . . . . . . . . . . . . . . .3-205
CVTSI2SDConvert Dword Integer to Scalar Double-Precision FP Value. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-207
CVTSI2SSConvert Dword Integer to Scalar Single-Precision FP Value . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-209
CONTENTS
vi Vol. 2A
PAGE
CVTSS2SDConvert Scalar Single-Precision FP Value to Scalar Double-Precision FP Value. . . . . . . . . . . . . . . . . . . . . . . .3-211
CVTSS2SIConvert Scalar Single-Precision FP Value to Dword Integer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-212
CVTTPD2DQConvert with Truncation Packed Double-Precision FP Values to Packed Dword Integers . . . . . . . . . . . .3-214
CVTTPD2PIConvert with Truncation Packed Double-Precision FP Values to Packed Dword Integers . . . . . . . . . . . . .3-216
CVTTPS2DQConvert with Truncation Packed Single-Precision FP Values to Packed Dword Integers . . . . . . . . . . . . .3-217
CVTTPS2PIConvert with Truncation Packed Single-Precision FP Values to Packed Dword Integers . . . . . . . . . . . . . .3-219
CVTTSD2SIConvert with Truncation Scalar Double-Precision FP Value to Signed Integer. . . . . . . . . . . . . . . . . . . . . . . .3-220
CVTTSS2SIConvert with Truncation Scalar Single-Precision FP Value to Dword Integer . . . . . . . . . . . . . . . . . . . . . . . . .3-222
CWD/CDQ/CQOConvert Word to Doubleword/Convert Doubleword to Quadword. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-224
DAADecimal Adjust AL after Addition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-225
DASDecimal Adjust AL after Subtraction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-227
DECDecrement by 1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-229
DIVUnsigned Divide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-231
DIVPDDivide Packed Double-Precision Floating-Point Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-234
DIVPSDivide Packed Single-Precision Floating-Point Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-236
DIVSDDivide Scalar Double-Precision Floating-Point Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-238
DIVSSDivide Scalar Single-Precision Floating-Point Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-239
DPPD Dot Product of Packed Double Precision Floating-Point Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-240
DPPS Dot Product of Packed Single Precision Floating-Point Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-242
EMMSEmpty MMX Technology State. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-245
ENTERMake Stack Frame for Procedure Parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-247
EXTRACTPS Extract Packed Single Precision Floating-Point Value. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-250
F2XM1Compute 2x1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-252
FABSAbsolute Value . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-254
FADD/FADDP/FIADDAdd . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-256
FBLDLoad Binary Coded Decimal. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-259
FBSTPStore BCD Integer and Pop. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-261
FCHSChange Sign. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-263
FCLEX/FNCLEXClear Exceptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-265
FCMOVccFloating-Point Conditional Move . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-267
FCOM/FCOMP/FCOMPPCompare Floating Point Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-269
FCOMI/FCOMIP/ FUCOMI/FUCOMIPCompare Floating Point Values and Set EFLAGS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-272
FCOSCosine. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-275
FDECSTPDecrement Stack-Top Pointer. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-277
FDIV/FDIVP/FIDIVDivide. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-278
FDIVR/FDIVRP/FIDIVRReverse Divide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-281
FFREEFree Floating-Point Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-284
FICOM/FICOMPCompare Integer. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-285
FILDLoad Integer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-287
FINCSTPIncrement Stack-Top Pointer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-289
FINIT/FNINITInitialize Floating-Point Unit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-290
FIST/FISTPStore Integer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-292
FISTTPStore Integer with Truncation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-295
FLDLoad Floating Point Value. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-297
FLD1/FLDL2T/FLDL2E/FLDPI/FLDLG2/FLDLN2/FLDZLoad Constant . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-299
FLDCWLoad x87 FPU Control Word . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-301
FLDENVLoad x87 FPU Environment. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-303
FMUL/FMULP/FIMULMultiply. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-305
FNOPNo Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-308
FPATANPartial Arctangent . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-309
FPREMPartial Remainder . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-311
FPREM1Partial Remainder. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-313
FPTANPartial Tangent . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-315
FRNDINTRound to Integer. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-317
FRSTORRestore x87 FPU State. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-318
FSAVE/FNSAVEStore x87 FPU State. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-320
FSCALEScale. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-323
FSINSine . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-325
FSINCOSSine and Cosine. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-327
Vol. 2A vii
C O N T E N T S
PAGE
FSQRTSquare Root . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-329
FST/FSTPStore Floating Point Value. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-331
FSTCW/FNSTCWStore x87 FPU Control Word . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-333
FSTENV/FNSTENVStore x87 FPU Environment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-335
FSTSW/FNSTSWStore x87 FPU Status Word. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-337
FSUB/FSUBP/FISUBSubtract . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-339
FSUBR/FSUBRP/FISUBRReverse Subtract. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-342
FTSTTEST. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-345
FUCOM/FUCOMP/FUCOMPPUnordered Compare Floating Point Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-347
FXAMExamine ModR/M . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-349
FXCHExchange Register Contents. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-351
FXRSTORRestore x87 FPU, MMX , XMM, and MXCSR State. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-353
FXSAVESave x87 FPU, MMX Technology, and SSE State. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-356
FXTRACTExtract Exponent and Significand . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-364
FYL2XCompute y * log2x . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-366
FYL2XP1Compute y * log2(x +1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-368
HADDPDPacked Double-FP Horizontal Add. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-370
HADDPSPacked Single-FP Horizontal Add . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-373
HLTHalt . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-376
HSUBPDPacked Double-FP Horizontal Subtract. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-377
HSUBPSPacked Single-FP Horizontal Subtract . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-380
IDIVSigned Divide. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-383
IMULSigned Multiply . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-386
INInput fromPort. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-390
INCIncrement by 1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-392
INS/INSB/INSW/INSDInput from Port to String. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-394
INSERTPS Insert Packed Single Precision Floating-Point Value. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-397
INT n/INTO/INT 3Call to Interrupt Procedure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-400
INVDInvalidate Internal Caches . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-412
INVLPGInvalidate TLB Entry. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-414
INVPCIDInvalidate Process-Context Identifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-416
IRET/IRETDInterrupt Return. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-419
JccJump if Condition Is Met . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-427
JMPJump . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-432
LAHFLoad Status Flags into AH Register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-440
LARLoad Access Rights Byte. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-441
LDDQULoad Unaligned Integer 128 Bits. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-444
LDMXCSRLoad MXCSR Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-446
LDS/LES/LFS/LGS/LSSLoad Far Pointer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-447
LEALoad Effective Address . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-451
LEAVEHigh Level Procedure Exit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-454
LFENCELoad Fence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-456
LGDT/LIDTLoad Global/Interrupt Descriptor Table Register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-457
LLDTLoad Local Descriptor Table Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-460
LMSWLoad Machine Status Word . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-462
LOCKAssert LOCK# Signal Prefix . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-464
LODS/LODSB/LODSW/LODSD/LODSQLoad String . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-466
LOOP/LOOPccLoop According to ECX Counter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-469
LSLLoad Segment Limit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-471
LTRLoad Task Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-474
LZCNT Count the Number of Leading Zero Bits. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-476
MASKMOVDQUStore Selected Bytes of Double Quadword . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-478
MASKMOVQStore Selected Bytes of Quadword . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-480
MAXPDReturn Maximum Packed Double-Precision Floating-Point Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-482
MAXPSReturn Maximum Packed Single-Precision Floating-Point Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-484
MAXSDReturn Maximum Scalar Double-Precision Floating-Point Value. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-486
MAXSSReturn Maximum Scalar Single-Precision Floating-Point Value . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-488
MFENCEMemory Fence. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-490
MINPDReturn Minimum Packed Double-Precision Floating-Point Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-491
CONTENTS
viii Vol. 2A
PAGE
MINPSReturn Minimum Packed Single-Precision Floating-Point Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-493
MINSDReturn Minimum Scalar Double-Precision Floating-Point Value . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-495
MINSSReturn Minimum Scalar Single-Precision Floating-Point Value . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-497
MONITORSet Up Monitor Address. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-499
MOVMove . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-501
MOVMove to/from Control Registers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-506
MOVMove to/from Debug Registers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-509
MOVAPDMove Aligned Packed Double-Precision Floating-Point Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-511
MOVAPSMove Aligned Packed Single-Precision Floating-Point Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-513
MOVBEMove Data After Swapping Bytes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-515
MOVD/MOVQMove Doubleword/Move Quadword. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-517
MOVDDUPMove One Double-FP and Duplicate. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-520
MOVDQAMove Aligned Double Quadword . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-522
MOVDQUMove Unaligned Double Quadword. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-524
MOVDQ2QMove Quadword from XMM to MMX Technology Register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-526
MOVHLPS Move Packed Single-Precision Floating-Point Values High to Low. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-527
MOVHPDMove High Packed Double-Precision Floating-Point Value. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-529
MOVHPSMove High Packed Single-Precision Floating-Point Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-531
MOVLHPSMove Packed Single-Precision Floating-Point Values Low to High . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-533
MOVLPDMove Low Packed Double-Precision Floating-Point Value . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-535
MOVLPSMove Low Packed Single-Precision Floating-Point Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-537
MOVMSKPDExtract Packed Double-Precision Floating-Point Sign Mask. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-539
MOVMSKPSExtract Packed Single-Precision Floating-Point Sign Mask. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-541
MOVNTDQA Load Double Quadword Non-Temporal Aligned Hint . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-543
MOVNTDQStore Double Quadword Using Non-Temporal Hint. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-545
MOVNTIStore Doubleword Using Non-Temporal Hint. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-547
MOVNTPDStore Packed Double-Precision Floating-Point Values Using Non-Temporal Hint . . . . . . . . . . . . . . . . . . . . . .3-549
MOVNTPSStore Packed Single-Precision Floating-Point Values Using Non-Temporal Hint . . . . . . . . . . . . . . . . . . . . . . .3-551
MOVNTQStore of Quadword Using Non-Temporal Hint. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-553
MOVQMove Quadword . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-554
MOVQ2DQMove Quadword from MMX Technology to XMM Register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-556
MOVS/MOVSB/MOVSW/MOVSD/MOVSQMove Data from StringtoString. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-557
MOVSDMove Scalar Double-Precision Floating-Point Value . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-561
MOVSHDUPMove Packed Single-FP High and Duplicate. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-563
MOVSLDUPMove Packed Single-FP Low and Duplicate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-565
MOVSSMove Scalar Single-Precision Floating-Point Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-567
MOVSX/MOVSXDMove with Sign-Extension . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-569
MOVUPDMove Unaligned Packed Double-Precision Floating-Point Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-571
MOVUPSMove Unaligned Packed Single-Precision Floating-Point Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-573
MOVZXMove with Zero-Extend. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-575
MPSADBW Compute Multiple Packed Sums of Absolute Difference. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-577
MULUnsigned Multiply. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-585
MULPDMultiply Packed Double-Precision Floating-Point Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-587
MULPSMultiply Packed Single-Precision Floating-Point Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-589
MULSDMultiply Scalar Double-Precision Floating-Point Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-591
MULSSMultiply Scalar Single-Precision Floating-Point Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-592
MULX Unsigned Multiply Without Affecting Flags. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-593
MWAITMonitor Wait. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-595
C H AP T E R 4
I N S T R U C T I O N S E T R E F E R E N C E , N -Z
4.1 IMM8 CONTROL BYTE OPERATION FOR PCMPESTRI / PCMPESTRM / PCMPISTRI / PCMPISTRM . . . . . . . . . . . . . . . . . . . . . . . . . 4-1
4.1.1 General Description. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-1
4.1.2 Source Data Format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-1
4.1.3 Aggregation Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-2
4.1.4 Polarity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-3
4.1.5 Output Selection. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-3
4.1.6 Valid/Invalid Override of Comparisons. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-3
4.1.7 Summary of Im8 Control byte . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-4
Vol. 2A ix
C O N T E N T S
PAGE
4.1.8 Diagram Comparison and Aggregation Process . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-5
4.2 INSTRUCTIONS (N-Z). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-5
NEGTwo's Complement Negation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-6
NOPNo Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-8
NOTOne's Complement Negation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-9
ORLogical Inclusive OR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-11
ORPDBitwise Logical OR of Double-Precision Floating-Point Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-13
ORPSBitwise Logical OR of Single-Precision Floating-Point Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-15
OUTOutput to Port . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-17
OUTS/OUTSB/OUTSW/OUTSDOutput String to Port . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-19
PABSB/PABSW/PABSD Packed Absolute Value . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-23
PACKSSWB/PACKSSDWPack with Signed Saturation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-27
PACKUSDW Pack with Unsigned Saturation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-32
PACKUSWBPack with Unsigned Saturation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-35
PADDB/PADDW/PADDDAdd Packed Integers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-38
PADDQAdd Packed Quadword Integers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-42
PADDSB/PADDSWAdd Packed Signed Integers with Signed Saturation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-44
PADDUSB/PADDUSWAdd Packed Unsigned Integers with Unsigned Saturation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-47
PALIGNR Packed Align Right . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-50
PANDLogical AND. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-53
PANDNLogical AND NOT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-55
PAUSESpin Loop Hint . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-57
PAVGB/PAVGWAverage Packed Integers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-58
PBLENDVB Variable Blend Packed Bytes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-61
PBLENDW Blend Packed Words . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-65
PCLMULQDQ - Carry-Less Multiplication Quadword . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-68
PCMPEQB/PCMPEQW/PCMPEQD Compare Packed Data for Equal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-71
PCMPEQQ Compare Packed Qword Data for Equal. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-75
PCMPESTRI Packed Compare Explicit Length Strings, Return Index. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-77
PCMPESTRM Packed Compare Explicit Length Strings, Return Mask. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-79
PCMPGTB/PCMPGTW/PCMPGTDCompare Packed Signed Integers for Greater Than. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-81
PCMPGTQ Compare Packed Data for Greater Than. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-85
PCMPISTRI Packed Compare Implicit Length Strings, Return Index . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-87
PCMPISTRM Packed Compare Implicit Length Strings, Return Mask. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-89
PDEP Parallel Bits Deposit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-91
PEXT Parallel Bits Extract . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-93
PEXTRB/PEXTRD/PEXTRQ Extract Byte/Dword/Qword . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-95
PEXTRWExtract Word. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-98
PHADDW/PHADDD Packed Horizontal Add. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-101
PHADDSW Packed Horizontal Add and Saturate. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-105
PHMINPOSUW Packed Horizontal Word Minimum. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-107
PHSUBW/PHSUBD Packed Horizontal Subtract. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-109
PHSUBSW Packed Horizontal Subtract and Saturate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-112
PINSRB/PINSRD/PINSRQ Insert Byte/Dword/Qword. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-114
PINSRWInsert Word. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-116
PMADDUBSW Multiply and Add Packed Signed and Unsigned Bytes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-118
PMADDWDMultiply and Add Packed Integers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-120
PMAXSB Maximum of Packed Signed Byte Integers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-123
PMAXSD Maximum of Packed Signed Dword Integers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-126
PMAXSWMaximumof Packed Signed Word Integers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-128
PMAXUBMaximum of Packed Unsigned Byte Integers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-131
PMAXUD Maximum of Packed Unsigned Dword Integers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-134
PMAXUW Maximum of Packed Word Integers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-136
PMINSB Minimum of Packed Signed Byte Integers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-138
PMINSD Minimum of Packed Dword Integers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-141
PMINSWMinimum of Packed Signed Word Integers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-143
PMINUBMinimumof Packed Unsigned Byte Integers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-146
PMINUD Minimum of Packed Dword Integers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-149
PMINUW Minimum of Packed Word Integers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-151
CONTENTS
x Vol. 2A
PAGE
PMOVMSKBMove Byte Mask. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-153
PMOVSX Packed Move with Sign Extend. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-155
PMOVZX Packed Move with Zero Extend. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-159
PMULDQ Multiply Packed Signed Dword Integers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-163
PMULHRSW Packed Multiply High with Round and Scale . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-165
PMULHUWMultiply Packed Unsigned Integers and Store High Result. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-168
PMULHWMultiply Packed Signed Integers and Store High Result. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-172
PMULLD Multiply Packed Signed Dword Integers and Store Low Result. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-175
PMULLWMultiply Packed Signed Integers and Store Low Result . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-177
PMULUDQMultiply Packed Unsigned Doubleword Integers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-180
POPPop a Value fromthe Stack. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-182
POPA/POPADPop All General-Purpose Registers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-187
POPCNT Return the Count of Number of Bits Set to 1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-189
POPF/POPFD/POPFQPop Stack into EFLAGS Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-191
PORBitwise Logical OR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-194
PREFETCHhPrefetch Data Into Caches . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-196
PSADBWCompute Sum of Absolute Differences . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-198
PSHUFB Packed Shuffle Bytes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-201
PSHUFDShuffle Packed Doublewords . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-204
PSHUFHWShuffle Packed High Words. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-206
PSHUFLWShuffle Packed Low Words . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-208
PSHUFWShuffle Packed Words . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-210
PSIGNB/PSIGNW/PSIGND Packed SIGN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-211
PSLLDQShift Double Quadword Left Logical . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-215
PSLLW/PSLLD/PSLLQShift Packed Data Left Logical . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-217
PSRAW/PSRADShift Packed Data Right Arithmetic. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-223
PSRLDQShift Double Quadword Right Logical. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-228
PSRLW/PSRLD/PSRLQShift Packed Data Right Logical . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-230
PSUBB/PSUBW/PSUBDSubtract Packed Integers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-236
PSUBQSubtract Packed Quadword Integers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-241
PSUBSB/PSUBSWSubtract Packed Signed Integers with Signed Saturation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-243
PSUBUSB/PSUBUSWSubtract Packed Unsigned Integers with Unsigned Saturation. . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-246
PTEST- Logical Compare . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-249
PUNPCKHBW/PUNPCKHWD/PUNPCKHDQ/PUNPCKHQDQ Unpack High Data. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-251
PUNPCKLBW/PUNPCKLWD/PUNPCKLDQ/PUNPCKLQDQUnpack Low Data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-258
PUSHPush Word, Doubleword or Quadword Onto the Stack . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-265
PUSHA/PUSHADPush All General-Purpose Registers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-269
PUSHF/PUSHFDPush EFLAGS Register onto the Stack . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-271
PXORLogical Exclusive OR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-273
RCL/RCR/ROL/ROR-Rotate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-275
RCPPSCompute Reciprocals of Packed Single-Precision Floating-Point Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-280
RCPSSCompute Reciprocal of Scalar Single-Precision Floating-Point Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-282
RDFSBASE/RDGSBASERead FS/GS Segment Base. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-284
RDMSRRead from Model Specific Register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-286
RDPMCRead Performance-Monitoring Counters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-288
RDRANDRead Random Number. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-292
RDTSCRead Time-Stamp Counter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-294
RDTSCPRead Time-Stamp Counter and Processor ID. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-296
REP/REPE/REPZ/REPNE/REPNZRepeat String Operation Prefix . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-298
RETReturn from Procedure. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-301
RORX Rotate Right Logical Without Affecting Flags . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-311
ROUNDPD Round Packed Double Precision Floating-Point Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-312
ROUNDPS Round Packed Single Precision Floating-Point Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-315
ROUNDSD Round Scalar Double Precision Floating-Point Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-318
ROUNDSS Round Scalar Single Precision Floating-Point Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-320
RSMResume from System Management Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-322
RSQRTPSCompute Reciprocals of Square Roots of Packed Single-Precision Floating-Point Values . . . . . . . . . . . . . . .4-324
RSQRTSSCompute Reciprocal of Square Root of Scalar Single-Precision Floating-Point Value . . . . . . . . . . . . . . . . . . .4-326
SAHFStore AH into Flags. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-328
Vol. 2A xi
C O N T E N T S
PAGE
SAL/SAR/SHL/SHRShift . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-330
SARX/SHLX/SHRX Shift Without Affecting Flags. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-335
SBBInteger Subtraction with Borrow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-337
SCAS/SCASB/SCASW/SCASDScan String . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-340
SETccSet Byte on Condition. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-344
SFENCEStore Fence. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-347
SGDTStore Global Descriptor Table Register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-348
SHLDDouble Precision Shift Left. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-350
SHRDDouble Precision Shift Right . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-353
SHUFPDShuffle Packed Double-Precision Floating-Point Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-356
SHUFPSShuffle Packed Single-Precision Floating-Point Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-359
SIDTStore Interrupt Descriptor Table Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-362
SLDTStore Local Descriptor Table Register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-364
SMSWStore Machine Status Word . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-366
SQRTPDCompute Square Roots of Packed Double-Precision Floating-Point Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-368
SQRTPSCompute Square Roots of Packed Single-Precision Floating-Point Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-370
SQRTSDCompute Square Root of Scalar Double-Precision Floating-Point Value. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-372
SQRTSSCompute Square Root of Scalar Single-Precision Floating-Point Value. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-373
STCSet Carry Flag . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-374
STDSet Direction Flag. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-375
STISet Interrupt Flag. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-376
STMXCSRStore MXCSR Register State. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-378
STOS/STOSB/STOSW/STOSD/STOSQStore String. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-379
STRStore Task Register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-383
SUBSubtract . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-385
SUBPDSubtract Packed Double-Precision Floating-Point Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-387
SUBPSSubtract Packed Single-Precision Floating-Point Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-389
SUBSDSubtract Scalar Double-Precision Floating-Point Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-391
SUBSSSubtract Scalar Single-Precision Floating-Point Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-392
SWAPGSSwap GS Base Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-393
SYSCALLFast System Call . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-395
SYSENTERFast SystemCall. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-397
SYSEXITFast Return fromFast System Call . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-400
SYSRETReturn From Fast SystemCall . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-403
TESTLogical Compare. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-406
TZCNT Count the Number of Trailing Zero Bits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-408
UCOMISDUnordered Compare Scalar Double-Precision Floating-Point Values and Set EFLAGS . . . . . . . . . . . . . . . . . . .4-410
UCOMISSUnordered Compare Scalar Single-Precision Floating-Point Values and Set EFLAGS . . . . . . . . . . . . . . . . . . . .4-412
UD2Undefined Instruction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-414
UNPCKHPDUnpack and Interleave High Packed Double-Precision Floating-Point Values. . . . . . . . . . . . . . . . . . . . . . . . .4-415
UNPCKHPSUnpack and Interleave High Packed Single-Precision Floating-Point Values. . . . . . . . . . . . . . . . . . . . . . . . . .4-417
UNPCKLPDUnpack and Interleave Low Packed Double-Precision Floating-Point Values . . . . . . . . . . . . . . . . . . . . . . . . .4-419
UNPCKLPSUnpack and Interleave Low Packed Single-Precision Floating-Point Values . . . . . . . . . . . . . . . . . . . . . . . . . .4-421
VBROADCASTBroadcast Floating-Point Data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-423
VCVTPH2PSConvert 16-bit FP Values to Single-Precision FP Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-427
VCVTPS2PHConvert Single-Precision FP value to 16-bit FP value . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-429
VERR/VERWVerify a Segment for Reading or Writing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-432
VEXTRACTF128 Extract Packed Floating-Point Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-434
VEXTRACTI128 Extract packed Integer Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-435
VFMADD132PD/VFMADD213PD/VFMADD231PD Fused Multiply-Add of Packed Double-Precision Floating-Point
Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-436
VFMADD132PS/VFMADD213PS/VFMADD231PS Fused Multiply-Add of Packed Single-Precision Floating-Point
Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-439
VFMADD132SD/VFMADD213SD/VFMADD231SD Fused Multiply-Add of Scalar Double-Precision Floating-Point
Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-442
VFMADD132SS/VFMADD213SS/VFMADD231SS Fused Multiply-Add of Scalar Single-Precision Floating-Point
Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-444
VFMADDSUB132PD/VFMADDSUB213PD/VFMADDSUB231PD Fused Multiply-Alternating Add/Subtract of Packed
Double-Precision Floating-Point Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-446
CONTENTS
xii Vol. 2A
PAGE
VFMADDSUB132PS/VFMADDSUB213PS/VFMADDSUB231PS Fused Multiply-Alternating Add/Subtract of Packed
Single-Precision Floating-Point Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-449
VFMSUBADD132PD/VFMSUBADD213PD/VFMSUBADD231PD Fused Multiply-Alternating Subtract/Add of Packed
Double-Precision Floating-Point Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-452
VFMSUBADD132PS/VFMSUBADD213PS/VFMSUBADD231PS Fused Multiply-Alternating Subtract/Add of Packed
Single-Precision Floating-Point Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-455
VFMSUB132PD/VFMSUB213PD/VFMSUB231PD Fused Multiply-Subtract of Packed Double-Precision Floating-
Point Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-458
VFMSUB132PS/VFMSUB213PS/VFMSUB231PS Fused Multiply-Subtract of Packed Single-Precision Floating-
Point Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-461
VFMSUB132SD/VFMSUB213SD/VFMSUB231SD Fused Multiply-Subtract of Scalar Double-Precision Floating-
Point Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-464
VFMSUB132SS/VFMSUB213SS/VFMSUB231SS Fused Multiply-Subtract of Scalar Single-Precision Floating-
Point Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-466
VFNMADD132PD/VFNMADD213PD/VFNMADD231PD Fused Negative Multiply-Add of Packed Double-Precision
Floating-Point Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-468
VFNMADD132PS/VFNMADD213PS/VFNMADD231PS Fused Negative Multiply-Add of Packed Single-Precision
Floating-Point Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-471
VFNMADD132SD/VFNMADD213SD/VFNMADD231SD Fused Negative Multiply-Add of Scalar Double-Precision
Floating-Point Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-474
VFNMADD132SS/VFNMADD213SS/VFNMADD231SS Fused Negative Multiply-Add of Scalar Single-Precision
Floating-Point Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-476
VFNMSUB132PD/VFNMSUB213PD/VFNMSUB231PD Fused Negative Multiply-Subtract of Packed Double-
Precision Floating-Point Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-478
VFNMSUB132PS/VFNMSUB213PS/VFNMSUB231PS Fused Negative Multiply-Subtract of Packed Single-
Precision Floating-Point Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-481
VFNMSUB132SD/VFNMSUB213SD/VFNMSUB231SD Fused Negative Multiply-Subtract of Scalar Double-
Precision Floating-Point Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-484
VFNMSUB132SS/VFNMSUB213SS/VFNMSUB231SS Fused Negative Multiply-Subtract of Scalar Single-
Precision Floating-Point Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-486
VGATHERDPD/VGATHERQPD Gather Packed DP FP Values Using Signed Dword/Qword Indices. . . . . . . . . . . . . . . . .4-488
VGATHERDPS/VGATHERQPS Gather Packed SP FP values Using Signed Dword/Qword Indices. . . . . . . . . . . . . . . . . .4-492
VPGATHERDD/VPGATHERQD Gather Packed Dword Values Using Signed Dword/Qword Indices . . . . . . . . . . . . . . . .4-496
VPGATHERDQ/VPGATHERQQ Gather Packed Qword Values Using Signed Dword/Qword Indices. . . . . . . . . . . . . . . .4-500
VINSERTF128 Insert Packed Floating-Point Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-504
VINSERTI128 Insert Packed Integer Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-505
VMASKMOVConditional SIMD Packed Loads and Stores . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-506
VPBLENDD Blend Packed Dwords . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-509
VPBROADCASTBroadcast Integer Data. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-511
VPERMD Full Doublewords Element Permutation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-515
VPERMPD Permute Double-Precision Floating-Point Elements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-516
VPERMPS Permute Single-Precision Floating-Point Elements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-517
VPERMQ Qwords Element Permutation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-518
VPERM2I128 Permute Integer Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-519
VPERMILPD Permute Double-Precision Floating-Point Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-521
VPERMILPS Permute Single-Precision Floating-Point Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-524
VPERM2F128 Permute Floating-Point Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-527
VPMASKMOV Conditional SIMD Integer Packed Loads and Stores . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-529
VPSLLVD/VPSLLVQ Variable Bit Shift Left Logical. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-532
VPSRAVD Variable Bit Shift Right Arithmetic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-534
VPSRLVD/VPSRLVQ Variable Bit Shift Right Logical . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-536
VTESTPD/VTESTPSPacked Bit Test. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-538
VZEROALLZero All YMM Registers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-541
VZEROUPPERZero Upper Bits of YMM Registers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-543
WAIT/FWAITWait . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-545
WBINVDWrite Back and Invalidate Cache . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-546
WRFSBASE/WRGSBASEWrite FS/GS Segment Base . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-548
WRMSRWrite to Model Specific Register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-550
XACQUIRE/XRELEASE Hardware Lock Elision Prefix Hints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-552
Vol. 2A xiii
C O N T E N T S
PAGE
XABORT Transactional Abort . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-555
XADDExchange and Add . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-557
XBEGIN Transactional Begin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-559
XCHGExchange Register/Memory with Register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-562
XEND Transactional End. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-564
XGETBVGet Value of Extended Control Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-566
XLAT/XLATBTable Look-up Translation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-568
XORLogical Exclusive OR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-570
XORPDBitwise Logical XOR for Double-Precision Floating-Point Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-572
XORPSBitwise Logical XOR for Single-Precision Floating-Point Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-574
XRSTORRestore Processor Extended States. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-576
XSAVESave Processor Extended States . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-580
XSAVEOPTSave Processor Extended States Optimized . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-583
XSETBVSet Extended Control Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-586
XTEST Test If In Transactional Execution. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-588
C H AP T E R 5
S AF E R MO D E E XT E N S I O N S R E F E R E N C E
5.1 OVERVIEW . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-1
5.2 SMX FUNCTIONALITY. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-1
5.2.1 Detecting and Enabling SMX . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-1
5.2.2 SMX Instruction Summary. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-2
5.2.2.1 GETSEC[CAPABILITIES] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-2
5.2.2.2 GETSEC[ENTERACCS] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-3
5.2.2.3 GETSEC[EXITAC]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-3
5.2.2.4 GETSEC[SENTER]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-3
5.2.2.5 GETSEC[SEXIT]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-4
5.2.2.6 GETSEC[PARAMETERS] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-4
5.2.2.7 GETSEC[SMCTRL]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-4
5.2.2.8 GETSEC[WAKEUP] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-4
5.2.3 Measured Environment and SMX . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-4
5.3 GETSEC LEAF FUNCTIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-5
GETSEC[CAPABILITIES] - Report the SMX Capabilities. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-7
GETSEC[ENTERACCS] - Execute Authenticated Chipset Code. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-9
GETSEC[EXITAC]Exit Authenticated Code Execution Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-17
GETSEC[SENTER]Enter a Measured Environment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-20
GETSEC[SEXIT]Exit Measured Environment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-29
GETSEC[PARAMETERS]Report the SMX Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-32
GETSEC[SMCTRL]SMX Mode Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-36
GETSEC[WAKEUP]Wake up sleeping processors in measured environment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-39
AP P E N D I X A
O P C O D E MAP
A.1 USING OPCODE TABLES. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-1
A.2 KEY TO ABBREVIATIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-1
A.2.1 Codes for Addressing Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .A-1
A.2.2 Codes for Operand Type . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .A-2
A.2.3 Register Codes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .A-3
A.2.4 Opcode Look-up Examples for One, Two, and Three-Byte Opcodes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .A-3
A.2.4.1 One-Byte Opcode Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .A-3
A.2.4.2 Two-Byte Opcode Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .A-4
A.2.4.3 Three-Byte Opcode Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .A-5
A.2.4.4 VEX Prefix Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .A-5
A.2.5 Superscripts Utilized in Opcode Tables. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .A-6
A.3 ONE, TWO, AND THREE-BYTE OPCODE MAPS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-6
A.4 OPCODE EXTENSIONS FOR ONE-BYTE AND TWO-BYTE OPCODES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-18
A.4.1 Opcode Look-up Examples Using Opcode Extensions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-18
A.4.2 Opcode Extension Tables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-18
A.5 ESCAPE OPCODE INSTRUCTIONS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-21
CONTENTS
xiv Vol. 2A
PAGE
A.5.1 Opcode Look-up Examples for Escape Instruction Opcodes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-21
A.5.2 Escape Opcode Instruction Tables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-21
A.5.2.1 Escape Opcodes with D8 as First Byte . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-21
A.5.2.2 Escape Opcodes with D9 as First Byte . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-22
A.5.2.3 Escape Opcodes with DA as First Byte. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-23
A.5.2.4 Escape Opcodes with DB as First Byte . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-24
A.5.2.5 Escape Opcodes with DC as First Byte . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-25
A.5.2.6 Escape Opcodes with DD as First Byte. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-26
A.5.2.7 Escape Opcodes with DE as First Byte . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-27
A.5.2.8 Escape Opcodes with DF As First Byte. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-28
AP P E N D I X B
I N S T R U C T I O N FO R MAT S AN D E N C O D I N G S
B.1 MACHINE INSTRUCTION FORMAT. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-1
B.1.1 Legacy Prefixes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .B-1
B.1.2 REX Prefixes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .B-2
B.1.3 Opcode Fields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .B-2
B.1.4 Special Fields. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .B-2
B.1.4.1 Reg Field (reg) for Non-64-Bit Modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .B-2
B.1.4.2 Reg Field (reg) for 64-Bit Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .B-3
B.1.4.3 Encoding of Operand Size (w) Bit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .B-4
B.1.4.4 Sign-Extend (s) Bit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .B-4
B.1.4.5 Segment Register (sreg) Field . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .B-4
B.1.4.6 Special-Purpose Register (eee) Field . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .B-5
B.1.4.7 Condition Test (tttn) Field. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .B-5
B.1.4.8 Direction (d) Bit. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .B-6
B.1.5 Other Notes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .B-6
B.2 GENERAL-PURPOSE INSTRUCTION FORMATS AND ENCODINGS FOR NON-64-BIT MODES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-7
B.2.1 General Purpose Instruction Formats and Encodings for 64-Bit Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-18
B.3 PENTIUM

PROCESSOR FAMILY INSTRUCTION FORMATS AND ENCODINGS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-37
B.4 64-BIT MODE INSTRUCTION ENCODINGS FOR SIMD INSTRUCTION EXTENSIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-37
B.5 MMX INSTRUCTION FORMATS AND ENCODINGS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-38
B.5.1 Granularity Field (gg). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-38
B.5.2 MMX Technology and General-Purpose Register Fields (mmxreg and reg). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-38
B.5.3 MMX Instruction Formats and Encodings Table . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-38
B.6 PROCESSOR EXTENDED STATE INSTRUCTION FORMATS AND ENCODINGS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-41
B.7 P6 FAMILY INSTRUCTION FORMATS AND ENCODINGS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-41
B.8 SSE INSTRUCTION FORMATS AND ENCODINGS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-42
B.9 SSE2 INSTRUCTION FORMATS AND ENCODINGS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-48
B.9.1 Granularity Field (gg). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-48
B.10 SSE3 FORMATS AND ENCODINGS TABLE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-59
B.11 SSSE3 FORMATS AND ENCODING TABLE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-60
B.12 AESNI AND PCLMULQDQ INSTRUCTION FORMATS AND ENCODINGS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-63
B.13 SPECIAL ENCODINGS FOR 64-BIT MODE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-64
B.14 SSE4.1 FORMATS AND ENCODING TABLE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-66
B.15 SSE4.2 FORMATS AND ENCODING TABLE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-71
B.16 AVX FORMATS AND ENCODING TABLE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-73
B.17 FLOATING-POINT INSTRUCTION FORMATS AND ENCODINGS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-113
B.18 VMX INSTRUCTIONS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-117
B.19 SMX INSTRUCTIONS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-118
AP P E N D I X C
I N T E L C /C ++C O MP I LE R I N T R I N S I C S AN D F U N C T I O N AL E Q U I VALE N T S
C.1 SIMPLE INTRINSICS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C-2
C.2 COMPOSITE INTRINSICS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C-14
Vol. 2A xv
C O N T E N T S
PAGE
F I G U R E S
Figure 1-1. Bit and Byte Order . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1-4
Figure 1-2. Syntax for CPUID, CR, and MSR Data Presentation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1-6
Figure 2-1. Intel 64 and IA-32 Architectures Instruction Format. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-1
Figure 2-2. Table Interpretation of ModR/M Byte (C8H). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-4
Figure 2-3. Prefix Ordering in 64-bit Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-7
Figure 2-4. Memory Addressing Without an SIB Byte; REX.X Not Used. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-8
Figure 2-5. Register-Register Addressing (No Memory Operand); REX.X Not Used. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-8
Figure 2-6. Memory Addressing With a SIB Byte. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-9
Figure 2-7. Register Operand Coded in Opcode Byte; REX.X & REX.R Not Used. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-9
Figure 2-8. Instruction Encoding Format with VEX Prefix . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-12
Figure 2-9. VEX bitfields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-14
Figure 3-1. Bit Offset for BIT[RAX, 21] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-10
Figure 3-2. Memory Bit Indexing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-11
Figure 3-3. ADDSUBPDPacked Double-FP Add/Subtract . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-36
Figure 3-4. ADDSUBPSPacked Single-FP Add/Subtract . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-38
Figure 3-5. Version Information Returned by CPUID in EAX . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-165
Figure 3-6. Feature Information Returned in the ECX Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-167
Figure 3-7. Feature Information Returned in the EDX Register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-169
Figure 3-8. Determination of Support for the Processor Brand String. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-177
Figure 3-9. Algorithm for Extracting Maximum Processor Frequency . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-178
Figure 3-10. CVTDQ2PD (VEX.256 encoded version). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-187
Figure 3-11. VCVTPD2DQ (VEX.256 encoded version) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-192
Figure 3-12. VCVTPD2PS (VEX.256 encoded version). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-194
Figure 3-13. CVTPS2PD (VEX.256 encoded version) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-200
Figure 3-14. VCVTTPD2DQ (VEX.256 encoded version). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-215
Figure 3-15. HADDPDPacked Double-FP Horizontal Add. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-370
Figure 3-16. VHADDPD operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-371
Figure 3-17. HADDPSPacked Single-FP Horizontal Add. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-374
Figure 3-18. VHADDPS operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-374
Figure 3-19. HSUBPDPacked Double-FP Horizontal Subtract. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-377
Figure 3-20. VHSUBPD operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-378
Figure 3-21. HSUBPSPacked Single-FP Horizontal Subtract. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-381
Figure 3-22. VHSUBPS operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-381
Figure 3-23. INVPCID Descriptor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-416
Figure 3-24. MOVDDUPMove One Double-FP and Duplicate. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-520
Figure 3-25. MOVSHDUPMove Packed Single-FP High and Duplicate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-563
Figure 3-26. MOVSLDUPMove Packed Single-FP Low and Duplicate. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-565
Figure 3-27. VMPSADBW Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-578
Figure 4-1. Operation of PCMPSTRx and PCMPESTRx. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-5
Figure 4-2. Operation of the PACKSSDW Instruction Using 64-bit Operands. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-28
Figure 4-3. 256-bit VPALIGN Instruction Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-51
Figure 4-4. PDEP Example. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-91
Figure 4-5. PEXT Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-93
Figure 4-6. 256-bit VPHADDD Instruction Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-102
Figure 4-7. PMADDWD Execution Model Using 64-bit Operands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-121
Figure 4-8. PMULHUW and PMULHW Instruction Operation Using 64-bit Operands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-169
Figure 4-9. PMULLU Instruction Operation Using 64-bit Operands. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-178
Figure 4-10. PSADBW Instruction Operation Using 64-bit Operands. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-199
Figure 4-11. PSHUB with 64-Bit Operands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-203
Figure 4-12. 256-bit VPSHUFD Instruction Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-204
Figure 4-13. PSLLW, PSLLD, and PSLLQ Instruction Operation Using 64-bit Operand. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-218
Figure 4-14. PSRAW and PSRAD Instruction Operation Using a 64-bit Operand. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-224
Figure 4-15. PSRLW, PSRLD, and PSRLQ Instruction Operation Using 64-bit Operand . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-231
Figure 4-16. PUNPCKHBW Instruction Operation Using 64-bit Operands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-252
Figure 4-17. 256-bit VPUNPCKHDQ Instruction Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-252
Figure 4-18. PUNPCKLBW Instruction Operation Using 64-bit Operands. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-259
Figure 4-19. 256-bit VPUNPCKLDQ Instruction Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-259
CONTENTS
xvi Vol. 2A
PAGE
Figure 4-20. Bit Control Fields of Immediate Byte for ROUNDxx Instruction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-313
Figure 4-21. SHUFPD Shuffle Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-356
Figure 4-22. SHUFPS Shuffle Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-359
Figure 4-23. UNPCKHPD Instruction High Unpack and Interleave Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-415
Figure 4-24. UNPCKHPS Instruction High Unpack and Interleave Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-417
Figure 4-25. UNPCKLPD Instruction Low Unpack and Interleave Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-419
Figure 4-26. UNPCKLPS Instruction Low Unpack and Interleave Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-421
Figure 4-27. VBROADCASTSS Operation (VEX.256 encoded version). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-424
Figure 4-28. VBROADCASTSS Operation (128-bit version). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-424
Figure 4-29. VBROADCASTSD Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-424
Figure 4-30. VBROADCASTF128 Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-425
Figure 4-31. VCVTPH2PS (128-bit Version). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-427
Figure 4-32. VCVTPS2PH (128-bit Version). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-429
Figure 4-33. VPBROADCASTD Operation (VEX.256 encoded version) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-512
Figure 4-34. VPBROADCASTD Operation (128-bit version) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-512
Figure 4-35. VPBROADCASTQ Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-512
Figure 4-36. VBROADCASTI128 Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-513
Figure 4-37. VPERM2I128 Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-519
Figure 4-38. VPERMILPD operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-521
Figure 4-39. VPERMILPD Shuffle Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-522
Figure 4-40. VPERMILPS Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-524
Figure 4-41. VPERMILPS Shuffle Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-525
Figure 4-42. VPERM2F128 Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-527
Figure A-1. ModR/M Byte nnn Field (Bits 5, 4, and 3). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-18
Figure B-1. General Machine Instruction Format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .B-1
Figure B-2. Hybrid Notation of VEX-Encoded Key Instruction Bytes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-73
Vol. 2A xvii
C O N T E N T S
PAGE
T AB LE S
Table 2-1. 16-Bit Addressing Forms with the ModR/M Byte . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-4
Table 2-2. 32-Bit Addressing Forms with the ModR/M Byte . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-5
Table 2-3. 32-Bit Addressing Forms with the SIB Byte. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-6
Table 2-4. REX Prefix Fields [BITS: 0100WRXB] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-8
Table 2-6. Direct Memory Offset Formof MOV . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-10
Table 2-5. Special Cases of REX Encodings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-10
Table 2-7. RIP-Relative Addressing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-11
Table 2-8. VEX.vvvv to register name mapping. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-16
Table 2-9. Instructions with a VEX.vvvv destination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-16
Table 2-10. VEX.m-mmmm interpretation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-17
Table 2-11. VEX.L interpretation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-17
Table 2-12. VEX.pp interpretation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-18
Table 2-13. 32-Bit VSIB Addressing Forms of the SIB Byte . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-19
Table 2-14. Exception class description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-21
Table 2-15. Instructions in each Exception Class . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-22
Table 2-16. #UD Exception and VEX.W=1 Encoding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-23
Table 2-17. #UD Exception and VEX.L Field Encoding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-24
Table 2-18. Type 1 Class Exception Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-25
Table 2-19. Type 2 Class Exception Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-26
Table 2-20. Type 3 Class Exception Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-27
Table 2-21. Type 4 Class Exception Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-28
Table 2-22. Type 5 Class Exception Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-29
Table 2-23. Type 6 Class Exception Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-30
Table 2-24. Type 7 Class Exception Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-30
Table 2-25. Type 8 Class Exception Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-31
Table 2-26. Type 11 Class Exception Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-32
Table 2-27. Type 12 Class Exception Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-33
Table 2-28. VEX-Encoded GPR Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-34
Table 2-29. Exception Definition (VEX-Encoded GPR Instructions) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-34
Table 3-1. Register Codes Associated With +rb, +rw, +rd, +ro . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-2
Table 3-2. Range of Bit Positions Specified by Bit Offset Operands. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-10
Table 3-3. Intel 64 and IA-32 General Exceptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-13
Table 3-4. x87 FPU Floating-Point Exceptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-15
Table 3-5. SIMD Floating-Point Exceptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-15
Table 3-6. Decision Table for CLI Results. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-113
Table 3-7. Comparison Predicate for CMPPD and CMPPS Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-123
Table 3-8. Pseudo-Op and CMPPD Implementation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-124
Table 3-9. Comparison Predicate for VCMPPD and VCMPPS Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-125
Table 3-10. Pseudo-Op and VCMPPD Implementation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-126
Table 3-11. Pseudo-Ops and CMPPS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-131
Table 3-12. Pseudo-Op and VCMPPS Implementation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-131
Table 3-14. Pseudo-Op and VCMPSD Implementation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-141
Table 3-13. Pseudo-Ops and CMPSD. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-141
Table 3-16. Pseudo-Op and VCMPSS Implementation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-145
Table 3-15. Pseudo-Ops and CMPSS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-145
Table 3-17. Information Returned by CPUID Instruction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-157
Table 3-18. Highest CPUID Source Operand for Intel 64 and IA-32 Processors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-164
Table 3-19. Processor Type Field . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-166
Table 3-20. Feature Information Returned in the ECX Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-167
Table 3-21. More on Feature Information Returned in the EDX Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-170
Table 3-22. Encoding of CPUID Leaf 2 Descriptors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-172
Table 3-23. Processor Brand String Returned with Pentium 4 Processor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-177
Table 3-24. Mapping of Brand Indices; and Intel 64 and IA-32 Processor Brand Strings. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-179
Table 3-25. DIV Action . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-231
Table 3-26. Results Obtained from F2XM1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-252
Table 3-27. Results Obtained from FABS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-254
Table 3-28. FADD/FADDP/FIADD Results. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-257
CONTENTS
xviii Vol. 2A
PAGE
Table 3-29. FBSTP Results. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-261
Table 3-30. FCHS Results. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-263
Table 3-31. FCOM/FCOMP/FCOMPP Results. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-269
Table 3-32. FCOMI/FCOMIP/ FUCOMI/FUCOMIP Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-272
Table 3-33. FCOS Results. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-275
Table 3-34. FDIV/FDIVP/FIDIV Results. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-279
Table 3-35. FDIVR/FDIVRP/FIDIVR Results. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-282
Table 3-36. FICOM/FICOMP Results. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-285
Table 3-37. FIST/FISTP Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-292
Table 3-38. FISTTP Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-295
Table 3-39. FMUL/FMULP/FIMUL Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-306
Table 3-40. FPATAN Results. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-309
Table 3-41. FPREM Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-311
Table 3-42. FPREM1 Results. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-313
Table 3-43. FPTAN Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-315
Table 3-44. FSCALE Results. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-323
Table 3-45. FSIN Results. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-325
Table 3-46. FSINCOS Results. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-327
Table 3-47. FSQRT Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-329
Table 3-48. FSUB/FSUBP/FISUB Results. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-340
Table 3-49. FSUBR/FSUBRP/FISUBR Results. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-343
Table 3-50. FTST Results. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-345
Table 3-51. FUCOM/FUCOMP/FUCOMPP Results. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-347
Table 3-52. FXAM Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-349
Table 3-53. Non-64-bit-Mode Layout of FXSAVE and FXRSTOR Memory Region. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-356
Table 3-54. Field Definitions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-357
Table 3-55. Recreating FSAVE Format. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-359
Table 3-56. Layout of the 64-bit-mode FXSAVE64 Map (requires REX.W =1). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-359
Table 3-57. Layout of the 64-bit-mode FXSAVE Map (REX.W =0). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-360
Table 3-58. FYL2X Results. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-366
Table 3-59. FYL2XP1 Results. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-368
Table 3-60. IDIV Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-383
Table 3-61. Decision Table. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-401
Table 3-62. Segment and Gate Types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-442
Table 3-63. Non-64-bit Mode LEA Operation with Address and Operand Size Attributes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-451
Table 3-64. 64-bit Mode LEA Operation with Address and Operand Size Attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-451
Table 3-65. Segment and Gate Descriptor Types. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-472
Table 3-66. MUL Results. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-585
Table 3-67. MWAIT Extension Register (ECX) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-596
Table 3-68. MWAIT Hints Register (EAX). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-596
Table 4-1. Source Data Format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-1
Table 4-2. Aggregation Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-2
Table 4-3. Aggregation Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-2
Table 4-4. Polarity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-3
Table 4-5. Ouput Selection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-3
Table 4-6. Output Selection. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-3
Table 4-7. Comparison Result for Each Element Pair BoolRes[i.j] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-4
Table 4-8. Summary of Imm8 Control Byte . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-4
Table 4-9. Recommended Multi-Byte Sequence of NOP Instruction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-8
Table 4-10. PCLMULQDQ Quadword Selection of Immediate Byte. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-68
Table 4-11. Pseudo-Op and PCLMULQDQ Implementation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-68
Table 4-12. Valid General and Special Purpose Performance Counter Index Range for RDPMC . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-288
Table 4-13. Repeat Prefixes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-299
Table 4-14. Rounding Modes and Encoding of Rounding Control (RC) Field. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-313
Table 4-15. Decision Table for STI Results. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-376
Table 4-16. Immediate Byte Encoding for 16-bit Floating-Point Conversion Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-430
Table 4-17. General Layout of XSAVE/XRSTOR Save Area . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-576
Table 4-18. XSAVE.HEADER Layout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-577
Table 4-19. Processor Supplied Init Values XRSTOR May Use. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-577
Vol. 2A xix
C O N T E N T S
PAGE
Table 4-20. Reserved Bit Checking and XRSTOR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-577
Table 5-1. Layout of IA32_FEATURE_CONTROL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-2
Table 5-2. GETSEC Leaf Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-3
Table 5-3. Getsec Capability Result Encoding (EBX =0) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-7
Table 5-4. Register State Initialization after GETSEC[ENTERACCS]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-11
Table 5-5. IA32_MISC_ENABLE MSR Initialization by ENTERACCS and SENTER . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-12
Table 5-6. Register State Initialization after GETSEC[SENTER] and GETSEC[WAKEUP] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-23
Table 5-7. SMX Reporting Parameters Format. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-32
Table 5-8. TXT Feature Extensions Flags . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-33
Table 5-9. External Memory Types Using Parameter 3. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-34
Table 5-10. Default Parameter Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-34
Table 5-11. Supported Actions for GETSEC[SMCTRL(0)] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-36
Table 5-12. RLP MVMM JOIN Data Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-39
Table A-1. Superscripts Utilized in Opcode Tables. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .A-6
Table A-2. One-byte Opcode Map: (00H F7H) * . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .A-8
Table A-3. Two-byte Opcode Map: 00H 77H (First Byte is 0FH) *. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-10
Table A-4. Three-byte Opcode Map: 00H F7H (First Two Bytes are 0F 38H) *. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-14
Table A-5. Three-byte Opcode Map: 00H F7H (First two bytes are 0F 3AH) * . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-16
Table A-6. Opcode Extensions for One- and Two-byte Opcodes by Group Number * . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-19
Table A-7. D8 Opcode Map When ModR/M Byte is Within 00H to BFH * . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-21
Table A-8. D8 Opcode Map When ModR/M Byte is Outside 00H to BFH * . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-22
Table A-9. D9 Opcode Map When ModR/M Byte is Within 00H to BFH * . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-22
Table A-10. D9 Opcode Map When ModR/M Byte is Outside 00H to BFH * . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-23
Table A-11. DA Opcode Map When ModR/M Byte is Within 00H to BFH * . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-23
Table A-12. DA Opcode Map When ModR/M Byte is Outside 00H to BFH * . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-24
Table A-13. DB Opcode Map When ModR/M Byte is Within 00H to BFH * . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-24
Table A-14. DB Opcode Map When ModR/M Byte is Outside 00H to BFH * . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-25
Table A-15. DC Opcode Map When ModR/M Byte is Within 00H to BFH *. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-25
Table A-16. DC Opcode Map When ModR/M Byte is Outside 00H to BFH * . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-26
Table A-17. DD Opcode Map When ModR/M Byte is Within 00H to BFH * . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-26
Table A-18. DD Opcode Map When ModR/M Byte is Outside 00H to BFH * . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-27
Table A-19. DE Opcode Map When ModR/M Byte is Within 00H to BFH *. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-27
Table A-20. DE Opcode Map When ModR/M Byte is Outside 00H to BFH * . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-28
Table A-21. DF Opcode Map When ModR/M Byte is Within 00H to BFH *. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-28
Table A-22. DF Opcode Map When ModR/M Byte is Outside 00H to BFH * . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-29
Table B-1. Special Fields Within Instruction Encodings. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .B-2
Table B-2. Encoding of reg Field When w Field is Not Present in Instruction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .B-3
Table B-3. Encoding of reg Field When w Field is Present in Instruction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .B-3
Table B-4. Encoding of reg Field When w Field is Not Present in Instruction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .B-3
Table B-5. Encoding of reg Field When w Field is Present in Instruction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .B-4
Table B-6. Encoding of Operand Size (w) Bit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .B-4
Table B-7. Encoding of Sign-Extend (s) Bit. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .B-4
Table B-8. Encoding of the Segment Register (sreg) Field . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .B-5
Table B-9. Encoding of Special-Purpose Register (eee) Field. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .B-5
Table B-11. Encoding of Operation Direction (d) Bit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .B-6
Table B-12. Notes on Instruction Encoding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .B-6
Table B-10. Encoding of Conditional Test (tttn) Field . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .B-6
Table B-13. General Purpose Instruction Formats and Encodings for Non-64-Bit Modes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .B-7
Table B-14. Special Symbols . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-18
Table B-15. General Purpose Instruction Formats and Encodings for 64-Bit Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-18
Table B-16. Pentium Processor Family Instruction Formats and Encodings, Non-64-Bit Modes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-37
Table B-17. Pentium Processor Family Instruction Formats and Encodings, 64-Bit Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-37
Table B-18. Encoding of Granularity of Data Field (gg). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-38
Table B-19. MMX Instruction Formats and Encodings. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-38
Table B-20. Formats and Encodings of XSAVE/XRSTOR/XGETBV/XSETBV Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-41
Table B-21. Formats and Encodings of P6 Family Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-41
Table B-22. Formats and Encodings of SSE Floating-Point Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-42
Table B-23. Formats and Encodings of SSE Integer Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-47
Table B-25. Encoding of Granularity of Data Field (gg). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-48
CONTENTS
xx Vol. 2A
PAGE
Table B-24. Format and Encoding of SSE Cacheability & Memory Ordering Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-48
Table B-26. Formats and Encodings of SSE2 Floating-Point Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-49
Table B-27. Formats and Encodings of SSE2 Integer Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-54
Table B-28. Format and Encoding of SSE2 Cacheability Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-58
Table B-29. Formats and Encodings of SSE3 Floating-Point Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-59
Table B-30. Formats and Encodings for SSE3 Event Management Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-59
Table B-31. Formats and Encodings for SSE3 Integer and Move Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-60
Table B-32. Formats and Encodings for SSSE3 Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-60
Table B-33. Formats and Encodings of AESNI and PCLMULQDQ Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-63
Table B-34. Special Case Instructions Promoted Using REX.W. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-64
Table B-35. Encodings of SSE4.1 instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-66
Table B-36. Encodings of SSE4.2 instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-72
Table B-37. Encodings of AVX instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-73
Table B-38. General Floating-Point Instruction Formats. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .B-113
Table B-39. Floating-Point Instruction Formats and Encodings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .B-113
Table B-40. Encodings for VMX Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .B-117
Table B-41. Encodings for SMX Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .B-118
Table C-1. Simple Intrinsics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .C-2
Table C-2. Composite Intrinsics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C-14
Vol. 2A 1-1
C H AP T E R 1
AB O U T T H I S MAN U AL
The Intel 64 and IA-32 Architectures Software Developers Manual, Volumes 2A, 2B & 2C: Instruction Set Refer-
ence (order numbers 253666, 253667 and 326018) are part of a set that describes the architecture and program-
ming environment of all Intel 64 and IA-32 architecture processors. Other volumes in this set are:
The Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1: Basic Architecture (Order
Number 253665).
The Intel 64 and IA-32 Architectures Software Developers Manual, Volumes 3A, 3B & 3C: System
Programming Guide (order numbers 253668, 253669 and 326019).
The Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1, describes the basic architecture
and programming environment of Intel 64 and IA-32 processors. The Intel 64 and IA-32 Architectures Software
Developers Manual, Volumes 2A, 2B & 2C, describe the instruction set of the processor and the opcode structure.
These volumes apply to application programmers and to programmers who write operating systems or executives.
The Intel 64 and IA-32 Architectures Software Developers Manual, Volumes 3A, 3B & 3C, describe the oper-
ating-system support environment of Intel 64 and IA-32 processors. These volumes target operating-system and
BIOS designers. In addition, the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3B,
addresses the programming environment for classes of software that host operating systems.
1 .1 I N T E L

6 4 AN D I A-3 2 P R O C E S S O R S C O VE R E D I N T H I S MAN U AL
This manual set includes information pertaining primarily to the most recent Intel 64 and IA-32 processors, which
include:
Pentium

processors
P6 family processors
Pentium

4 processors
Pentium

M processors
Intel

Xeon

processors
Pentium

D processors
Pentium

processor Extreme Editions


64-bit Intel

Xeon

processors
Intel

Core Duo processor


Intel

Core Solo processor


Dual-Core Intel

Xeon

processor LV
Intel

Core2 Duo processor


Intel

Core2 Quad processor Q6000 series


Intel

Xeon

processor 3000, 3200 series


Intel

Xeon

processor 5000 series


Intel

Xeon

processor 5100, 5300 series


Intel

Core2 Extreme processor X7000 and X6800 series


Intel

Core2 Extreme QX6000 series


Intel

Xeon

processor 7100 series


Intel

Pentium

Dual-Core processor
Intel

Xeon

processor 7200, 7300 series


Intel

Xeon

processor 5200, 5400, 7400 series


1-2 Vol. 2A
ABOUT THIS MANUAL
Intel

Core2 Extreme processor QX9000 and X9000 series


Intel

Core2 Quad processor Q9000 series


Intel

Core2 Duo processor E8000, T9000 series


Intel

Atom processor family


Intel

Core

i7 processor
Intel

Core

i5 processor
Intel

Xeon

processor E7-8800/4800/2800 product families


Intel

Xeon

processor E5 family
Intel

Xeon

processor E3-1200 product family


Intel

Core i7-3930K processor


2nd generation Intel

Core i7-2xxx, Intel

Core i5-2xxx, Intel

Core i3-2xxx processor series


Intel

Xeon

processor E3-1200 v2 product family


3rd generation Intel

Core processors
Intel

Xeon

processor E3-1200 v3 product family


4th generation Intel

Core processors
P6 family processors are IA-32 processors based on the P6 family microarchitecture. This includes the Pentium


Pro, Pentium

II, Pentium

III, and Pentium

III Xeon

processors.
The Pentium

4, Pentium

D, and Pentium

processor Extreme Editions are based on the Intel NetBurst



microar-
chitecture. Most early Intel

Xeon

processors are based on the Intel NetBurst

microarchitecture. Intel Xeon
processor 5000, 7100 series are based on the Intel NetBurst

microarchitecture.
The Intel

Core Duo, Intel

Core Solo and dual-core Intel



Xeon

processor LV are based on an improved


Pentium

M processor microarchitecture.
The Intel

Xeon

processor 3000, 3200, 5100, 5300, 7200, and 7300 series, Intel

Pentium

dual-core, Intel


Core2 Duo, Intel

Core2 Quad, and Intel

Core2 Extreme processors are based on Intel



Core microarchi-
tecture.
The Intel

Xeon

processor 5200, 5400, 7400 series, Intel

Core2 Quad processor Q9000 series, and Intel


Core2 Extreme processors QX9000, X9000 series, Intel

Core2 processor E8000 series are based on Enhanced


Intel

Core microarchitecture.
The Intel

Atom processor family is based on the Intel

Atom microarchitecture and supports Intel 64 archi-


tecture.
The Intel

Core i7 processor and Intel

Xeon

processor 3400, 5500, 7500 series are based on 45 nm Intel


microarchitecture code name Nehalem. Intel

microarchitecture code name Westmere is a 32nm version of Intel


microarchitecture code name Nehalem. Intel

Xeon

processor 5600 series, Intel Xeon processor E7 and various


Intel Core i7, i5, i3 processors are based on Intel

microarchitecture code name Westmere. These processors


support Intel 64 architecture.
The Intel

Xeon

processor E5 family, Intel

Xeon

processor E3-1200 family, Intel

Xeon

processor E7-
8800/4800/2800 product families, Intel

Core i7-3930K processor, and 2nd generation Intel

Core i7-2xxx,
Intel

Core
TM
i5-2xxx, Intel

Core i3-2xxx processor series are based on the Intel

microarchitecture code name


Sandy Bridge and support Intel 64 architecture.
The Intel

Xeon

processor E3-1200 v2 product family and 3rd generation Intel

Core processors are based on


the Intel

microarchitecture code name Ivy Bridge and support Intel 64 architecture.


The Intel

Xeon

processor E3-1200 v3 product family and 4th Generation Intel

Core processors are based on


the Intel

microarchitecture code name Haswell and support Intel 64 architecture.


P6 family, Pentium

M, Intel

Core Solo, Intel

Core Duo processors, dual-core Intel



Xeon

processor LV,
and early generations of Pentium 4 and Intel Xeon processors support IA-32 architecture. The Intel

Atom
TM

processor Z5xx series support IA-32 architecture.
Vol. 2A 1-3
ABOUT THIS MANUAL
The Intel

Xeon

processor 3000, 3200, 5000, 5100, 5200, 5300, 5400, 7100, 7200, 7300, 7400 series, Intel


Core2 Duo, Intel

Core2 Extreme, Intel

Core2 Quad processors, Pentium

D processors, Pentium

Dual-
Core processor, newer generations of Pentium 4 and Intel Xeon processor family support Intel

64 architecture.
IA-32 architecture is the instruction set architecture and programming environment for Intel's 32-bit microproces-
sors. Intel

64 architecture is the instruction set architecture and programming environment which is the superset
of Intels 32-bit and 64-bit architectures. It is compatible with the IA-32 architecture.
1 .2 O VE R V I E W O F VO LU ME 2 A, 2 B AN D 2 C : I N S T R U C T I O N S E T R E F E R E N C E
A description of Intel 64 and IA-32 Architectures Software Developers Manual, Volumes 2A, 2B & 2C, content
follows:
Chapter 1 About This Manual. Gives an overview of all seven volumes of the Intel 64 and IA-32 Architec-
tures Software Developers Manual. It also describes the notational conventions in these manuals and lists related
Intel

manuals and documentation of interest to programmers and hardware designers.


Chapter 2 Instruction Format. Describes the machine-level instruction format used for all IA-32 instructions
and gives the allowable encodings of prefixes, the operand-identifier byte (ModR/M byte), the addressing-mode
specifier byte (SIB byte), and the displacement and immediate bytes.
Chapter 3 Instruction Set Reference, A-L. Describes Intel 64 and IA-32 instructions in detail, including an
algorithmic description of operations, the effect on flags, the effect of operand- and address-size attributes, and
the exceptions that may be generated. The instructions are arranged in alphabetical order. General-purpose, x87
FPU, Intel MMX technology, SSE/SSE2/SSE3/SSSE3/SSE4 extensions, and system instructions are included.
Chapter 4 Instruction Set Reference, M-Z. Continues the description of Intel 64 and IA-32 instructions
started in Chapter 3. It provides the balance of the alphabetized list of instructions and starts Intel 64 and IA-32
Architectures Software Developers Manual, Volume 2B.
Chapter 5 Safer Mode Extensions Reference. Describes the safer mode extensions (SMX). SMX is intended
for a system executive to support launching a measured environment in a platform where the identity of the soft-
ware controlling the platform hardware can be measured for the purpose of making trust decisions. This chapter
starts Intel 64 and IA-32 Architectures Software Developers Manual, Volume 2C.
Appendix A Opcode Map. Gives an opcode map for the IA-32 instruction set.
Appendix B Instruction Formats and Encodings. Gives the binary encoding of each form of each IA-32
instruction.
Appendix C Intel

C/C++ Compiler Intrinsics and Functional Equivalents. Lists the Intel

C/C++ compiler
intrinsics and their assembly code equivalents for each of the IA-32 MMX and SSE/SSE2/SSE3 instructions.
1 .3 N O T AT I O N AL C O N VE N T I O N S
This manual uses specific notation for data-structure formats, for symbolic representation of instructions, and for
hexadecimal and binary numbers. A review of this notation makes the manual easier to read.
1 .3 .1 B it a n d B y t e O rd e r
In illustrations of data structures in memory, smaller addresses appear toward the bottom of the figure; addresses
increase toward the top. Bit positions are numbered from right to left. The numerical value of a set bit is equal to
two raised to the power of the bit position. IA-32 processors are little endian machines; this means the bytes of
a word are numbered starting from the least significant byte. Figure 1-1 illustrates these conventions.
1-4 Vol. 2A
ABOUT THIS MANUAL
1 .3 .2 R e se rv e d B it s a n d S o ft w a re C o m p a t ib ilit y
In many register and memory layout descriptions, certain bits are marked as reserved. When bits are marked as
reserved, it is essential for compatibility with future processors that software treat these bits as having a future,
though unknown, effect. The behavior of reserved bits should be regarded as not only undefined, but unpredict-
able. Software should follow these guidelines in dealing with reserved bits:
Do not depend on the states of any reserved bits when testing the values of registers which contain such bits.
Mask out the reserved bits before testing.
Do not depend on the states of any reserved bits when storing to memory or to a register.
Do not depend on the ability to retain information written into any reserved bits.
When loading a register, always load the reserved bits with the values indicated in the documentation, if any, or
reload them with values previously read from the same register.
N O T E
Avoid any software dependence upon the state of reserved bits in IA-32 registers. Depending upon
the values of reserved register bits will make software dependent upon the unspecified manner in
which the processor handles these bits. Programs that depend upon reserved values risk incompat-
ibility with future processors.
1 .3 .3 I n st ru ct io n O p e ra n d s
When instructions are represented symbolically, a subset of the IA-32 assembly language is used. In this subset,
an instruction has the following format:
label: mnemonic argument1, argument2, argument3
where:
A label is an identifier which is followed by a colon.
A mnemonic is a reserved name for a class of instruction opcodes which have the same function.
The operands argument1, argument2, and argument3 are optional. There may be from zero to three operands,
depending on the opcode. When present, they take the form of either literals or identifiers for data items.
Operand identifiers are either reserved names of registers or are assumed to be assigned to data items
declared in another part of the program (which may not be shown in the example).
When two operands are present in an arithmetic or logical instruction, the right operand is the source and the left
operand is the destination.
For example:
F ig u re 1 -1 . B it a n d B y t e O rd e r
Byte 3
D a t a S t ru ct u re
Byte 1 Byte 2 Byte 0
31 24 23 16 15 8 7 0
Lowest
Bit offset
28
24
20
16
12
8
4
0
Address
Byte Offset
Highest
Address
Vol. 2A 1-5
ABOUT THIS MANUAL
LOADREG: MOV EAX, SUBTOTAL
In this example, LOADREG is a label, MOV is the mnemonic identifier of an opcode, EAX is the destination operand,
and SUBTOTAL is the source operand. Some assembly languages put the source and destination in reverse order.
1 .3 .4 H e x a d e cim a l a n d B in a ry N u m b e rs
Base 16 (hexadecimal) numbers are represented by a string of hexadecimal digits followed by the character H (for
example, F82EH). A hexadecimal digit is a character from the following set: 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D,
E, and F.
Base 2 (binary) numbers are represented by a string of 1s and 0s, sometimes followed by the character B (for
example, 1010B). The B designation is only used in situations where confusion as to the type of number might
arise.
1 .3 .5 S e g m e n t e d Ad d re ssin g
The processor uses byte addressing. This means memory is organized and accessed as a sequence of bytes.
Whether one or more bytes are being accessed, a byte address is used to locate the byte or bytes in memory. The
range of memory that can be addressed is called an address space.
The processor also supports segmented addressing. This is a form of addressing where a program may have many
independent address spaces, called segments. For example, a program can keep its code (instructions) and stack
in separate segments. Code addresses would always refer to the code space, and stack addresses would always
refer to the stack space. The following notation is used to specify a byte address within a segment:
Segment-register:Byte-address
For example, the following segment address identifies the byte at address FF79H in the segment pointed by the DS
register:
DS:FF79H
The following segment address identifies an instruction address in the code segment. The CS register points to the
code segment and the EIP register contains the address of the instruction.
CS:EIP
1 .3 .6 E x ce p t io n s
An exception is an event that typically occurs when an instruction causes an error. For example, an attempt to
divide by zero generates an exception. However, some exceptions, such as breakpoints, occur under other condi-
tions. Some types of exceptions may provide error codes. An error code reports additional information about the
error. An example of the notation used to show an exception and error code is shown below:
#PF(fault code)
This example refers to a page-fault exception under conditions where an error code naming a type of fault is
reported. Under some conditions, exceptions which produce error codes may not be able to report an accurate
code. In this case, the error code is zero, as shown below for a general-protection exception:
#GP(0)
1 .3 .7 A N e w S y n t a x fo r C P U I D , C R , a n d MS R Va lu e s
Obtain feature flags, status, and system information by using the CPUID instruction, by checking control register
bits, and by reading model-specific registers. We are moving toward a new syntax to represent this information.
See Figure 1-2.
1-6 Vol. 2A
ABOUT THIS MANUAL
1 .4 R E LAT E D LI T E R AT U R E
Literature related to Intel 64 and IA-32 processors is listed on-line at:
http://www.intel.com/content/www/us/en/processors/architectures-software-developer-manuals.html.html
Some of the documents listed at this web site can be viewed on-line; others can be ordered. The literature available
is listed by Intel processor and then by the following literature types: applications notes, data sheets, manuals,
papers, and specification updates.
See also:
The data sheet for a particular Intel 64 or IA-32 processor
The specification update for a particular Intel 64 or IA-32 processor
Intel

C++ Compiler documentation and online help:


http://software.intel.com/en-us/articles/intel-compilers/
Intel

Fortran Compiler documentation and online help:


http://software.intel.com/en-us/articles/intel-compilers/
F ig u re 1 -2 . S y n t a x fo r C P U I D , C R , a n d MS R D a t a P re se n t a t io n
ControI Register VaIues
ModeI-Specific Register VaIues
CPUD.01H:ECX.SSE [bit 25] = 1
Value (or range) of output
CPUID Input and Output
Output register and feature flag or field
name with bit position(s)
CR4.OSFXSR[bit 9] = 1
Feature flag or field name
with bit position(s)
Value (or range) of output
Example CR name
Feature flag or field name with bit position(s)
A32_MSC_ENABLES.ENABLEFOPCODE[bit 2] = 1
Value (or range) of output
Example MSR name
OM17732
Some inputs require values in EAX and ECX.
This is represented as CPUD.(EAX=n, ECX=n).
f only one value is present, EAX is implied.
Vol. 2A 1-7
ABOUT THIS MANUAL
Intel

VTune Performance Analyzer documentation and online help:


http://www.intel.com/cd/software/products/asmo-na/eng/index.htm
Intel

64 and IA-32 Architectures Software Developers Manual (in three or five volumes):
http://www.intel.com/content/www/us/en/processors/architectures-software-developer-manuals.html.html
Intel

64 and IA-32 Architectures Optimization Reference Manual:


http://www.intel.com/content/www/us/en/architecture-and-technology/64-ia-32-architectures-optimization-
manual.html
Intel

Processor Identification with the CPUID Instruction, AP-485:


http://www.intel.com/Assets/PDF/appnote/241618.pdf
Intel 64 Architecture x2APIC Specification:
http://www.intel.com/content/www/us/en/architecture-and-technology/64-architecture-x2apic-specifi-
cation.html
Intel 64 Architecture Processor Topology Enumeration:
http://softwarecommunity.intel.com/articles/eng/3887.htm
Intel

Trusted Execution Technology Measured Launched Environment Programming Guide:


http://www.intel.com/content/www/us/en/software-developers/intel-txt-software-development-guide.html
Intel

SSE4 Programming Reference: http://edc.intel.com/Link.aspx?id=1630&wapkw=intel sse4


programming reference
Developing Multi-threaded Applications: A Platform Consistent Approach:
http://cache-www.intel.com/cd/00/00/05/15/51534_developing_multithreaded_applications.pdf
Using Spin-Loops on Intel

Pentium

4 Processor and Intel

Xeon

Processor:
http://software.intel.com/en-us/articles/ap949-using-spin-loops-on-intel-pentiumr-4-processor-and-intel-
xeonr-processor/
Performance Monitoring Unit Sharing Guide
http://software.intel.com/file/30388
More relevant links are:
Software network link:
http://softwarecommunity.intel.com/isn/home/
Developer centers:
http://www.intel.com/cd/ids/developer/asmo-na/eng/dc/index.htm
Processor support general link:
http://www.intel.com/support/processors/
Software products and packages:
http://www.intel.com/cd/software/products/asmo-na/eng/index.htm
Intel 64 and IA-32 processor manuals (printed or PDF downloads):
http://www.intel.com/content/www/us/en/processors/architectures-software-developer-manuals.html.html
Intel

Multi-Core Technology:
http://software.intel.com/partner/multicore
Intel

Hyper-Threading Technology (Intel



HT Technology):
http://www.intel.com/technology/platform-technology/hyper-threading/index.htm
1-8 Vol. 2A
ABOUT THIS MANUAL
Vol. 2A 2-1
C H AP T E R 2
I N S T R U C T I O N FO R MAT
This chapter describes the instruction format for all Intel 64 and IA-32 processors. The instruction format for
protected mode, real-address mode and virtual-8086 mode is described in Section 2.1. Increments provided for IA-
32e mode and its sub-modes are described in Section 2.2.
2 .1 I N S T R U C T I O N FO R MAT F O R P R O T E C T E D MO D E , R E AL-AD D R E S S MO D E ,
AN D V I R T U AL-8 0 8 6 MO D E
The Intel 64 and IA-32 architectures instruction encodings are subsets of the format shown in Figure 2-1. Instruc-
tions consist of optional instruction prefixes (in any order), primary opcode bytes (up to three bytes), an
addressing-form specifier (if required) consisting of the ModR/M byte and sometimes the SIB (Scale-Index-Base)
byte, a displacement (if required), and an immediate data field (if required).
2 .1 .1 I n st ru ct io n P re fixe s
Instruction prefixes are divided into four groups, each with a set of allowable prefix codes. For each instruction, it
is only useful to include up to one prefix code from each of the four groups (Groups 1, 2, 3, 4). Groups 1 through 4
may be placed in any order relative to each other.
Group 1
Lock and repeat prefixes:
LOCK prefix is encoded using F0H
REPNE/REPNZ prefix is encoded using F2H. Repeat-Not-Zero prefix applies only to string and
input/output instructions. (F2H is also used as a mandatory prefix for some instructions)
REP or REPE/REPZ is encoded using F3H. Repeat prefix applies only to string and input/output instruc-
tions.(F3H is also used as a mandatory prefix for some instructions)
Group 2
Segment override prefixes:
2EHCS segment override (use with any branch instruction is reserved)
36HSS segment override prefix (use with any branch instruction is reserved)
3EHDS segment override prefix (use with any branch instruction is reserved)
26HES segment override prefix (use with any branch instruction is reserved)
F ig u re 2 -1 . I n t e l 6 4 a n d I A-3 2 Arch it e ct u re s I n st ru ct io n Fo rm a t
Instruction
Prefixes
Opcode ModR/M SIB Displacement Immediate
Mod R/M
Reg/
Opcode
0 2 7 6 5 3
Scale Base
0 2 7 6 5 3
Index
Immediate
data of
1, 2, or 4
bytes or none
Address
displacement
of 1, 2, or 4
bytes or none
1 byte
(if required)
1 byte
(if required)
1-, 2-, or 3-byte
opcode
Up to four
prefixes of
1 byte each
(optional)
2-2 Vol. 2A
INSTRUCTION FORMAT
64HFS segment override prefix (use with any branch instruction is reserved)
65HGS segment override prefix (use with any branch instruction is reserved)
Branch hints:
2EHBranch not taken (used only with Jcc instructions)
3EHBranch taken (used only with Jcc instructions)
Group 3
Operand-size override prefix is encoded using 66H (66H is also used as a mandatory prefix for some
instructions).
Group 4
67HAddress-size override prefix
The LOCK prefix (F0H) forces an operation that ensures exclusive use of shared memory in a multiprocessor envi-
ronment. See LOCKAssert LOCK# Signal Prefix in Chapter 3, Instruction Set Reference, A-M, for a description
of this prefix.
Repeat prefixes (F2H, F3H) cause an instruction to be repeated for each element of a string. Use these prefixes only
with string and I/O instructions (MOVS, CMPS, SCAS, LODS, STOS, INS, and OUTS). Use of repeat prefixes and/or
undefined opcodes with other Intel 64 or IA-32 instructions is reserved; such use may cause unpredictable
behavior.
Some instructions may use F2H,F3H as a mandatory prefix to express distinct functionality. A mandatory prefix
generally should be placed after other optional prefixes (exception to this is discussed in Section 2.2.1, REX
Prefixes)
Branch hint prefixes (2EH, 3EH) allow a program to give a hint to the processor about the most likely code path for
a branch. Use these prefixes only with conditional branch instructions (Jcc). Other use of branch hint prefixes
and/or other undefined opcodes with Intel 64 or IA-32 instructions is reserved; such use may cause unpredictable
behavior.
The operand-size override prefix allows a program to switch between 16- and 32-bit operand sizes. Either size can
be the default; use of the prefix selects the non-default size.
Some SSE2/SSE3/SSSE3/SSE4 instructions and instructions using a three-byte sequence of primary opcode bytes
may use 66H as a mandatory prefix to express distinct functionality. A mandatory prefix generally should be placed
after other optional prefixes (exception to this is discussed in Section 2.2.1, REX Prefixes)
Other use of the 66H prefix is reserved; such use may cause unpredictable behavior.
The address-size override prefix (67H) allows programs to switch between 16- and 32-bit addressing. Either size
can be the default; the prefix selects the non-default size. Using this prefix and/or other undefined opcodes when
operands for the instruction do not reside in memory is reserved; such use may cause unpredictable behavior.
2 .1 .2 O p co d e s
A primary opcode can be 1, 2, or 3 bytes in length. An additional 3-bit opcode field is sometimes encoded in the
ModR/M byte. Smaller fields can be defined within the primary opcode. Such fields define the direction of operation,
size of displacements, register encoding, condition codes, or sign extension. Encoding fields used by an opcode
vary depending on the class of operation.
Two-byte opcode formats for general-purpose and SIMD instructions consist of:
An escape opcode byte 0FH as the primary opcode and a second opcode byte, or
A mandatory prefix (66H, F2H, or F3H), an escape opcode byte, and a second opcode byte (same as previous
bullet)
For example, CVTDQ2PD consists of the following sequence: F3 0F E6. The first byte is a mandatory prefix (it is not
considered as a repeat prefix).
Three-byte opcode formats for general-purpose and SIMD instructions consist of:
An escape opcode byte 0FH as the primary opcode, plus two additional opcode bytes, or
Vol. 2A 2-3
INSTRUCTION FORMAT
A mandatory prefix (66H, F2H, or F3H), an escape opcode byte, plus two additional opcode bytes (same as
previous bullet)
For example, PHADDW for XMM registers consists of the following sequence: 66 0F 38 01. The first byte is the
mandatory prefix.
Valid opcode expressions are defined in Appendix A and Appendix B.
2 .1 .3 Mo d R /M a n d S I B B y t e s
Many instructions that refer to an operand in memory have an addressing-form specifier byte (called the ModR/M
byte) following the primary opcode. The ModR/M byte contains three fields of information:
The mod field combines with the r/m field to form 32 possible values: eight registers and 24 addressing modes.
The reg/opcode field specifies either a register number or three more bits of opcode information. The purpose
of the reg/opcode field is specified in the primary opcode.
The r/m field can specify a register as an operand or it can be combined with the mod field to encode an
addressing mode. Sometimes, certain combinations of the mod field and the r/m field is used to express
opcode information for some instructions.
Certain encodings of the ModR/M byte require a second addressing byte (the SIB byte). The base-plus-index and
scale-plus-index forms of 32-bit addressing require the SIB byte. The SIB byte includes the following fields:
The scale field specifies the scale factor.
The index field specifies the register number of the index register.
The base field specifies the register number of the base register.
See Section 2.1.5 for the encodings of the ModR/M and SIB bytes.
2 .1 .4 D isp la ce m e n t a n d I m m e d ia t e B y t e s
Some addressing forms include a displacement immediately following the ModR/M byte (or the SIB byte if one is
present). If a displacement is required; it be 1, 2, or 4 bytes.
If an instruction specifies an immediate operand, the operand always follows any displacement bytes. An imme-
diate operand can be 1, 2 or 4 bytes.
2 .1 .5 Ad d re ssin g -Mo d e E n co d in g o f Mo d R /M a n d S I B B y t e s
The values and corresponding addressing forms of the ModR/M and SIB bytes are shown in Table 2-1 through Table
2-3: 16-bit addressing forms specified by the ModR/M byte are in Table 2-1 and 32-bit addressing forms are in
Table 2-2. Table 2-3 shows 32-bit addressing forms specified by the SIB byte. In cases where the reg/opcode field
in the ModR/M byte represents an extended opcode, valid encodings are shown in Appendix B.
In Table 2-1 and Table 2-2, the Effective Address column lists 32 effective addresses that can be assigned to the
first operand of an instruction by using the Mod and R/M fields of the ModR/M byte. The first 24 options provide
ways of specifying a memory location; the last eight (Mod = 11B) provide ways of specifying general-purpose, MMX
technology and XMM registers.
The Mod and R/M columns in Table 2-1 and Table 2-2 give the binary encodings of the Mod and R/M fields required
to obtain the effective address listed in the first column. For example: see the row indicated by Mod = 11B, R/M =
000B. The row identifies the general-purpose registers EAX, AX or AL; MMX technology register MM0; or XMM
register XMM0. The register used is determined by the opcode byte and the operand-size attribute.
Now look at the seventh row in either table (labeled REG =). This row specifies the use of the 3-bit Reg/Opcode
field when the field is used to give the location of a second operand. The second operand must be a general-
purpose, MMX technology, or XMM register. Rows one through five list the registers that may correspond to the
value in the table. Again, the register used is determined by the opcode byte along with the operand-size attribute.
2-4 Vol. 2A
INSTRUCTION FORMAT
If the instruction does not require a second operand, then the Reg/Opcode field may be used as an opcode exten-
sion. This use is represented by the sixth row in the tables (labeled /digit (Opcode)). Note that values in row six
are represented in decimal form.
The body of Table 2-1 and Table 2-2 (under the label Value of ModR/M Byte (in Hexadecimal)) contains a 32 by 8
array that presents all of 256 values of the ModR/M byte (in hexadecimal). Bits 3, 4 and 5 are specified by the
column of the table in which a byte resides. The row specifies bits 0, 1 and 2; and bits 6 and 7. The figure below
demonstrates interpretation of one table value.
F ig u re 2 -2 . Ta b le I n t e rp re t a t io n o f Mo d R /M B y t e (C 8 H )
Ta b le 2 -1 . 1 6 -B it Ad d re ssin g Fo rm s w it h t h e Mo d R /M B y t e
r8(/r)
r16(/r)
r32(/r)
mm(/r)
xmm(/r)
(In decimal) /digit (Opcode)
(In binary) REG =
AL
AX
EAX
MM0
XMM0
0
000
CL
CX
ECX
MM1
XMM1
1
001
DL
DX
EDX
MM2
XMM2
2
010
BL
BX
EBX
MM3
XMM3
3
011
AH
SP
ESP
MM4
XMM4
4
100
CH
BP1
EBP
MM5
XMM5
5
101
DH
SI
ESI
MM6
XMM6
6
110
BH
DI
EDI
MM7
XMM7
7
111
E ffe ct iv e Ad d re ss Mo d R /M Va lu e o f Mo d R /M B y t e (in H e x a d e cim a l)
[BX+SI]
[BX+DI]
[BP+SI]
[BP+DI]
[SI]
[DI]
disp16
2
[BX]
00 000
001
010
011
100
101
110
111
00
01
02
03
04
05
06
07
08
09
0A
0B
0C
0D
0E
0F
10
11
12
13
14
15
16
17
18
19
1A
1B
1C
1D
1E
1F
20
21
22
23
24
25
26
27
28
29
2A
2B
2C
2D
2E
2F
30
31
32
33
34
35
36
37
38
39
3A
3B
3C
3D
3E
3F
[BX+SI]+disp8
3
[BX+DI]+disp8
[BP+SI]+disp8
[BP+DI]+disp8
[SI]+disp8
[DI]+disp8
[BP]+disp8
[BX]+disp8
01 000
001
010
011
100
101
110
111
40
41
42
43
44
45
46
47
48
49
4A
4B
4C
4D
4E
4F
50
51
52
53
54
55
56
57
58
59
5A
5B
5C
5D
5E
5F
60
61
62
63
64
65
66
67
68
69
6A
6B
6C
6D
6E
6F
70
71
72
73
74
75
76
77
78
79
7A
7B
7C
7D
7E
7F
[BX+SI]+disp16
[BX+DI]+disp16
[BP+SI]+disp16
[BP+DI]+disp16
[SI]+disp16
[DI]+disp16
[BP]+disp16
[BX]+disp16
10 000
001
010
011
100
101
110
111
80
81
82
83
84
85
86
87
88
89
8A
8B
8C
8D
8E
8F
90
91
92
93
94
95
96
97
98
99
9A
9B
9C
9D
9E
9F
A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
AA
AB
AC
AD
AE
AF
B0
B1
B2
B3
B4
B5
B6
B7
B8
B9
BA
BB
BC
BD
BE
BF
EAX/AX/AL/MM0/XMM0
ECX/CX/CL/MM1/XMM1
EDX/DX/DL/MM2/XMM2
EBX/BX/BL/MM3/XMM3
ESP/SP/AHMM4/XMM4
EBP/BP/CH/MM5/XMM5
ESI/SI/DH/MM6/XMM6
EDI/DI/BH/MM7/XMM7
11 000
001
010
011
100
101
110
111
C0
C1
C2
C3
C4
C5
C6
C7
C8
C9
CA
CB
CC
CD
CE
CF
D0
D1
D2
D3
D4
D5
D6
D7
D8
D9
DA
DB
DC
DD
DE
DF
E0
EQ
E2
E3
E4
E5
E6
E7
E8
E9
EA
EB
EC
ED
EE
EF
F0
F1
F2
F3
F4
F5
F6
F7
F8
F9
FA
FB
FC
FD
FE
FF
Mod 11
RM 000
REG = 001
C8H 11001000
/digit (Opcode);
Vol. 2A 2-5
INSTRUCTION FORMAT
N O T E S :
1. The default segment register is SS for the effective addresses containing a BP index, DS for other effective addresses.
2. The disp16 nomenclature denotes a 16-bit displacement that follows the ModR/M byte and that is added to the index.
3. The disp8 nomenclature denotes an 8-bit displacement that follows the ModR/M byte and that is sign-extended and added to the
index.
N O T E S :
1. The [--][--] nomenclature means a SIB follows the ModR/M byte.
2. The disp32 nomenclature denotes a 32-bit displacement that follows the ModR/M byte (or the SIB byte if one is present) and that is
added to the index.
3. The disp8 nomenclature denotes an 8-bit displacement that follows the ModR/M byte (or the SIB byte if one is present) and that is
sign-extended and added to the index.
Table 2-3 is organized to give 256 possible values of the SIB byte (in hexadecimal). General purpose registers used
as a base are indicated across the top of the table, along with corresponding values for the SIB bytes base field.
Table rows in the body of the table indicate the register used as the index (SIB byte bits 3, 4 and 5) and the scaling
factor (determined by SIB byte bits 6 and 7).
Ta b le 2 -2 . 3 2 -B it Ad d re ssin g Fo rm s w it h t h e Mo d R /M B y t e
r8(/r)
r16(/r)
r32(/r)
mm(/r)
xmm(/r)
(In decimal) /digit (Opcode)
(In binary) REG =
AL
AX
EAX
MM0
XMM0
0
000
CL
CX
ECX
MM1
XMM1
1
001
DL
DX
EDX
MM2
XMM2
2
010
BL
BX
EBX
MM3
XMM3
3
011
AH
SP
ESP
MM4
XMM4
4
100
CH
BP
EBP
MM5
XMM5
5
101
DH
SI
ESI
MM6
XMM6
6
110
BH
DI
EDI
MM7
XMM7
7
111
E ffe ct iv e Ad d re ss Mo d R /M Va lu e o f Mo d R /M B y t e (in H e x a d e cim a l)
[EAX]
[ECX]
[EDX]
[EBX]
[--][--]
1
disp32
2
[ESI]
[EDI]
00 000
001
010
011
100
101
110
111
00
01
02
03
04
05
06
07
08
09
0A
0B
0C
0D
0E
0F
10
11
12
13
14
15
16
17
18
19
1A
1B
1C
1D
1E
1F
20
21
22
23
24
25
26
27
28
29
2A
2B
2C
2D
2E
2F
30
31
32
33
34
35
36
37
38
39
3A
3B
3C
3D
3E
3F
[EAX]+disp8
3
[ECX]+disp8
[EDX]+disp8
[EBX]+disp8
[--][--]+disp8
[EBP]+disp8
[ESI]+disp8
[EDI]+disp8
01 000
001
010
011
100
101
110
111
40
41
42
43
44
45
46
47
48
49
4A
4B
4C
4D
4E
4F
50
51
52
53
54
55
56
57
58
59
5A
5B
5C
5D
5E
5F
60
61
62
63
64
65
66
67
68
69
6A
6B
6C
6D
6E
6F
70
71
72
73
74
75
76
77
78
79
7A
7B
7C
7D
7E
7F
[EAX]+disp32
[ECX]+disp32
[EDX]+disp32
[EBX]+disp32
[--][--]+disp32
[EBP]+disp32
[ESI]+disp32
[EDI]+disp32
10 000
001
010
011
100
101
110
111
80
81
82
83
84
85
86
87
88
89
8A
8B
8C
8D
8E
8F
90
91
92
93
94
95
96
97
98
99
9A
9B
9C
9D
9E
9F
A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
AA
AB
AC
AD
AE
AF
B0
B1
B2
B3
B4
B5
B6
B7
B8
B9
BA
BB
BC
BD
BE
BF
EAX/AX/AL/MM0/XMM0
ECX/CX/CL/MM/XMM1
EDX/DX/DL/MM2/XMM2
EBX/BX/BL/MM3/XMM3
ESP/SP/AH/MM4/XMM4
EBP/BP/CH/MM5/XMM5
ESI/SI/DH/MM6/XMM6
EDI/DI/BH/MM7/XMM7
11 000
001
010
011
100
101
110
111
C0
C1
C2
C3
C4
C5
C6
C7
C8
C9
CA
CB
CC
CD
CE
CF
D0
D1
D2
D3
D4
D5
D6
D7
D8
D9
DA
DB
DC
DD
DE
DF
E0
E1
E2
E3
E4
E5
E6
E7
E8
E9
EA
EB
EC
ED
EE
EF
F0
F1
F2
F3
F4
F5
F6
F7
F8
F9
FA
FB
FC
FD
FE
FF
2-6 Vol. 2A
INSTRUCTION FORMAT
N O T E S :
1. The [*] nomenclature means a disp32 with no base if the MOD is 00B. Otherwise, [*] means disp8 or disp32 +[EBP]. This provides the
following address modes:
MOD bits Effective Address
00 [scaled index] +disp32
01 [scaled index] +disp8 +[EBP]
10 [scaled index] +disp32 +[EBP]
2 .2 I A-3 2 E MO D E
IA-32e mode has two sub-modes. These are:
Compatibility Mode. Enables a 64-bit operating system to run most legacy protected mode software
unmodified.
64-Bit Mode. Enables a 64-bit operating system to run applications written to access 64-bit address space.
Ta b le 2 -3 . 3 2 -B it Ad d re ssin g Fo rm s w it h t h e S I B B y t e
r32
(In decimal) Base =
(In binary) Base =
EAX
0
000
ECX
1
001
EDX
2
010
EBX
3
011
ESP
4
100
[*]
5
101
ESI
6
110
EDI
7
111
S ca le d I n d e x S S I n d e x Va lu e o f S I B B y t e (in H e x a d e cim a l)
[EAX]
[ECX]
[EDX]
[EBX]
none
[EBP]
[ESI]
[EDI]
00 000
001
010
011
100
101
110
111
00
08
10
18
20
28
30
38
01
09
11
19
21
29
31
39
02
0A
12
1A
22
2A
32
3A
03
0B
13
1B
23
2B
33
3B
04
0C
14
1C
24
2C
34
3C
05
0D
15
1D
25
2D
35
3D
06
0E
16
1E
26
2E
36
3E
07
0F
17
1F
27
2F
37
3F
[EAX*2]
[ECX*2]
[EDX*2]
[EBX*2]
none
[EBP*2]
[ESI*2]
[EDI*2]
01 000
001
010
011
100
101
110
111
40
48
50
58
60
68
70
78
41
49
51
59
61
69
71
79
42
4A
52
5A
62
6A
72
7A
43
4B
53
5B
63
6B
73
7B
44
4C
54
5C
64
6C
74
7C
45
4D
55
5D
65
6D
75
7D
46
4E
56
5E
66
6E
76
7E
47
4F
57
5F
67
6F
77
7F
[EAX*4]
[ECX*4]
[EDX*4]
[EBX*4]
none
[EBP*4]
[ESI*4]
[EDI*4]
10 000
001
010
011
100
101
110
111
80
88
90
98
A0
A8
B0
B8
81
89
91
99
A1
A9
B1
B9
82
8A
92
9A
A2
AA
B2
BA
83
8B
93
9B
A3
AB
B3
BB
84
8C
94
9C
A4
AC
B4
BC
85
8D
95
9D
A5
AD
B5
BD
86
8E
96
9E
A6
AE
B6
BE
87
8F
97
9F
A7
AF
B7
BF
[EAX*8]
[ECX*8]
[EDX*8]
[EBX*8]
none
[EBP*8]
[ESI*8]
[EDI*8]
11 000
001
010
011
100
101
110
111
C0
C8
D0
D8
E0
E8
F0
F8
C1
C9
D1
D9
E1
E9
F1
F9
C2
CA
D2
DA
E2
EA
F2
FA
C3
CB
D3
DB
E3
EB
F3
FB
C4
CC
D4
DC
E4
EC
F4
FC
C5
CD
D5
DD
E5
ED
F5
FD
C6
CE
D6
DE
E6
EE
F6
FE
C7
CF
D7
DF
E7
EF
F7
FF
Vol. 2A 2-7
INSTRUCTION FORMAT
2 .2 .1 R E X P re fixe s
REX prefixes are instruction-prefix bytes used in 64-bit mode. They do the following:
Specify GPRs and SSE registers.
Specify 64-bit operand size.
Specify extended control registers.
Not all instructions require a REX prefix in 64-bit mode. A prefix is necessary only if an instruction references one
of the extended registers or uses a 64-bit operand. If a REX prefix is used when it has no meaning, it is ignored.
Only one REX prefix is allowed per instruction. If used, the REX prefix byte must immediately precede the opcode
byte or the escape opcode byte (0FH). When a REX prefix is used in conjunction with an instruction containing a
mandatory prefix, the mandatory prefix must come before the REX so the REX prefix can be immediately preceding
the opcode or the escape byte. For example, CVTDQ2PD with a REX prefix should have REX placed between F3 and
0F E6. Other placements are ignored. The instruction-size limit of 15 bytes still applies to instructions with a REX
prefix. See Figure 2-3.
2 .2 .1 .1 E n co d in g
Intel 64 and IA-32 instruction formats specify up to three registers by using 3-bit fields in the encoding, depending
on the format:
ModR/M: the reg and r/m fields of the ModR/M byte
ModR/M with SIB: the reg field of the ModR/M byte, the base and index fields of the SIB (scale, index, base)
byte
Instructions without ModR/M: the reg field of the opcode
In 64-bit mode, these formats do not change. Bits needed to define fields in the 64-bit context are provided by the
addition of REX prefixes.
2 .2 .1 .2 Mo re o n R E X P re fix F ie ld s
REX prefixes are a set of 16 opcodes that span one row of the opcode map and occupy entries 40H to 4FH. These
opcodes represent valid instructions (INC or DEC) in IA-32 operating modes and in compatibility mode. In 64-bit
mode, the same opcodes represent the instruction prefix REX and are not treated as individual instructions.
The single-byte-opcode form of INC/DEC instruction not available in 64-bit mode. INC/DEC functionality is still
available using ModR/M forms of the same instructions (opcodes FF/0 and FF/1).
See Table 2-4 for a summary of the REX prefix format. Figure 2-4 though Figure 2-7 show examples of REX prefix
fields in use. Some combinations of REX prefix fields are invalid. In such cases, the prefix is ignored. Some addi-
tional information follows:
Setting REX.W can be used to determine the operand size but does not solely determine operand width. Like
the 66H size prefix, 64-bit operand size override has no effect on byte-specific operations.
For non-byte operations: if a 66H prefix is used with prefix (REX.W = 1), 66H is ignored.
If a 66H override is used with REX and REX.W = 0, the operand size is 16 bits.
F ig u re 2 -3 . P re f ix O rd e rin g in 6 4 -b it Mo d e
REX
Immediate data
of 1, 2, or 4
bytes or none
Address
displacement of
1, 2, or 4 bytes
1 byte
(if required)
1 byte
(if required)
1-, 2-, or
3-byte
opcode
(optional) Grp 1, Grp
2, Grp 3,
Grp 4
(optional)
Legacy
Prefix
Opcode ModR/M
SIB Displacement Immediate
Prefixes
2-8 Vol. 2A
INSTRUCTION FORMAT
REX.R modifies the ModR/M reg field when that field encodes a GPR, SSE, control or debug register. REX.R is
ignored when ModR/M specifies other registers or defines an extended opcode.
REX.X bit modifies the SIB index field.
REX.B either modifies the base in the ModR/M r/m field or SIB base field; or it modifies the opcode reg field
used for accessing GPRs.
Ta b le 2 -4 . R E X P re f ix F ie ld s [B I T S : 0 1 0 0 WR XB ]
F ie ld N a m e B it P o sit io n D e fin it io n
- 7:4 0100
W 3 0 =Operand size determined by CS.D
1 =64 Bit Operand Size
R 2 Extension of the ModR/M reg field
X 1 Extension of the SIB index field
B 0 Extension of the ModR/M r/m field, SIB base field, or Opcode reg field
F ig u re 2 -4 . Me m o ry Ad d re ssin g Wit h o u t a n S I B B y t e ; R E X.X N o t U se d
F ig u re 2 -5 . R e g ist e r-R e g ist e r Ad d re ssi n g (N o Me m o ry O p e ra n d ); R E X .X N o t U se d
REX PREFX
0100WR0B
Opcode mod
11
reg r/m
Rrrr Bbbb
ModRM Byte
rrr bbb
OM17Xfig1-3
REX PREFX
0100WR0B
Opcode mod
11
reg r/m
Rrrr Bbbb
ModRM Byte
rrr bbb
OM17Xfig1-4
Vol. 2A 2-9
INSTRUCTION FORMAT
In the IA-32 architecture, byte registers (AH, AL, BH, BL, CH, CL, DH, and DL) are encoded in the ModR/M bytes
reg field, the r/m field or the opcode reg field as registers 0 through 7. REX prefixes provide an additional
addressing capability for byte-registers that makes the least-significant byte of GPRs available for byte operations.
Certain combinations of the fields of the ModR/M byte and the SIB byte have special meaning for register encod-
ings. For some combinations, fields expanded by the REX prefix are not decoded. Table 2-5 describes how each
case behaves.
F ig u re 2 -6 . Me m o ry Ad d re ssin g Wit h a S I B B y t e
F ig u re 2 -7. R e g ist e r O p e ra n d C o d e d in O p co d e B y t e ; R E X .X & R E X.R N o t U se d
mod
11
ModRM Byte
r/m
100
reg
rrr
scale
ss
SB Byte
REX PREFX
0100WRXB
Opcode
Rrrr
base
Bbbb
bbb
Xxxx
index
xxx
OM17Xfig1-5
REX PREFX
0100W00B
Opcode
Bbbb
reg
bbb
OM17Xfig1-6
2-10 Vol. 2A
INSTRUCTION FORMAT
2 .2 .1 .3 D isp la ce m e n t
Addressing in 64-bit mode uses existing 32-bit ModR/M and SIB encodings. The ModR/M and SIB displacement
sizes do not change. They remain 8 bits or 32 bits and are sign-extended to 64 bits.
2 .2 .1 .4 D ire ct Me m o ry -O f fse t MO Vs
In 64-bit mode, direct memory-offset forms of the MOV instruction are extended to specify a 64-bit immediate
absolute address. This address is called a moffset. No prefix is needed to specify this 64-bit memory offset. For
these MOV instructions, the size of the memory offset follows the address-size default (64 bits in 64-bit mode). See
Table 2-6.
2 .2 .1 .5 I m m e d ia t e s
In 64-bit mode, the typical size of immediate operands remains 32 bits. When the operand size is 64 bits, the
processor sign-extends all immediates to 64 bits prior to their use.
Support for 64-bit immediate operands is accomplished by expanding the semantics of the existing move (MOV
reg, imm16/32) instructions. These instructions (opcodes B8H BFH) move 16-bits or 32-bits of immediate data
(depending on the effective operand size) into a GPR. When the effective operand size is 64 bits, these instructions
can be used to load an immediate into a GPR. A REX prefix is needed to override the 32-bit default operand size to
a 64-bit operand size.
For example:
48 B8 8877665544332211 MOV RAX,1122334455667788H
Ta b le 2 -5 . S p e cia l C a se s o f R E X E n co d in g s
Mo d R /M o r
S I B
S u b -fie ld
E n co d in g s
C o m p a t ib ilit y Mo d e
O p e ra t io n
C o m p a t ib ilit y Mo d e
I m p lica t io n s Ad d it io n a l I m p lica t io n s
ModR/M Byte mod !=11 SIB byte present. SIB byte required for
ESP-based addressing.
REX prefix adds a fourth bit (b) which is not decoded
(don't care).
SIB byte also required for R12-based addressing.
r/m =
b*100(ESP)
ModR/M Byte mod =0 Base register not
used.
EBP without a
displacement must be
done using
mod =01 with
displacement of 0.
REX prefix adds a fourth bit (b) which is not decoded
(don't care).
Using RBP or R13 without displacement must be done
using mod =01 with a displacement of 0.
r/m =
b*101(EBP)
SIB Byte index =
0100(ESP)
Index register not
used.
ESP cannot be used as
an index register.
REX prefix adds a fourth bit (b) which is decoded.
There are no additional implications. The expanded
index field allows distinguishing RSP fromR12,
therefore R12 can be used as an index.
SIB Byte base =
0101(EBP)
Base register is
unused if mod =0.
Base register depends
on mod encoding.
REX prefix adds a fourth bit (b) which is not decoded.
This requires explicit displacement to be used with
EBP/RBP or R13.
N O T E S :
* Dont care about value of REX.B
Ta b le 2 -6 . D ire ct Me m o ry O f fse t Fo rm o f MO V
O p co d e I n st ru ct io n
A0 MOV AL, moffset
A1 MOV EAX, moffset
A2 MOV moffset, AL
A3 MOV moffset, EAX
Vol. 2A 2-11
INSTRUCTION FORMAT
2 .2 .1 .6 R I P -R e la t iv e Ad d re ssin g
A new addressing form, RIP-relative (relative instruction-pointer) addressing, is implemented in 64-bit mode. An
effective address is formed by adding displacement to the 64-bit RIP of the next instruction.
In IA-32 architecture and compatibility mode, addressing relative to the instruction pointer is available only with
control-transfer instructions. In 64-bit mode, instructions that use ModR/M addressing can use RIP-relative
addressing. Without RIP-relative addressing, all ModR/M instruction modes address memory relative to zero.
RIP-relative addressing allows specific ModR/M modes to address memory relative to the 64-bit RIP using a signed
32-bit displacement. This provides an offset range of 2GB from the RIP. Table 2-7 shows the ModR/M and SIB
encodings for RIP-relative addressing. Redundant forms of 32-bit displacement-addressing exist in the current
ModR/M and SIB encodings. There is one ModR/M encoding and there are several SIB encodings. RIP-relative
addressing is encoded using a redundant form.
In 64-bit mode, the ModR/M Disp32 (32-bit displacement) encoding is re-defined to be RIP+Disp32 rather than
displacement-only. See Table 2-7.
The ModR/M encoding for RIP-relative addressing does not depend on using prefix. Specifically, the r/m bit field
encoding of 101B (used to select RIP-relative addressing) is not affected by the REX prefix. For example, selecting
R13 (REX.B = 1, r/m = 101B) with mod = 00B still results in RIP-relative addressing. The 4-bit r/m field of REX.B
combined with ModR/M is not fully decoded. In order to address R13 with no displacement, software must encode
R13 + 0 using a 1-byte displacement of zero.
RIP-relative addressing is enabled by 64-bit mode, not by a 64-bit address-size. The use of the address-size prefix
does not disable RIP-relative addressing. The effect of the address-size prefix is to truncate and zero-extend the
computed effective address to 32 bits.
2 .2 .1 .7 D e f a u lt 6 4 -B it O p e ra n d S ize
In 64-bit mode, two groups of instructions have a default operand size of 64 bits (do not need a REX prefix for this
operand size). These are:
Near branches
All instructions, except far branches, that implicitly reference the RSP
2 .2 .2 Ad d it io n a l E n co d in g s fo r C o n t ro l a n d D e b u g R e g ist e rs
In 64-bit mode, more encodings for control and debug registers are available. The REX.R bit is used to modify the
ModR/M reg field when that field encodes a control or debug register (see Table 2-4). These encodings enable the
processor to address CR8-CR15 and DR8- DR15. An additional control register (CR8) is defined in 64-bit mode.
CR8 becomes the Task Priority Register (TPR).
In the first implementation of IA-32e mode, CR9-CR15 and DR8-DR15 are not implemented. Any attempt to access
unimplemented registers results in an invalid-opcode exception (#UD).
Ta b le 2 -7. R I P -R e la t iv e Ad d re ssin g
Mo d R /M a n d S I B S u b -fie ld E n co d in g s C o m p a t ib ilit y Mo d e
O p e ra t io n
6 4 -b it Mo d e
O p e ra t io n
Ad d it io n a l I m p lica t io n s in 6 4 -b it m o d e
ModR/M Byte mod =00 Disp32 RIP +Disp32 Must use SIB form with normal (zero-based)
displacement addressing
r/m =101 (none)
SIB Byte base =101 (none) if mod =00, Disp32 Same as legacy None
index =100 (none)
scale =0, 1, 2, 4
2-12 Vol. 2A
INSTRUCTION FORMAT
2 .3 I N T E L AD VAN C E D VE C T O R E XT E N S I O N S (I N T E L AV X)
Intel AVX instructions are encoded using an encoding scheme that combines prefix bytes, opcode extension field,
operand encoding fields, and vector length encoding capability into a new prefix, referred to as VEX. In the VEX
encoding scheme, the VEX prefix may be two or three bytes long, depending on the instruction semantics. Despite
the two-byte or three-byte length of the VEX prefix, the VEX encoding format provides a more compact represen-
tation/packing of the components of encoding an instruction in Intel 64 architecture. The VEX encoding scheme
also allows more headroom for future growth of Intel 64 architecture.
2 .3 .1 I n st ru ct io n Fo rm a t
Instruction encoding using VEX prefix provides several advantages:
Instruction syntax support for three operands and up-to four operands when necessary. For example, the third
source register used by VBLENDVPD is encoded using bits 7:4 of the immediate byte.
Encoding support for vector length of 128 bits (using XMM registers) and 256 bits (using YMM registers)
Encoding support for instruction syntax of non-destructive source operands.
Elimination of escape opcode byte (0FH), SIMD prefix byte (66H, F2H, F3H) via a compact bit field represen-
tation within the VEX prefix.
Elimination of the need to use REX prefix to encode the extended half of general-purpose register sets (R8-R15)
for direct register access, memory addressing, or accessing XMM8-XMM15 (including YMM8-YMM15).
Flexible and more compact bit fields are provided in the VEX prefix to retain the full functionality provided by
REX prefix. REX.W, REX.X, REX.B functionalities are provided in the three-byte VEX prefix only because only a
subset of SIMD instructions need them.
Extensibility for future instruction extensions without significant instruction length increase.
Figure 2-8 shows the Intel 64 instruction encoding format with VEX prefix support. Legacy instruction without a
VEX prefix is fully supported and unchanged. The use of VEX prefix in an Intel 64 instruction is optional, but a VEX
prefix is required for Intel 64 instructions that operate on YMM registers or support three and four operand syntax.
VEX prefix is not a constant-valued, single-purpose byte like 0FH, 66H, F2H, F3H in legacy SSE instructions. VEX
prefix provides substantially richer capability than the REX prefix.
F ig u re 2 -8 . I n st ru ct io n E n co d in g Fo rm a t w it h VE X P re f ix
2 .3 .2 VE X a n d t h e LO C K p re fix
Any VEX-encoded instruction with a LOCK prefix preceding VEX will #UD.
2 .3 .3 VE X a n d t h e 6 6 H , F 2 H , a n d F 3 H p re fixe s
Any VEX-encoded instruction with a 66H, F2H, or F3H prefix preceding VEX will #UD.
2 .3 .4 VE X a n d t h e R E X p re fix
Any VEX-encoded instruction with a REX prefix proceeding VEX will #UD.
ModR/M
1
[Prefixes] [VEX] OPCODE [SIB] [DISP] [IMM]
2,3 1 0,1 0,1,2,4 0,1 # Bytes
Vol. 2A 2-13
INSTRUCTION FORMAT
2 .3 .5 T h e VE X P re fix
The VEX prefix is encoded in either the two-byte form (the first byte must be C5H) or in the three-byte form (the
first byte must be C4H). The two-byte VEX is used mainly for 128-bit, scalar, and the most common 256-bit AVX
instructions; while the three-byte VEX provides a compact replacement of REX and 3-byte opcode instructions
(including AVX and FMA instructions). Beyond the first byte of the VEX prefix, it consists of a number of bit fields
providing specific capability, they are shown in Figure 2-9.
The bit fields of the VEX prefix can be summarized by its functional purposes:
Non-destructive source register encoding (applicable to three and four operand syntax): This is the first source
operand in the instruction syntax. It is represented by the notation, VEX.vvvv. This field is encoded using 1s
complement form (inverted form), i.e. XMM0/YMM0/R0 is encoded as 1111B, XMM15/YMM15/R15 is encoded
as 0000B.
Vector length encoding: This 1-bit field represented by the notation VEX.L. L= 0 means vector length is 128 bits
wide, L=1 means 256 bit vector. The value of this field is written as VEX.128 or VEX.256 in this document to
distinguish encoded values of other VEX bit fields.
REX prefix functionality: Full REX prefix functionality is provided in the three-byte form of VEX prefix. However
the VEX bit fields providing REX functionality are encoded using 1s complement form, i.e. XMM0/YMM0/R0 is
encoded as 1111B, XMM15/YMM15/R15 is encoded as 0000B.
Two-byte form of the VEX prefix only provides the equivalent functionality of REX.R, using 1s complement
encoding. This is represented as VEX.R.
Three-byte form of the VEX prefix provides REX.R, REX.X, REX.B functionality using 1s complement
encoding and three dedicated bit fields represented as VEX.R, VEX.X, VEX.B.
Three-byte form of the VEX prefix provides the functionality of REX.W only to specific instructions that need
to override default 32-bit operand size for a general purpose register to 64-bit size in 64-bit mode. For
those applicable instructions, VEX.W field provides the same functionality as REX.W. VEX.W field can
provide completely different functionality for other instructions.
Consequently, the use of REX prefix with VEX encoded instructions is not allowed. However, the intent of the
REX prefix for expanding register set is reserved for future instruction set extensions using VEX prefix
encoding format.
Compaction of SIMD prefix: Legacy SSE instructions effectively use SIMD prefixes (66H, F2H, F3H) as an
opcode extension field. VEX prefix encoding allows the functional capability of such legacy SSE instructions
(operating on XMM registers, bits 255:128 of corresponding YMM unmodified) to be encoded using the VEX.pp
field without the presence of any SIMD prefix. The VEX-encoded 128-bit instruction will zero-out bits 255:128
of the destination register. VEX-encoded instruction may have 128 bit vector length or 256 bits length.
Compaction of two-byte and three-byte opcode: More recently introduced legacy SSE instructions employ two
and three-byte opcode. The one or two leading bytes are: 0FH, and 0FH 3AH/0FH 38H. The one-byte escape
(0FH) and two-byte escape (0FH 3AH, 0FH 38H) can also be interpreted as an opcode extension field. The
VEX.mmmmm field provides compaction to allow many legacy instruction to be encoded without the constant
byte sequence, 0FH, 0FH 3AH, 0FH 38H. These VEX-encoded instruction may have 128 bit vector length or 256
bits length.
The VEX prefix is required to be the last prefix and immediately precedes the opcode bytes. It must follow any
other prefixes. If VEX prefix is present a REX prefix is not supported.
The 3-byte VEX leaves room for future expansion with 3 reserved bits. REX and the 66h/F2h/F3h prefixes are
reclaimed for future use.
VEX prefix has a two-byte form and a three byte form. If an instruction syntax can be encoded using the two-byte
form, it can also be encoded using the three byte form of VEX. The latter increases the length of the instruction by
one byte. This may be helpful in some situations for code alignment.
The VEX prefix supports 256-bit versions of floating-point SSE, SSE2, SSE3, and SSE4 instructions. Note, certain
new instruction functionality can only be encoded with the VEX prefix.
The VEX prefix will #UD on any instruction containing MMX register sources or destinations.
2-14 Vol. 2A
INSTRUCTION FORMAT
F ig u re 2 -9 . VE X b it f ie ld s
The following subsections describe the various fields in two or three-byte VEX prefix:
2 .3 .5 .1 VE X B y t e 0 , b it s[7 :0 ]
VEX Byte 0, bits [7:0] must contain the value 11000101b (C5h) or 11000100b (C4h). The 3-byte VEX uses the C4h
first byte, while the 2-byte VEX uses the C5h first byte.
2 .3 .5 .2 VE X B y t e 1 , b it [7 ] - R
VEX Byte 1, bit [7] contains a bit analogous to a bit inverted REX.R. In protected and compatibility modes the bit
must be set to 1 otherwise the instruction is LES or LDS.
11000100 1
6 7 0

vvvv
1 0 3 2
L
7
R: REX.R in 1s complement (inverted) form
00000: Reserved for future use (will #UD)
00001: implied 0F leading opcode byte
00010: implied 0F 38 leading opcode bytes
00011: implied 0F 3A leading opcode bytes
00100-11111: Reserved for future use (will #UD)
Byte 0
Byte 2
(Bit Position)
vvvv: a register specifier (in 1s complement form) or 1111 if unused.
6 7 0
R X B
Byte 1
pp: opcode extension providing equivalent functionality of a SIMD prefix
W: opcode specific (use like REX.W, or used for opcode
m-mmmm
5
m-mmmm:
W
L: Vector Length
0: Same as REX.R=1 (64-bit mode only)
1: Same as REX.R=0 (must be 1 in 32-bit mode)
4
pp
3-byte VEX
11000101 1
6 7 0
vvvv
1 0 3 2
L
7
R pp 2-byte VEX
B: REX.B in 1s complement (inverted) form
0: Same as REX.B=1 (64-bit mode only)
1: Same as REX.B=0 (Ignored in 32-bit mode).
extension, or ignored, depending on the opcode byte)
0: scalar or 128-bit vector
1: 256-bit vector
00: None
01: 66
10: F3
11: F2
0: Same as REX.X=1 (64-bit mode only)
1: Same as REX.X=0 (must be 1 in 32-bit mode)
X: REX.X in 1s complement (inverted) form
Vol. 2A 2-15
INSTRUCTION FORMAT
This bit is present in both 2- and 3-byte VEX prefixes.
The usage of WRXB bits for legacy instructions is explained in detail section 2.2.1.2 of Intel 64 and IA-32 Architec-
tures Software developers manual, Volume 2A.
This bit is stored in bit inverted format.
2 .3 .5 .3 3 -b y t e VE X b y t e 1 , b it [6 ] - X
Bit[6] of the 3-byte VEX byte 1 encodes a bit analogous to a bit inverted REX.X. It is an extension of the SIB Index
field in 64-bit modes. In 32-bit modes, this bit must be set to 1 otherwise the instruction is LES or LDS.
This bit is available only in the 3-byte VEX prefix.
This bit is stored in bit inverted format.
2 .3 .5 .4 3 -b y t e VE X b y t e 1 , b it [5 ] - B
Bit[5] of the 3-byte VEX byte 1 encodes a bit analogous to a bit inverted REX.B. In 64-bit modes, it is an extension
of the ModR/M r/m field, or the SIB base field. In 32-bit modes, this bit is ignored.
This bit is available only in the 3-byte VEX prefix.
This bit is stored in bit inverted format.
2 .3 .5 .5 3 -b y t e VE X b y t e 2 , b it [7 ] - W
Bit[7] of the 3-byte VEX byte 2 is represented by the notation VEX.W. It can provide following functions, depending
on the specific opcode.
For AVX instructions that have equivalent legacy SSE instructions (typically these SSE instructions have a
general-purpose register operand with its operand size attribute promotable by REX.W), if REX.W promotes
the operand size attribute of the general-purpose register operand in legacy SSE instruction, VEX.W has
same meaning in the corresponding AVX equivalent form. In 32-bit modes, VEX.W is silently ignored.
For AVX instructions that have equivalent legacy SSE instructions (typically these SSE instructions have oper-
ands with their operand size attribute fixed and not promotable by REX.W), if REX.W is dont care in legacy
SSE instruction, VEX.W is ignored in the corresponding AVX equivalent form irrespective of mode.
For new AVX instructions where VEX.W has no defined function (typically these meant the combination of the
opcode byte and VEX.mmmmm did not have any equivalent SSE functions), VEX.W is reserved as zero and
setting to other than zero will cause instruction to #UD.
2 .3 .5 .6 2 -b y t e VE X B y t e 1 , b it s[6 :3 ] a n d 3 -b y t e VE X B y t e 2 , b it s [6 :3 ]- v v v v t h e S o u rce o r d e st
R e g ist e r S p e cif ie r
In 32-bit mode the VEX first byte C4 and C5 alias onto the LES and LDS instructions. To maintain compatibility with
existing programs the VEX 2nd byte, bits [7:6] must be 11b. To achieve this, the VEX payload bits are selected to
place only inverted, 64-bit valid fields (extended register selectors) in these upper bits.
The 2-byte VEX Byte 1, bits [6:3] and the 3-byte VEX, Byte 2, bits [6:3] encode a field (shorthand VEX.vvvv) that
for instructions with 2 or more source registers and an XMM or YMM or memory destination encodes the first source
register specifier stored in inverted (1s complement) form.
VEX.vvvv is not used by the instructions with one source (except certain shifts, see below) or on instructions with
no XMM or YMM or memory destination. If an instruction does not use VEX.vvvv then it should be set to 1111b
otherwise instruction will #UD.
In 64-bit mode all 4 bits may be used. See Table 2-8 for the encoding of the XMM or YMM registers. In 32-bit and
16-bit modes bit 6 must be 1 (if bit 6 is not 1, the 2-byte VEX version will generate LDS instruction and the 3-byte
VEX version will ignore this bit).
2-16 Vol. 2A
INSTRUCTION FORMAT
Ta b le 2 -8 . VE X.v v v v t o re g ist e r n a m e m a p p in g
The VEX.vvvv field is encoded in bit inverted format for accessing a register operand.
2 .3 .6 I n st ru ct io n O p e ra n d E n co d in g a n d VE X.v v v v, Mo d R /M
VEX-encoded instructions support three-operand and four-operand instruction syntax. Some VEX-encoded instruc-
tions have syntax with less than three operands, e.g. VEX-encoded pack shift instructions support one source
operand and one destination operand).
The roles of VEX.vvvv, reg field of ModR/M byte (ModR/M.reg), r/m field of ModR/M byte (ModR/M.r/m) with
respect to encoding destination and source operands vary with different type of instruction syntax.
The role of VEX.vvvv can be summarized to three situations:
VEX.vvvv encodes the first source register operand, specified in inverted (1s complement) form and is valid for
instructions with 2 or more source operands.
VEX.vvvv encodes the destination register operand, specified in 1s complement form for certain vector shifts.
The instructions where VEX.vvvv is used as a destination are listed in Table 2-9. The notation in the Opcode
column in Table 2-9 is described in detail in section 3.1.1.
VEX.vvvv does not encode any operand, the field is reserved and should contain 1111b.
Ta b le 2 -9 . I n st ru ct io n s w it h a VE X.v v v v d e st in a t io n
VE X.v v v v D e st R e g ist e r Va lid in Le g a cy /C o m p a t ib ilit y 3 2 -b it m o d e s?
1111B XMM0/YMM0 Valid
1110B XMM1/YMM1 Valid
1101B XMM2/YMM2 Valid
1100B XMM3/YMM3 Valid
1011B XMM4/YMM4 Valid
1010B XMM5/YMM5 Valid
1001B XMM6/YMM6 Valid
1000B XMM7/YMM7 Valid
0111B XMM8/YMM8 Invalid
0110B XMM9/YMM9 Invalid
0101B XMM10/YMM10 Invalid
0100B XMM11/YMM11 Invalid
0011B XMM12/YMM12 Invalid
0010B XMM13/YMM13 Invalid
0001B XMM14/YMM14 Invalid
0000B XMM15/YMM15 Invalid
O p co d e I n st ru ct io n m n e m o n ic
VEX.NDD.128.66.0F 73 /7 ib VPSLLDQ xmm1, xmm2, imm8
VEX.NDD.128.66.0F 73 /3 ib VPSRLDQ xmm1, xmm2, imm8
VEX.NDD.128.66.0F 71 /2 ib VPSRLW xmm1, xmm2, imm8
VEX.NDD.128.66.0F 72 /2 ib VPSRLD xmm1, xmm2, imm8
VEX.NDD.128.66.0F 73 /2 ib VPSRLQ xmm1, xmm2, imm8
VEX.NDD.128.66.0F 71 /4 ib VPSRAW xmm1, xmm2, imm8
VEX.NDD.128.66.0F 72 /4 ib VPSRAD xmm1, xmm2, imm8
VEX.NDD.128.66.0F 71 /6 ib VPSLLW xmm1, xmm2, imm8
VEX.NDD.128.66.0F 72 /6 ib VPSLLD xmm1, xmm2, imm8
VEX.NDD.128.66.0F 73 /6 ib VPSLLQ xmm1, xmm2, imm8
Vol. 2A 2-17
INSTRUCTION FORMAT
The role of ModR/M.r/m field can be summarized to two situations:
ModR/M.r/m encodes the instruction operand that references a memory address.
For some instructions that do not support memory addressing semantics, ModR/M.r/m encodes either the
destination register operand or a source register operand.
The role of ModR/M.reg field can be summarized to two situations:
ModR/M.reg encodes either the destination register operand or a source register operand.
For some instructions, ModR/M.reg is treated as an opcode extension and not used to encode any instruction
operand.
For instruction syntax that support four operands, VEX.vvvv, ModR/M.r/m, ModR/M.reg encodes three of the four
operands. The role of bits 7:4 of the immediate byte serves the following situation:
Imm8[7:4] encodes the third source register operand.
2 .3 .6 .1 3 -b y t e VE X b y t e 1 , b it s[4 :0 ] - m -m m m m
Bits[4:0] of the 3-byte VEX byte 1 encode an implied leading opcode byte (0F, 0F 38, or 0F 3A). Several bits are
reserved for future use and will #UD unless 0.
Ta b le 2 -1 0 . VE X.m -m m m m in t e rp re t a t io n
VEX.m-mmmm is only available on the 3-byte VEX. The 2-byte VEX implies a leading 0Fh opcode byte.
2 .3 .6 .2 2 -b y t e VE X b y t e 1 , b it [2 ], a n d 3 -b y t e VE X b y t e 2 , b it [2 ]- L
The vector length field, VEX.L, is encoded in bit[2] of either the second byte of 2-byte VEX, or the third byte of 3-
byte VEX. If VEX.L = 1, it indicates 256-bit vector operation. VEX.L = 0 indicates scalar and 128-bit vector oper-
ations.
The instruction VZEROUPPER is a special case that is encoded with VEX.L = 0, although its operation zeros bits
255:128 of all YMM registers accessible in the current operating mode.
See the following table.
Ta b le 2 -1 1 . VE X .L in t e rp re t a t io n
2 .3 .6 .3 2 -b y t e VE X b y t e 1 , b it s[1 :0 ], a n d 3 -b y t e VE X b y t e 2 , b it s [1 :0 ]- p p
Up to one implied prefix is encoded by bits[1:0] of either the 2-byte VEX byte 1 or the 3-byte VEX byte 2. The prefix
behaves as if it was encoded prior to VEX, but after all other encoded prefixes.
See the following table.
VE X.m -m m m m I m p lie d Le a d in g O p co d e B y t e s
00000B Reserved
00001B 0F
00010B 0F 38
00011B 0F 3A
00100-11111B Reserved
(2-byte VEX) 0F
VE X.L Ve cto r Le n g t h
0 128-bit (or 32/64-bit scalar)
1 256-bit
2-18 Vol. 2A
INSTRUCTION FORMAT
Ta b le 2 -1 2 . VE X.p p in t e rp re t a t io n
2 .3 .7 T h e O p co d e B y t e
One (and only one) opcode byte follows the 2 or 3 byte VEX. Legal opcodes are specified in Appendix B, in color.
Any instruction that uses illegal opcode will #UD.
2 .3 .8 T h e MO D R M, S I B , a n d D isp la ce m e n t B y t e s
The encodings are unchanged but the interpretation of reg_field or rm_field differs (see above).
2 .3 .9 T h e T h ird S o u rce O p e ra n d (I m m e d ia t e B y t e )
VEX-encoded instructions can support instruction with a four operand syntax. VBLENDVPD, VBLENDVPS, and
PBLENDVB use imm8[7:4] to encode one of the source registers.
2 .3 .1 0 AV X I n st ru ct io n s a n d t h e U p p e r 1 2 8 -b it s o f YMM re g ist e rs
If an instruction with a destination XMM register is encoded with a VEX prefix, the processor zeroes the upper bits
(above bit 128) of the equivalent YMM register . Legacy SSE instructions without VEX preserve the upper bits.
2 .3 .1 0 .1 Ve ct o r Le n g t h T ra n sit io n a n d P ro g ra m m in g C o n sid e ra t io n s
An instruction encoded with a VEX.128 prefix that loads a YMM register operand operates as follows:
Data is loaded into bits 127:0 of the register
Bits above bit 127 in the register are cleared.
Thus, such an instruction clears bits 255:128 of a destination YMM register on processors with a maximum vector-
register width of 256 bits. In the event that future processors extend the vector registers to greater widths, an
instruction encoded with a VEX.128 or VEX.256 prefix will also clear any bits beyond bit 255. (This is in contrast
with legacy SSE instructions, which have no VEX prefix; these modify only bits 127:0 of any destination register
operand.)
Programmers should bear in mind that instructions encoded with VEX.128 and VEX.256 prefixes will clear any
future extensions to the vector registers. A calling function that uses such extensions should save their state before
calling legacy functions. This is not possible for involuntary calls (e.g., into an interrupt-service routine). It is
recommended that software handling involuntary calls accommodate this by not executing instructions encoded
with VEX.128 and VEX.256 prefixes. In the event that it is not possible or desirable to restrict these instructions,
then software must take special care to avoid actions that would, on future processors, zero the upper bits of vector
registers.
Processors that support further vector-register extensions (defining bits beyond bit 255) will also extend the XSAVE
and XRSTOR instructions to save and restore these extensions. To ensure forward compatibility, software that
handles involuntary calls and that uses instructions encoded with VEX.128 and VEX.256 prefixes should first save
and then restore the vector registers (with any extensions) using the XSAVE and XRSTOR instructions with
save/restore masks that set bits that correspond to all vector-register extensions. Ideally, software should rely on
a mechanism that is cognizant of which bits to set. (E.g., an OS mechanism that sets the save/restore mask bits
for all vector-register extensions that are enabled in XCR0.) Saving and restoring state with instructions other than
XSAVE and XRSTOR will, on future processors with wider vector registers, corrupt the extended state of the vector
p p I m p lie s t h is p re fix a ft e r o t h e r p re f ixe s b u t b e fo re VE X
00B None
01B 66
10B F3
11B F2
Vol. 2A 2-19
INSTRUCTION FORMAT
registers - even if doing so functions correctly on processors supporting 256-bit vector registers. (The same is true
if XSAVE and XRSTOR are used with a save/restore mask that does not set bits corresponding to all supported
extensions to the vector registers.)
2 .3 .1 1 AV X I n st ru ct io n Le n g t h
The AVX instructions described in this document (including VEX and ignoring other prefixes) do not exceed 11
bytes in length, but may increase in the future. The maximum length of an Intel 64 and IA-32 instruction remains
15 bytes.
2 .3 .1 2 Ve ct o r S I B (VS I B ) Me m o ry Ad d re ssin g
In AVX2, an SIB byte that follows the ModR/M byte can support VSIB memory addressing to an array of linear
addresses. VSIB addressing is only supported in a subset of AVX2 instructions. VSIB memory addressing requires
32-bit or 64-bit effective address. In 32-bit mode, VSIB addressing is not supported when address size attribute is
overridden to 16 bits. In 16-bit protected mode, VSIB memory addressing is permitted if address size attribute is
overridden to 32 bits. Additionally, VSIB memory addressing is supported only with VEX prefix.
In VSIB memory addressing, the SIB byte consists of:
The scale field (bit 7:6) specifies the scale factor.
The index field (bits 5:3) specifies the register number of the vector index register, each element in the vector
register specifies an index.
The base field (bits 2:0) specifies the register number of the base register.
Table 2-3 shows the 32-bit VSIB addressing form. It is organized to give 256 possible values of the SIB byte (in
hexadecimal). General purpose registers used as a base are indicated across the top of the table, along with corre-
sponding values for the SIB bytes base field. The register names also include R8L-R15L applicable only in 64-bit
mode (when address size override prefix is used, but the value of VEX.B is not shown in Table 2-3). In 32-bit mode,
R8L-R15L does not apply.
Table rows in the body of the table indicate the vector index register used as the index field and each supported
scaling factor shown separately. Vector registers used in the index field can be XMM or YMM registers. The left-most
column includes vector registers VR8-VR15 (i.e. XMM8/YMM8-XMM15/YMM15), which are only available in 64-bit
mode and does not apply if encoding in 32-bit mode.
Ta b le 2 -1 3 . 3 2 -B it VS I B Ad d re ssin g Fo rm s o f t h e S I B B y t e
r32
(In decimal) Base =
(In binary) Base =
EAX/
R8L
0
000
ECX/
R9L
1
001
EDX/
R10L
2
010
EBX/
R11L
3
011
ESP/
R12L
4
100
EBP/
R13L
1
5
101
ESI/
R14L
6
110
EDI/
R15L
7
111
S ca le d I n d e x S S I n d e x Va lu e o f S I B B y t e (in H e x a d e cim a l)
VR0/VR8
VR1/VR9
VR2/VR10
VR3/VR11
VR4/VR12
VR5/VR13
VR6/VR14
VR7/VR15
*1 00 000
001
010
011
100
101
110
111
00
08
10
18
20
28
30
38
01
09
11
19
21
29
31
39
02
0A
12
1A
22
2A
32
3A
03
0B
13
1B
23
2B
33
3B
04
0C
14
1C
24
2C
34
3C
05
0D
15
1D
25
2D
35
3D
06
0E
16
1E
26
2E
36
3E
07
0F
17
1F
27
2F
37
3F
VR0/VR8
VR1/VR9
VR2/VR10
VR3/VR11
VR4/VR12
VR5/VR13
VR6/VR14
VR7/VR15
*2 01 000
001
010
011
100
101
110
111
40
48
50
58
60
68
70
78
41
49
51
59
61
69
71
79
42
4A
52
5A
62
6A
72
7A
43
4B
53
5B
63
6B
73
7B
44
4C
54
5C
64
6C
74
7C
45
4D
55
5D
65
6D
75
7D
46
4E
56
5E
66
6E
76
7E
47
4F
57
5F
67
6F
77
7F
2-20 Vol. 2A
INSTRUCTION FORMAT
2 .3 .1 2 .1 6 4 -b it Mo d e VS I B Me m o ry Ad d re ssin g
In 64-bit mode VSIB memory addressing uses the VEX.B field and the base field of the SIB byte to encode one of
the 16 general-purpose register as the base register. The VEX.X field and the index field of the SIB byte encode one
of the 16 vector registers as the vector index register.
In 64-bit mode the top row of Table 2-13 base register should be interpreted as the full 64-bit of each register.
2 .4 I N S T R U C T I O N E XC E P T I O N S P E C I F I C AT I O N
To look up the exceptions of legacy 128-bit SIMD instruction, 128-bit VEX-encoded instructions, and 256-bit VEX-
encoded instruction, Table 2-14 summarizes the exception behavior into separate classes, with detailed exception
conditions defined in sub-sections 2.4.1 through 2.5.1. For example, ADDPS contains the entry:
See Exceptions Type 2
In this entry, Type2 can be looked up in Table 2-14.
The instructions corresponding CPUID feature flag can be identified in the fourth column of the Instruction
summary table.
Note: #UD on CPUID feature flags=0 is not guaranteed in a virtualized environment if the hardware supports the
feature flag.
N O T E
Instructions that operate only with MMX, X87, or general-purpose registers are not covered by the
exception classes defined in this section. For instructions that operate on MMX registers, see
Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers
in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3B.
VR0/VR8
VR1/VR9
VR2/VR10
VR3/VR11
VR4/VR12
VR5/VR13
VR6/VR14
VR7/VR15
*4 10 000
001
010
011
100
101
110
111
80
88
90
98
A0
A8
B0
B8
81
89
91
89
A1
A9
B1
B9
82
8A
92
9A
A2
AA
B2
BA
83
8B
93
9B
A3
AB
B3
BB
84
8C
94
9C
A4
AC
B4
BC
85
8D
95
9D
A5
AD
B5
BD
86
8E
96
9E
A6
AE
B6
BE
87
8F
97
9F
A7
AF
B7
BF
VR0/VR8
VR1/VR9
VR2/VR10
VR3/VR11
VR4/VR12
VR5/VR13
VR6/VR14
VR7/VR15
*8 11 000
001
010
011
100
101
110
111
C0
C8
D0
D8
E0
E8
F0
F8
C1
C9
D1
D9
E1
E9
F1
F9
C2
CA
D2
DA
E2
EA
F2
FA
C3
CB
D3
DB
E3
EB
F3
FB
C4
CC
D4
DC
E4
EC
F4
FC
C5
CD
D5
DD
E5
ED
F5
FD
C6
CE
D6
DE
E6
EE
F6
FE
C7
CF
D7
DF
E7
EF
F7
FF
N O T E S :
1. If ModR/M.mod =00b, the base address is zero, then effective address is computed as [scaled vector index] +disp32. Otherwise the
base address is computed as [EBP/R13]+disp, the displacement is either 8 bit or 32 bit depending on the value of ModR/M.mod:
MOD Effective Address
00b [Scaled Vector Register] +Disp32
01b [Scaled Vector Register] +Disp8 +[EBP/R13]
10b [Scaled Vector Register] +Disp32 +[EBP/R13]
Ta b le 2 -1 3 . 3 2 -B it VS I B Ad d re ssin g Fo rm s o f t h e S I B B y t e
Vol. 2A 2-21
INSTRUCTION FORMAT
Ta b le 2 -1 4 . E x ce p t io n cla ss d e scrip t io n
See Table 2-15 for lists of instructions in each exception class.
E x ce p t io n C la ss I n st ru ct io n se t Me m a rg
F lo a t in g -P o in t
E x ce p t io n s (# XM)
Type 1
AVX,
Legacy SSE
16/32 byte explicitly
aligned
none
Type 2
AVX,
Legacy SSE
16/32 byte not explicitly
aligned
yes
Type 3
AVX,
Legacy SSE
<16 byte yes
Type 4
AVX,
Legacy SSE
16/32 byte not explicitly
aligned
no
Type 5
AVX,
Legacy SSE
<16 byte no
Type 6 AVX (no Legacy SSE) Varies (At present, none do)
Type 7
AVX,
Legacy SSE
none none
Type 8 AVX none none
Type 11
F16C 8 or 16 byte, Not explicitly
aligned, no AC#
yes
Type 12
AVX2 Not explicitly aligned, no
AC#
no
2-22 Vol. 2A
INSTRUCTION FORMAT
Ta b le 2 -1 5 . I n st ru ct io n s in e a ch E x ce p t io n C la ss
(*) - Additional exception restrictions are present - see the Instruction description for details
(**) - Instruction behavior on alignment check reporting with mask bits of less than all 1s are the same as with
mask bits of all 1s, i.e. no alignment checks are performed.
E x ce p t io n C la ss I n st ru ct io n
Type 1 (V)MOVAPD, (V)MOVAPS, (V)MOVDQA, (V)MOVNTDQ, (V)MOVNTDQA, (V)MOVNTPD, (V)MOVNTPS
Type 2
(V)ADDPD, (V)ADDPS, (V)ADDSUBPD, (V)ADDSUBPS, (V)CMPPD, (V)CMPPS, (V)CVTDQ2PS, (V)CVTPD2DQ,
(V)CVTPD2PS, (V)CVTPS2DQ, (V)CVTTPD2DQ, (V)CVTTPS2DQ, (V)DIVPD, (V)DIVPS, (V)DPPD*, (V)DPPS*,
VFMADD132PD, VFMADD213PD, VFMADD231PD, VFMADD132PS, VFMADD213PS, VFMADD231PS,
VFMADDSUB132PD, VFMADDSUB213PD, VFMADDSUB231PD, VFMADDSUB132PS, VFMADDSUB213PS,
VFMADDSUB231PS, VFMSUBADD132PD, VFMSUBADD213PD, VFMSUBADD231PD, VFMSUBADD132PS,
VFMSUBADD213PS, VFMSUBADD231PS, VFMSUB132PD, VFMSUB213PD, VFMSUB231PD, VFMSUB132PS,
VFMSUB213PS, VFMSUB231PS, VFNMADD132PD, VFNMADD213PD, VFNMADD231PD, VFNMADD132PS,
VFNMADD213PS, VFNMADD231PS, VFNMSUB132PD, VFNMSUB213PD, VFNMSUB231PD, VFNMSUB132PS,
VFNMSUB213PS, VFNMSUB231PS, (V)HADDPD, (V)HADDPS, (V)HSUBPD, (V)HSUBPS, (V)MAXPD, (V)MAXPS,
(V)MINPD, (V)MINPS, (V)MULPD, (V)MULPS, (V)ROUNDPS, (V)SQRTPD, (V)SQRTPS, (V)SUBPD, (V)SUBPS
Type 3
(V)ADDSD, (V)ADDSS, (V)CMPSD, (V)CMPSS, (V)COMISD, (V)COMISS, (V)CVTPS2PD, (V)CVTSD2SI, (V)CVTSD2SS,
(V)CVTSI2SD, (V)CVTSI2SS, (V)CVTSS2SD, (V)CVTSS2SI, (V)CVTTSD2SI, (V)CVTTSS2SI, (V)DIVSD, (V)DIVSS,
VFMADD132SD, VFMADD213SD, VFMADD231SD, VFMADD132SS, VFMADD213SS, VFMADD231SS,
VFMSUB132SD, VFMSUB213SD, VFMSUB231SD, VFMSUB132SS, VFMSUB213SS, VFMSUB231SS,
VFNMADD132SD, VFNMADD213SD, VFNMADD231SD, VFNMADD132SS, VFNMADD213SS, VFNMADD231SS,
VFNMSUB132SD, VFNMSUB213SD, VFNMSUB231SD, VFNMSUB132SS, VFNMSUB213SS, VFNMSUB231SS,
(V)MAXSD, (V)MAXSS, (V)MINSD, (V)MINSS, (V)MULSD, (V)MULSS, (V)ROUNDSD, (V)ROUNDSS, (V)SQRTSD,
(V)SQRTSS, (V)SUBSD, (V)SUBSS, (V)UCOMISD, (V)UCOMISS
Type 4
(V)AESDEC, (V)AESDECLAST, (V)AESENC, (V)AESENCLAST, (V)AESIMC, (V)AESKEYGENASSIST, (V)ANDPD,
(V)ANDPS, (V)ANDNPD, (V)ANDNPS, (V)BLENDPD, (V)BLENDPS, VBLENDVPD, VBLENDVPS, (V)LDDQU,
(V)MASKMOVDQU, (V)PTEST, VTESTPS, VTESTPD, (V)MOVDQU*, (V)MOVSHDUP, (V)MOVSLDUP, (V)MOVUPD*,
(V)MOVUPS*, (V)MPSADBW, (V)ORPD, (V)ORPS, (V)PABSB, (V)PABSW, (V)PABSD, (V)PACKSSWB, (V)PACKSSDW,
(V)PACKUSWB, (V)PACKUSDW, (V)PADDB, (V)PADDW, (V)PADDD, (V)PADDQ, (V)PADDSB, (V)PADDSW,
(V)PADDUSB, (V)PADDUSW, (V)PALIGNR, (V)PAND, (V)PANDN, (V)PAVGB, (V)PAVGW, (V)PBLENDVB,
(V)PBLENDW, (V)PCMP(E/I)STRI/M***, (V)PCMPEQB, (V)PCMPEQW, (V)PCMPEQD, (V)PCMPEQQ, (V)PCMPGTB,
(V)PCMPGTW, (V)PCMPGTD, (V)PCMPGTQ, (V)PCLMULQDQ, (V)PHADDW, (V)PHADDD, (V)PHADDSW,
(V)PHMINPOSUW, (V)PHSUBD, (V)PHSUBW, (V)PHSUBSW, (V)PMADDWD, (V)PMADDUBSW, (V)PMAXSB,
(V)PMAXSW, (V)PMAXSD, (V)PMAXUB, (V)PMAXUW, (V)PMAXUD, (V)PMINSB, (V)PMINSW, (V)PMINSD,
(V)PMINUB, (V)PMINUW, (V)PMINUD, (V)PMULHUW, (V)PMULHRSW, (V)PMULHW, (V)PMULLW, (V)PMULLD,
(V)PMULUDQ, (V)PMULDQ, (V)POR, (V)PSADBW, (V)PSHUFB, (V)PSHUFD, (V)PSHUFHW, (V)PSHUFLW,
(V)PSIGNB, (V)PSIGNW, (V)PSIGND, (V)PSLLW, (V)PSLLD, (V)PSLLQ, (V)PSRAW, (V)PSRAD, (V)PSRLW, (V)PSRLD,
(V)PSRLQ, (V)PSUBB, (V)PSUBW, (V)PSUBD, (V)PSUBQ, (V)PSUBSB, (V)PSUBSW, (V)PUNPCKHBW,
(V)PUNPCKHWD, (V)PUNPCKHDQ, (V)PUNPCKHQDQ, (V)PUNPCKLBW, (V)PUNPCKLWD, (V)PUNPCKLDQ,
(V)PUNPCKLQDQ, (V)PXOR, (V)RCPPS, (V)RSQRTPS, (V)SHUFPD, (V)SHUFPS, (V)UNPCKHPD, (V)UNPCKHPS,
(V)UNPCKLPD, (V)UNPCKLPS, (V)XORPD, (V)XORPS, VPBLENDD, VPERMD, VPERMPS, VPERMPD, VPERMQ,
VPSLLVD, VPSLLVQ, VPSRAVD, VPSRLVD, VPSRLVQ, VPERMILPD, VPERMILPS, VPERM2F128
Type 5
(V)CVTDQ2PD, (V)EXTRACTPS, (V)INSERTPS, (V)MOVD, (V)MOVQ, (V)MOVDDUP, (V)MOVLPD, (V)MOVLPS,
(V)MOVHPD, (V)MOVHPS, (V)MOVSD, (V)MOVSS, (V)PEXTRB, (V)PEXTRD, (V)PEXTRW, (V)PEXTRQ, (V)PINSRB,
(V)PINSRD, (V)PINSRW, (V)PINSRQ, (V)RCPSS, (V)RSQRTSS, (V)PMOVSX/ZX, VLDMXCSR*, VSTMXCSR
Type 6
VEXTRACTF128, VBROADCASTSS, VBROADCASTSD, VBROADCASTF128, VINSERTF128, VMASKMOVPS**,
VMASKMOVPD**, VPMASKMOVD, VPMASKMOVQ, VBROADCASTI128, VPBROADCASTB, VPBROADCASTD,
VPBROADCASTW, VPBROADCASTQ, VEXTRACTI128, VINSERTI128, VPERM2I128
Type 7
(V)MOVLHPS, (V)MOVHLPS, (V)MOVMSKPD, (V)MOVMSKPS, (V)PMOVMSKB, (V)PSLLDQ, (V)PSRLDQ, (V)PSLLW,
(V)PSLLD, (V)PSLLQ, (V)PSRAW, (V)PSRAD, (V)PSRLW, (V)PSRLD, (V)PSRLQ
Type 8 VZEROALL, VZEROUPPER
Type 11 VCVTPH2PS, VCVTPS2PH
Type 12
VGATHERDPS, VGATHERDPD, VGATHERQPS, VGATHERQPD, VPGATHERDD, VPGATHERDQ, VPGATHERQD,
VPGATHERQQ
Vol. 2A 2-23
INSTRUCTION FORMAT
(***) - PCMPESTRI, PCMPESTRM, PCMPISTRI, and PCMPISTRM instructions do not cause #GP if the memory
operand is not aligned to 16-Byte boundary.
Table 2-15 classifies exception behaviors for AVX instructions. Within each class of exception conditions that are
listed in Table 2-18 through Table 2-27, certain subsets of AVX instructions may be subject to #UD exception
depending on the encoded value of the VEX.L field. Table 2-17 provides supplemental information of AVX instruc-
tions that may be subject to #UD exception if encoded with incorrect values in the VEX.W or VEX.L field.
Ta b le 2 -1 6 . # U D E x ce p t io n a n d VE X.W= 1 E n co d in g
E x ce p t io n C la ss # U D I f VE X.W = 1 in a ll m o d e s
# U D I f VE X.W = 1 in
n o n -6 4 -b it m o d e s
Type 1
Type 2
Type 3
Type 4
VBLENDVPD, VBLENDVPS, VPBLENDVB, VTESTPD, VTESTPS, VPBLENDD, VPERMD,
VPERMPS, VPERM2I128, VPSRAVD, VPERMILPD, VPERMILPS, VPERM2F128
Type 5 VPEXTRQ, VPINSRQ,
Type 6
VEXTRACTF128, VBROADCASTSS, VBROADCASTSD, VBROADCASTF128,
VINSERTF128, VMASKMOVPS, VMASKMOVPD, VBROADCASTI128,
VPBROADCASTB/W/D, VEXTRACTI128, VINSERTI128
Type 7
Type 8
Type 11 VCVTPH2PS, VCVTPS2PH
Type 12
2-24 Vol. 2A
INSTRUCTION FORMAT
Ta b le 2 -1 7. # U D E x ce p t io n a n d VE X.L F ie ld E n co d in g
E x ce p t io n
C la ss
# U D I f VE X.L = 0
# U D I f (VE X.L = 1 & & AVX2 n o t p re se n t & & AVX
p re se n t )
# U D I f (VE X.L = 1 & & AVX2
p re se n t )
Type 1 VMOVNTDQA
Type 2
VDPPD VDPPD
Type 3
Type 4
VMASKMOVDQU, VMPSADBW, VPABSB/W/D,
VPACKSSWB/DW, VPACKUSWB/DW, VPADDB/W/D,
VPADDQ, VPADDSB/W, VPADDUSB/W, VPALIGNR, VPAND,
VPANDN, VPAVGB/W, VPBLENDVB, VPBLENDW,
VPCMP(E/I)STRI/M, VPCMPEQB/W/D/Q, VPCMPGTB/W/D/Q,
VPHADDW/D, VPHADDSW, VPHMINPOSUW, VPHSUBD/W,
VPHSUBSW, VPMADDWD, VPMADDUBSW, VPMAXSB/W/D,
VPMAXUB/W/D, VPMINSB/W/D, VPMINUB/W/D,
VPMULHUW, VPMULHRSW, VPMULHW/LW, VPMULLD,
VPMULUDQ, VPMULDQ, VPOR, VPSADBW, VPSHUFB/D,
VPSHUFHW/LW, VPSIGNB/W/D, VPSLLW/D/Q, VPSRAW/D,
VPSRLW/D/Q, VPSUBB/W/D/Q, VPSUBSB/W,
VPUNPCKHBW/WD/DQ, VPUNPCKHQDQ,
VPUNPCKLBW/WD/DQ, VPUNPCKLQDQ, VPXOR
VPCMP(E/I)STRI/M,
PHMINPOSUW
Type 5
VEXTRACTPS, VINSERTPS, VMOVD, VMOVQ, VMOVLPD,
VMOVLPS, VMOVHPD, VMOVHPS, VPEXTRB, VPEXTRD,
VPEXTRW, VPEXTRQ, VPINSRB, VPINSRD, VPINSRW,
VPINSRQ, VPMOVSX/ZX, VLDMXCSR, VSTMXCSR
Same as column 3
Type 6
VEXTRACTF128,
VPERM2F128,
VBROADCASTSD,
VBROADCASTF128,
VINSERTF128,
Type 7
VMOVLHPS, VMOVHLPS, VPMOVMSKB, VPSLLDQ,
VPSRLDQ, VPSLLW, VPSLLD, VPSLLQ, VPSRAW, VPSRAD,
VPSRLW, VPSRLD, VPSRLQ
VMOVLHPS, VMOVHLPS
Type 8
Type 11
Type 12
Vol. 2A 2-25
INSTRUCTION FORMAT
2 .4 .1 E x ce p t io n s T y p e 1 (Alig n e d m e m o ry re fe re n ce )
Ta b le 2 -1 8 . T y p e 1 C la ss E x ce p t io n C o n d it io n s
E x ce p t io n
R
e
a
l
V
i
r
t
u
a
l

8
0
x
8
6
P
r
o
t
e
c
t
e
d

a
n
d

C
o
m
p
a
t
i
b
i
l
i
t
y
6
4
-
b
i
t

C a u se o f E x ce p t io n
Invalid Opcode,
#UD
X X VEX prefix.
X X
VEX prefix:
If XCR0[2:1] !=11b.
If CR4.OSXSAVE[bit 18]=0.
X X X X
Legacy SSE instruction:
If CR0.EM[bit 2] =1.
If CR4.OSFXSR[bit 9] =0.
X X X X If preceded by a LOCK prefix (F0H).
X X If any REX, F2, F3, or 66 prefixes precede a VEX prefix.
X X X X If any corresponding CPUID feature flag is 0.
Device Not Avail-
able, #NM
X X X X If CR0.TS[bit 3]=1.
Stack, SS(0)
X For an illegal address in the SS segment.
X If a memory address referencing the SS segment is in a non-canonical form.
General Protec-
tion, #GP(0)
X X
VEX.256: Memory operand is not 32-byte aligned.
VEX.128: Memory operand is not 16-byte aligned.
X X X X Legacy SSE: Memory operand is not 16-byte aligned.
X
For an illegal memory operand effective address in the CS, DS, ES, FS or GS seg-
ments.
X If the memory address is in a non-canonical form.
X X If any part of the operand lies outside the effective address space from 0 to FFFFH.
Page Fault
#PF(fault-code)
X X X For a page fault.
2-26 Vol. 2A
INSTRUCTION FORMAT
2 .4 .2 E x ce p t io n s T y p e 2 (> = 1 6 B y t e Me m o ry R e fe re n ce , U n a lig n e d )
Ta b le 2 -1 9 . T y p e 2 C la ss E x ce p t io n C o n d it io n s
E x ce p t io n
R
e
a
l
V
i
r
t
u
a
l

8
0
8
6
P
r
o
t
e
c
t
e
d

a
n
d

C
o
m
p
a
t
i
b
i
l
i
t
y
6
4
-
b
i
t

C a u se o f E x ce p t io n
Invalid Opcode,
#UD
X X VEX prefix.
X X X X If an unmasked SIMD floating-point exception and CR4.OSXMMEXCPT[bit 10] =0.
X X
VEX prefix:
If XCR0[2:1] !=11b.
If CR4.OSXSAVE[bit 18]=0.
X X X X
Legacy SSE instruction:
If CR0.EM[bit 2] =1.
If CR4.OSFXSR[bit 9] =0.
X X X X If preceded by a LOCK prefix (F0H).
X X If any REX, F2, F3, or 66 prefixes precede a VEX prefix.
X X X X If any corresponding CPUID feature flag is 0.
Device Not Avail-
able, #NM
X X X X If CR0.TS[bit 3]=1.
Stack, SS(0)
X For an illegal address in the SS segment.
X If a memory address referencing the SS segment is in a non-canonical form.
General Protec-
tion, #GP(0)
X X X X Legacy SSE: Memory operand is not 16-byte aligned.
X For an illegal memory operand effective address in the CS, DS, ES, FS or GS segments.
X If the memory address is in a non-canonical form.
X X If any part of the operand lies outside the effective address space from 0 to FFFFH.
Page Fault
#PF(fault-code)
X X X For a page fault.
SIMD Floating-
point Exception,
#XM
X X X X If an unmasked SIMD floating-point exception and CR4.OSXMMEXCPT[bit 10] =1.
Vol. 2A 2-27
INSTRUCTION FORMAT
2 .4 .3 E x ce p t io n s T y p e 3 (< 1 6 B y t e m e m o ry a rg u m e n t )
Ta b le 2 -2 0 . T y p e 3 C la ss E x ce p t io n C o n d it io n s
E x ce p t io n
R
e
a
l
V
i
r
t
u
a
l

8
0
x
8
6
P
r
o
t
e
c
t
e
d

a
n
d

C
o
m
p
a
t
i
b
i
l
i
t
y
6
4
-
b
i
t

C a u se o f E x ce p t io n
Invalid Opcode, #UD
X X VEX prefix.
X X X X If an unmasked SIMD floating-point exception and CR4.OSXMMEXCPT[bit 10] =0.
X X
VEX prefix:
If XCR0[2:1] !=11b.
If CR4.OSXSAVE[bit 18]=0.
X X X X
Legacy SSE instruction:
If CR0.EM[bit 2] =1.
If CR4.OSFXSR[bit 9] =0.
X X X X If preceded by a LOCK prefix (F0H).
X X If any REX, F2, F3, or 66 prefixes precede a VEX prefix.
X X X X If any corresponding CPUID feature flag is 0.
Device Not Available,
#NM
X X X X If CR0.TS[bit 3]=1.
Stack, SS(0)
X For an illegal address in the SS segment.
X If a memory address referencing the SS segment is in a non-canonical form.
General Protection,
#GP(0)
X
For an illegal memory operand effective address in the CS, DS, ES, FS or GS seg-
ments.
X If the memory address is in a non-canonical form.
X X
If any part of the operand lies outside the effective address space from 0 to
FFFFH.
Page Fault
#PF(fault-code)
X X X For a page fault.
Alignment Check
#AC(0)
X X X
If alignment checking is enabled and an unaligned memory reference is made
while the current privilege level is 3.
SIMD Floating-point
Exception, #XM
X X X X If an unmasked SIMD floating-point exception and CR4.OSXMMEXCPT[bit 10] =1.
2-28 Vol. 2A
INSTRUCTION FORMAT
2 .4 .4 E x ce p t io n s T y p e 4 (> = 1 6 B y t e m e m a rg n o a lig n m e n t , n o flo a t in g -p o in t e x ce p t io n s)
Ta b le 2 -2 1 . T y p e 4 C la ss E x ce p t io n C o n d it io n s
E x ce p t io n
R
e
a
l
V
i
r
t
u
a
l

8
0
x
8
6
P
r
o
t
e
c
t
e
d

a
n
d

C
o
m
p
a
t
i
b
i
l
i
t
y
6
4
-
b
i
t

C a u se o f E x ce p t io n
Invalid Opcode, #UD
X X VEX prefix.
X X
VEX prefix:
If XCR0[2:1] !=11b.
If CR4.OSXSAVE[bit 18]=0.
X X X X
Legacy SSE instruction:
If CR0.EM[bit 2] =1.
If CR4.OSFXSR[bit 9] =0.
X X X X If preceded by a LOCK prefix (F0H).
X X If any REX, F2, F3, or 66 prefixes precede a VEX prefix.
X X X X If any corresponding CPUID feature flag is 0.
Device Not Available,
#NM
X X X X If CR0.TS[bit 3]=1.
Stack, SS(0)
X For an illegal address in the SS segment.
X If a memory address referencing the SS segment is in a non-canonical form.
General Protection,
#GP(0)
X X X X
Legacy SSE: Memory operand is not 16-byte aligned.
1
N O T E S :
1. PCMPESTRI, PCMPESTRM, PCMPISTRI, and PCMPISTRM instructions do not cause #GP if the memory operand is not aligned to 16-
Byte boundary.
X
For an illegal memory operand effective address in the CS, DS, ES, FS or GS seg-
ments.
X If the memory address is in a non-canonical form.
X X
If any part of the operand lies outside the effective address space from 0 to
FFFFH.
Page Fault
#PF(fault-code)
X X X For a page fault.
Vol. 2A 2-29
INSTRUCTION FORMAT
2 .4 .5 E x ce p t io n s T y p e 5 (< 1 6 B y t e m e m a rg a n d n o F P e x ce p t io n s)
Ta b le 2 -2 2 . T y p e 5 C la ss E x ce p t io n C o n d it io n s
E x ce p t io n
R
e
a
l
V
i
r
t
u
a
l

8
0
x
8
6
P
r
o
t
e
c
t
e
d

a
n
d

C
o
m
p
a
t
i
b
i
l
i
t
y
6
4
-
b
i
t

C a u se o f E x ce p t io n
Invalid Opcode, #UD
X X VEX prefix.
X X
VEX prefix:
If XCR0[2:1] !=11b.
If CR4.OSXSAVE[bit 18]=0.
X X X X
Legacy SSE instruction:
If CR0.EM[bit 2] =1.
If CR4.OSFXSR[bit 9] =0.
X X X X If preceded by a LOCK prefix (F0H).
X X If any REX, F2, F3, or 66 prefixes precede a VEX prefix.
X X X X If any corresponding CPUID feature flag is 0.
Device Not Available,
#NM
X X X X If CR0.TS[bit 3]=1.
Stack, SS(0)
X For an illegal address in the SS segment.
X If a memory address referencing the SS segment is in a non-canonical form.
General Protection,
#GP(0)
X
For an illegal memory operand effective address in the CS, DS, ES, FS or GS seg-
ments.
X If the memory address is in a non-canonical form.
X X
If any part of the operand lies outside the effective address space from 0 to
FFFFH.
Page Fault
#PF(fault-code)
X X X For a page fault.
Alignment Check
#AC(0)
X X X
If alignment checking is enabled and an unaligned memory reference is made
while the current privilege level is 3.
2-30 Vol. 2A
INSTRUCTION FORMAT
2 .4 .6 E x ce p t io n s T y p e 6 (VE X-E n co d e d I n st ru ct io n s Wit h o u t Le g a cy S S E An a lo g u e s)
Note: At present, the AVX instructions in this category do not generate floating-point exceptions.
Ta b le 2 -2 3 . T y p e 6 C la ss E x ce p t io n C o n d it io n s
2 .4 .7 E x ce p t io n s T y p e 7 (N o F P e x ce p t io n s, n o m e m o ry a rg )
Ta b le 2 -2 4 . T y p e 7 C la ss E x ce p t io n C o n d it io n s
E x ce p t io n
R
e
a
l
V
i
r
t
u
a
l

8
0
x
8
6
P
r
o
t
e
c
t
e
d

a
n
d

C
o
m
p
a
t
i
b
i
l
i
t
y
6
4
-
b
i
t

C a u se o f E x ce p t io n
Invalid Opcode, #UD
X X VEX prefix.
X X
If XCR0[2:1] !=11b.
If CR4.OSXSAVE[bit 18]=0.
X X If preceded by a LOCK prefix (F0H).
X X If any REX, F2, F3, or 66 prefixes precede a VEX prefix.
X X If any corresponding CPUID feature flag is 0.
Device Not Available,
#NM
X X If CR0.TS[bit 3]=1.
Stack, SS(0)
X For an illegal address in the SS segment.
X If a memory address referencing the SS segment is in a non-canonical form.
General Protection,
#GP(0)
X
For an illegal memory operand effective address in the CS, DS, ES, FS or GS seg-
ments.
X If the memory address is in a non-canonical form.
Page Fault
#PF(fault-code)
X X For a page fault.
Alignment Check
#AC(0)
X X
For 4 or 8 byte memory references if alignment checking is enabled and an
unaligned memory reference is made while the current privilege level is 3.
E x ce p t io n
R
e
a
l
V
i
r
t
u
a
l

8
0
x
8
6
P
r
o
t
e
c
t
e
d

a
n
d

C
o
m
p
a
t
i
b
i
l
i
t
y
6
4
-
b
i
t

C a u se o f E x ce p t io n
Invalid Opcode, #UD
X X VEX prefix.
X X
VEX prefix:
If XCR0[2:1] !=11b.
If CR4.OSXSAVE[bit 18]=0.
X X X X
Legacy SSE instruction:
If CR0.EM[bit 2] =1.
If CR4.OSFXSR[bit 9] =0.
X X X X If preceded by a LOCK prefix (F0H).
X X If any REX, F2, F3, or 66 prefixes precede a VEX prefix.
X X X X If any corresponding CPUID feature flag is 0.
Device Not Available,
#NM
X X If CR0.TS[bit 3]=1.
Vol. 2A 2-31
INSTRUCTION FORMAT
2 .4 .8 E x ce p t io n s T y p e 8 (AVX a n d n o m e m o ry a rg u m e n t )
Ta b le 2 -2 5 . T y p e 8 C la ss E x ce p t io n C o n d it io n s
E x ce p t io n
R
e
a
l
V
i
r
t
u
a
l

8
0
x
8
6
P
r
o
t
e
c
t
e
d

a
n
d

C
o
m
p
a
t
i
b
i
l
i
t
y
6
4
-
b
i
t

C a u se o f E x ce p t io n
Invalid Opcode, #UD X X Always in Real or Virtual 80x86 mode.
X X If XCR0[2:1] !=11b.
If CR4.OSXSAVE[bit 18]=0.
If CPUID.01H.ECX.AVX[bit 28]=0.
If VEX.vvvv !=1111B.
X X X X If proceeded by a LOCK prefix (F0H).
Device Not Available,
#NM
X X If CR0.TS[bit 3]=1.
2-32 Vol. 2A
INSTRUCTION FORMAT
2 .4 .9 E x ce p t io n T y p e 1 1 (VE X-o n ly, m e m a rg n o AC , flo a t in g -p o in t e x ce p t io n s)
Ta b le 2 -2 6 . T y p e 1 1 C la ss E x ce p t io n C o n d it io n s
E x ce p t io n
R
e
a
l
V
i
r
t
u
a
l

8
0
x
8
6
P
r
o
t
e
c
t
e
d

a
n
d

C
o
m
p
a
t
i
b
i
l
i
t
y
6
4
-
b
i
t

C a u se o f E x ce p t io n
Invalid Opcode, #UD X X VEX prefix
X X VEX prefix:
If XFEATURE_ENABLED_MASK[2:1] !=11b.
If CR4.OSXSAVE[bit 18]=0.
X X X X If preceded by a LOCK prefix (F0H)
X X If any REX, F2, F3, or 66 prefixes precede a VEX prefix
X X X X If any corresponding CPUID feature flag is 0
Device Not Avail-
able, #NM
X X X X If CR0.TS[bit 3]=1
Stack, SS(0) X For an illegal address in the SS segment
X If a memory address referencing the SS segment is in a non-canonical form
General Protection,
#GP(0)
X For an illegal memory operand effective address in the CS, DS, ES, FS or GS seg-
ments.
X If the memory address is in a non-canonical form.
X X If any part of the operand lies outside the effective address space from 0 to
FFFFH
Page Fault #PF
(fault-code)
X X X For a page fault
SIMD Floating-Point
Exception, #XM
X X X X If an unmasked SIMD floating-point exception and CR4.OSXMMEXCPT[bit 10] =1
Vol. 2A 2-33
INSTRUCTION FORMAT
2 .4 .1 0 E x ce p t io n T y p e 1 2 (VE X-o n ly, VS I B m e m a rg , n o AC , n o flo a t in g -p o in t e x ce p t io n s)
2 .5 VE X E N C O D I N G S U P P O R T F O R G P R I N S T R U C T I O N S
VEX prefix may be used to encode instructions that operate on neither YMM nor XMM registers. VEX-encoded
general-purpose-register instructions have the following properties:
Instruction syntax support for three encodable operands.
Encoding support for instruction syntax of non-destructive source operand, destination operand encoded via
VEX.vvvv, and destructive three-operand syntax.
Elimination of escape opcode byte (0FH), two-byte escape via a compact bit field representation within the VEX
prefix.
Elimination of the need to use REX prefix to encode the extended half of general-purpose register sets (R8-
R15) for direct register access or memory addressing.
Flexible and more compact bit fields are provided in the VEX prefix to retain the full functionality provided by
REX prefix. REX.W, REX.X, REX.B functionalities are provided in the three-byte VEX prefix only.
VEX-encoded GPR instructions are encoded with VEX.L=0.
Ta b le 2 -2 7. T y p e 1 2 C la ss E x ce p t io n C o n d it io n s
E x ce p t io n
R
e
a
l
V
i
r
t
u
a
l

8
0
x
8
6
P
r
o
t
e
c
t
e
d

a
n
d

C
o
m
p
a
t
i
b
i
l
i
t
y
6
4
-
b
i
t

C a u se o f E x ce p t io n
Invalid Opcode, #UD X X VEX prefix
X X VEX prefix:
If XFEATURE_ENABLED_MASK[2:1] !=11b.
If CR4.OSXSAVE[bit 18]=0.
X X X X If preceded by a LOCK prefix (F0H)
X X If any REX, F2, F3, or 66 prefixes precede a VEX prefix
X X X NA If address size attribute is 16 bit
X X X X If ModR/M.mod =11b
X X X X If ModR/M.rm !=100b
X X X X If any corresponding CPUID feature flag is 0
X X X X If any vector register is used more than once between the destination register,
mask register and the index register in VSIB addressing.
Device Not Available,
#NM
X X X X If CR0.TS[bit 3]=1
Stack, SS(0) X For an illegal address in the SS segment
X If a memory address referencing the SS segment is in a non-canonical form
General Protection,
#GP(0)
X For an illegal memory operand effective address in the CS, DS, ES, FS or GS seg-
ments.
X If the memory address is in a non-canonical form.
X X If any part of the operand lies outside the effective address space from 0 to
FFFFH
Page Fault #PF (fault-
code)
X X X For a page fault
2-34 Vol. 2A
INSTRUCTION FORMAT
Any VEX-encoded GPR instruction with a 66H, F2H, or F3H prefix preceding VEX will #UD.
Any VEX-encoded GPR instruction with a REX prefix proceeding VEX will #UD.
VEX-encoded GPR instructions are not supported in real and virtual 8086 modes.
2 .5 .1 E x ce p t io n C o n d it io n s fo r VE X-E n co d e d G P R I n st ru ct io n s
The exception conditions applicable to VEX-encoded GPR instruction differs from those of legacy GPR instructions.
Table 2-28 lists VEX-encoded GPR instructions. The exception conditions for VEX-encoded GRP instructions are
found in Table 2-29 for those instructions which have a default operand size of 32 bits and 16-bit operand size is
not encodable.
(*) - Additional exception restrictions are present - see the Instruction description for details
Ta b le 2 -2 8 . VE X-E n co d e d G P R I n st ru ct io n s
E x ce p t io n C la ss I n st ru ct io n
See Table 2-29 ANDN, BLSI, BLSMSK, BLSR, BZHI, MULX, PDEP, PEXT, RORX, SARX, SHLX, SHRX
Ta b le 2 -2 9 . E x ce p t io n D e f in it io n (VE X-E n co d e d G P R I n st ru ct io n s)
E x ce p t io n
R
e
a
l
V
i
r
t
u
a
l

8
0
x
8
6
P
r
o
t
e
c
t
e
d

a
n
d

C
o
m
p
a
t
i
b
i
l
i
t
y
6
4
-
b
i
t

C a u se o f E x ce p t io n
Invalid Opcode, #UD X X X X If BMI1/BMI2 CPUID feature flag is 0
X X If a VEX prefix is present
X X If any REX, F2, F3, or 66 prefixes precede a VEX prefix
Stack, SS(0) X X X For an illegal address in the SS segment
X If a memory address referencing the SS segment is in a non-canonical form
General Protection,
#GP(0)
X For an illegal memory operand effective address in the CS, DS, ES, FS or GS seg-
ments.
If the DS, ES, FS, or GS register is used to access memory and it contains a null
segment selector.
X If the memory address is in a non-canonical form.
X X If any part of the operand lies outside the effective address space from 0 to
FFFFH
Page Fault #PF(fault-
code)
X X X For a page fault
Alignment Check
#AC(0)
X X X If alignment checking is enabled and an unaligned memory reference is made
while the current privilege level is 3.
Vol. 2A 3-1
C H AP T E R 3
I N S T R U C T I O N S E T R E F E R E N C E , A-M
This chapter describes the instruction set for the Intel 64 and IA-32 architectures (A-M) in IA-32e, protected,
virtual-8086, and real-address modes of operation. The set includes general-purpose, x87 FPU, MMX,
SSE/SSE2/SSE3/SSSE3/SSE4, AESNI/PCLMULQDQ, AVX and system instructions. See also Chapter 4, Instruction
Set Reference, N-Z, in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 2B.
For each instruction, each operand combination is described. A description of the instruction and its operand, an
operational description, a description of the effect of the instructions on flags in the EFLAGS register, and a
summary of exceptions that can be generated are also provided.
3 .1 I N T E R P R E T I N G T H E I N S T R U C T I O N R E F E R E N C E P AG E S
This section describes the format of information contained in the instruction reference pages in this chapter. It
explains notational conventions and abbreviations used in these sections.
3 .1 .1 I n st ru ct io n Fo rm a t
The following is an example of the format used for each instruction description in this chapter. The heading below
introduces the example. The table below provides an example summary table.
CMCComplement Carry Flag [this is an example]
I n st ru ct io n O p e ra n d E n co d in g
O p co d e I n st ru ct io n O p /E n 6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re F la g
D e scrip t io n
F5 CMC A V/V NP Complement carry flag.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
INSTRUCTION SET REFERENCE, A-M
3-2 Vol. 2A
3 .1 .1 .1 O p co d e C o lu m n in t h e I n st ru ct io n S u m m a ry Ta b le (I n st ru ct io n s w it h o u t VE X p re fix )
The Opcode column in the table above shows the object code produced for each form of the instruction. When
possible, codes are given as hexadecimal bytes in the same order in which they appear in memory. Definitions of
entries other than hexadecimal bytes are as follows:
REX.W Indicates the use of a REX prefix that affects operand size or instruction semantics. The ordering of
the REX prefix and other optional/mandatory instruction prefixes are discussed Chapter 2. Note that REX
prefixes that promote legacy instructions to 64-bit behavior are not listed explicitly in the opcode column.
/digit A digit between 0 and 7 indicates that the ModR/M byte of the instruction uses only the r/m (register
or memory) operand. The reg field contains the digit that provides an extension to the instruction's opcode.
/r Indicates that the ModR/M byte of the instruction contains a register operand and an r/m operand.
cb, cw, cd, cp, co, ct A 1-byte (cb), 2-byte (cw), 4-byte (cd), 6-byte (cp), 8-byte (co) or 10-byte (ct) value
following the opcode. This value is used to specify a code offset and possibly a new value for the code segment
register.
ib, iw, id, io A 1-byte (ib), 2-byte (iw), 4-byte (id) or 8-byte (io) immediate operand to the instruction that
follows the opcode, ModR/M bytes or scale-indexing bytes. The opcode determines if the operand is a signed
value. All words, doublewords and quadwords are given with the low-order byte first.
+rb, +rw, +rd, +ro A register code, from 0 through 7, added to the hexadecimal byte given at the left of
the plus sign to form a single opcode byte. See Table 3-1 for the codes. The +ro columns in the table are
applicable only in 64-bit mode.
+i A number used in floating-point instructions when one of the operands is ST(i) from the FPU register stack.
The number i (which can range from 0 to 7) is added to the hexadecimal byte given at the left of the plus sign
to form a single opcode byte.
Ta b le 3 -1 . R e g ist e r C o d e s Asso cia t e d Wit h +rb , +rw , +rd , +ro
b y t e re g ist e r w o rd re g ist e r d w o rd re g ist e r q u a d w o rd re g ist e r
(6 4 -B it Mo d e o n ly )
R
e
g
i
s
t
e
r
R
E
X
.
B

R
e
g
F
i
e
l
d
R
e
g
i
s
t
e
r
R
E
X
.
B
R
e
g
F
i
e
l
d
R
e
g
i
s
t
e
r
R
E
X
.
B

R
e
g
F
i
e
l
d
R
e
g
i
s
t
e
r
R
E
X
.
B

R
e
g
F
i
e
l
d
AL None 0 AX None 0 EAX None 0 RAX None 0
CL None 1 CX None 1 ECX None 1 RCX None 1
DL None 2 DX None 2 EDX None 2 RDX None 2
BL None 3 BX None 3 EBX None 3 RBX None 3
AH Not
encodab
le (N.E.)
4 SP None 4 ESP None 4 N/A N/A N/A
CH N.E. 5 BP None 5 EBP None 5 N/A N/A N/A
DH N.E. 6 SI None 6 ESI None 6 N/A N/A N/A
BH N.E. 7 DI None 7 EDI None 7 N/A N/A N/A
SPL Yes 4 SP None 4 ESP None 4 RSP None 4
BPL Yes 5 BP None 5 EBP None 5 RBP None 5
SIL Yes 6 SI None 6 ESI None 6 RSI None 6
DIL Yes 7 DI None 7 EDI None 7 RDI None 7
Registers R8 - R15 (see below): Available in 64-Bit Mode Only
R8L Yes 0 R8W Yes 0 R8D Yes 0 R8 Yes 0
R9L Yes 1 R9W Yes 1 R9D Yes 1 R9 Yes 1
R10L Yes 2 R10W Yes 2 R10D Yes 2 R10 Yes 2
R11L Yes 3 R11W Yes 3 R11D Yes 3 R11 Yes 3
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-3
3 .1 .1 .2 O p co d e C o lu m n in t h e I n st ru ct io n S u m m a ry Ta b le (I n st ru ct io n s w it h VE X p re f ix )
In the Instruction Summary Table, the Opcode column presents each instruction encoded using the VEX prefix in
following form (including the modR/M byte if applicable, the immediate byte if applicable):
VEX.[NDS].[128,256].[66,F2,F3].0F/0F3A/0F38.[W0,W1] opcode [/r] [/ib,/is4]
VEX: indicates the presence of the VEX prefix is required. The VEX prefix can be encoded using the three-byte
form (the first byte is C4H), or using the two-byte form (the first byte is C5H). The two-byte form of VEX only
applies to those instructions that do not require the following fields to be encoded: VEX.mmmmm, VEX.W,
VEX.X, VEX.B. Refer to Section 2.3 for more detail on the VEX prefix.
The encoding of various sub-fields of the VEX prefix is described using the following notations:
NDS, NDD, DDS: specifies that VEX.vvvv field is valid for the encoding of a register operand:
VEX.NDS: VEX.vvvv encodes the first source register in an instruction syntax where the content of
source registers will be preserved.
VEX.NDD: VEX.vvvv encodes the destination register that cannot be encoded by ModR/M:reg field.
VEX.DDS: VEX.vvvv encodes the second source register in a three-operand instruction syntax where
the content of first source register will be overwritten by the result.
If none of NDS, NDD, and DDS is present, VEX.vvvv must be 1111b (i.e. VEX.vvvv does not encode an
operand). The VEX.vvvv field can be encoded using either the 2-byte or 3-byte form of the VEX prefix.
128,256: VEX.L field can be 0 (denoted by VEX.128 or VEX.LZ) or 1 (denoted by VEX.256). The VEX.L field
can be encoded using either the 2-byte or 3-byte form of the VEX prefix. The presence of the notation
VEX.256 or VEX.128 in the opcode column should be interpreted as follows:
If VEX.256 is present in the opcode column: The semantics of the instruction must be encoded with
VEX.L = 1. An attempt to encode this instruction with VEX.L= 0 can result in one of two situations: (a)
if VEX.128 version is defined, the processor will behave according to the defined VEX.128 behavior; (b)
an #UD occurs if there is no VEX.128 version defined.
If VEX.128 is present in the opcode column but there is no VEX.256 version defined for the same
opcode byte: Two situations apply: (a) For VEX-encoded, 128-bit SIMD integer instructions, software
must encode the instruction with VEX.L = 0. The processor will treat the opcode byte encoded with
VEX.L= 1 by causing an #UD exception; (b) For VEX-encoded, 128-bit packed floating-point instruc-
tions, software must encode the instruction with VEX.L = 0. The processor will treat the opcode byte
encoded with VEX.L= 1 by causing an #UD exception (e.g. VMOVLPS).
If VEX.LIG is present in the opcode column: The VEX.L value is ignored. This generally applies to VEX-
encoded scalar SIMD floating-point instructions. Scalar SIMD floating-point instruction can be distin-
guished from the mnemonic of the instruction. Generally, the last two letters of the instruction
mnemonic would be either SS, SD, or SI for SIMD floating-point conversion instructions.
If VEX.LZ is present in the opcode column: The VEX.L must be encoded to be 0B, an #UD occurs if
VEX.L is not zero.
R12L Yes 4 R12W Yes 4 R12D Yes 4 R12 Yes 4
R13L Yes 5 R13W Yes 5 R13D Yes 5 R13 Yes 5
R14L Yes 6 R14W Yes 6 R14D Yes 6 R14 Yes 6
R15L Yes 7 R15W Yes 7 R15D Yes 7 R15 Yes 7
Ta b le 3 -1 . R e g ist e r C o d e s Asso cia t e d Wit h +rb , +rw , +rd , +ro (C o n t d .)
b y t e re g ist e r w o rd re g ist e r d w o rd re g ist e r q u a d w o rd re g ist e r
(6 4 -B it Mo d e o n ly )
R
e
g
i
s
t
e
r
R
E
X
.
B

R
e
g
F
i
e
l
d
R
e
g
i
s
t
e
r
R
E
X
.
B
R
e
g
F
i
e
l
d
R
e
g
i
s
t
e
r
R
E
X
.
B

R
e
g
F
i
e
l
d
R
e
g
i
s
t
e
r
R
E
X
.
B

R
e
g
F
i
e
l
d
INSTRUCTION SET REFERENCE, A-M
3-4 Vol. 2A
66,F2,F3: The presence or absence of these values map to the VEX.pp field encodings. If absent, this
corresponds to VEX.pp=00B. If present, the corresponding VEX.pp value affects the opcode byte in the
same way as if a SIMD prefix (66H, F2H or F3H) does to the ensuing opcode byte. Thus a non-zero encoding
of VEX.pp may be considered as an implied 66H/F2H/F3H prefix. The VEX.pp field may be encoded using
either the 2-byte or 3-byte form of the VEX prefix.
0F,0F3A,0F38: The presence maps to a valid encoding of the VEX.mmmmm field. Only three encoded
values of VEX.mmmmm are defined as valid, corresponding to the escape byte sequence of 0FH, 0F3AH
and 0F38H. The effect of a valid VEX.mmmmm encoding on the ensuing opcode byte is same as if the corre-
sponding escape byte sequence on the ensuing opcode byte for non-VEX encoded instructions. Thus a valid
encoding of VEX.mmmmm may be consider as an implies escape byte sequence of either 0FH, 0F3AH or
0F38H. The VEX.mmmmm field must be encoded using the 3-byte form of VEX prefix.
0F,0F3A,0F38 and 2-byte/3-byte VEX. The presence of 0F3A and 0F38 in the opcode column implies
that opcode can only be encoded by the three-byte form of VEX. The presence of 0F in the opcode column
does not preclude the opcode to be encoded by the two-byte of VEX if the semantics of the opcode does not
require any subfield of VEX not present in the two-byte form of the VEX prefix.
W0: VEX.W=0.
W1: VEX.W=1.
The presence of W0/W1 in the opcode column applies to two situations: (a) it is treated as an extended
opcode bit, (b) the instruction semantics support an operand size promotion to 64-bit of a general-purpose
register operand or a 32-bit memory operand. The presence of W1 in the opcode column implies the opcode
must be encoded using the 3-byte form of the VEX prefix. The presence of W0 in the opcode column does
not preclude the opcode to be encoded using the C5H form of the VEX prefix, if the semantics of the opcode
does not require other VEX subfields not present in the two-byte form of the VEX prefix. Please see Section
2.3 on the subfield definitions within VEX.
WIG: can use C5H form (if not requiring VEX.mmmmm) or VEX.W value is ignored in the C4H form of VEX
prefix.
If WIG is present, the instruction may be encoded using either the two-byte form or the three-byte form of
VEX. When encoding the instruction using the three-byte form of VEX, the value of VEX.W is ignored.
opcode: Instruction opcode.
/is4: An 8-bit immediate byte is present containing a source register specifier in imm[7:4] and instruction-
specific payload in imm[3:0].
In general, the encoding o f VEX.R, VEX.X, VEX.B field are not shown explicitly in the opcode column. The
encoding scheme of VEX.R, VEX.X, VEX.B fields must follow the rules defined in Section 2.3.
3 .1 .1 .3 I n st ru ct io n C o lu m n in t h e O p co d e S u m m a ry Ta b le
The Instruction column gives the syntax of the instruction statement as it would appear in an ASM386 program.
The following is a list of the symbols used to represent operands in the instruction statements:
rel8 A relative address in the range from 128 bytes before the end of the instruction to 127 bytes after the
end of the instruction.
rel16, rel32 A relative address within the same code segment as the instruction assembled. The rel16
symbol applies to instructions with an operand-size attribute of 16 bits; the rel32 symbol applies to instructions
with an operand-size attribute of 32 bits.
ptr16:16, ptr16:32 A far pointer, typically to a code segment different from that of the instruction. The
notation 16:16 indicates that the value of the pointer has two parts. The value to the left of the colon is a 16-
bit selector or value destined for the code segment register. The value to the right corresponds to the offset
within the destination segment. The ptr16:16 symbol is used when the instruction's operand-size attribute is
16 bits; the ptr16:32 symbol is used when the operand-size attribute is 32 bits.
r8 One of the byte general-purpose registers: AL, CL, DL, BL, AH, CH, DH, BH, BPL, SPL, DIL and SIL; or one
of the byte registers (R8L - R15L) available when using REX.R and 64-bit mode.
r16 One of the word general-purpose registers: AX, CX, DX, BX, SP, BP, SI, DI; or one of the word registers
(R8-R15) available when using REX.R and 64-bit mode.
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-5
r32 One of the doubleword general-purpose registers: EAX, ECX, EDX, EBX, ESP, EBP, ESI, EDI; or one of
the doubleword registers (R8D - R15D) available when using REX.R in 64-bit mode.
r64 One of the quadword general-purpose registers: RAX, RBX, RCX, RDX, RDI, RSI, RBP, RSP, R8R15.
These are available when using REX.R and 64-bit mode.
imm8 An immediate byte value. The imm8 symbol is a signed number between 128 and +127 inclusive.
For instructions in which imm8 is combined with a word or doubleword operand, the immediate value is sign-
extended to form a word or doubleword. The upper byte of the word is filled with the topmost bit of the
immediate value.
imm16 An immediate word value used for instructions whose operand-size attribute is 16 bits. This is a
number between 32,768 and +32,767 inclusive.
imm32 An immediate doubleword value used for instructions whose operand-size attribute is 32
bits. It allows the use of a number between +2,147,483,647 and 2,147,483,648 inclusive.
imm64 An immediate quadword value used for instructions whose operand-size attribute is 64 bits.
The value allows the use of a number between +9,223,372,036,854,775,807 and
9,223,372,036,854,775,808 inclusive.
r/m8 A byte operand that is either the contents of a byte general-purpose register (AL, CL, DL, BL, AH, CH,
DH, BH, BPL, SPL, DIL and SIL) or a byte from memory. Byte registers R8L - R15L are available using REX.R in
64-bit mode.
r/m16 A word general-purpose register or memory operand used for instructions whose operand-size
attribute is 16 bits. The word general-purpose registers are: AX, CX, DX, BX, SP, BP, SI, DI. The contents of
memory are found at the address provided by the effective address computation. Word registers R8W - R15W
are available using REX.R in 64-bit mode.
r/m32 A doubleword general-purpose register or memory operand used for instructions whose operand-
size attribute is 32 bits. The doubleword general-purpose registers are: EAX, ECX, EDX, EBX, ESP, EBP, ESI,
EDI. The contents of memory are found at the address provided by the effective address computation.
Doubleword registers R8D - R15D are available when using REX.R in 64-bit mode.
r/m64 A quadword general-purpose register or memory operand used for instructions whose operand-size
attribute is 64 bits when using REX.W. Quadword general-purpose registers are: RAX, RBX, RCX, RDX, RDI,
RSI, RBP, RSP, R8R15; these are available only in 64-bit mode. The contents of memory are found at the
address provided by the effective address computation.
m A 16-, 32- or 64-bit operand in memory.
m8 A byte operand in memory, usually expressed as a variable or array name, but pointed to by the
DS:(E)SI or ES:(E)DI registers. In 64-bit mode, it is pointed to by the RSI or RDI registers.
m16 A word operand in memory, usually expressed as a variable or array name, but pointed to by the
DS:(E)SI or ES:(E)DI registers. This nomenclature is used only with the string instructions.
m32 A doubleword operand in memory, usually expressed as a variable or array name, but pointed to by the
DS:(E)SI or ES:(E)DI registers. This nomenclature is used only with the string instructions.
m64 A memory quadword operand in memory.
m128 A memory double quadword operand in memory.
m16:16, m16:32 & m16:64 A memory operand containing a far pointer composed of two numbers. The
number to the left of the colon corresponds to the pointer's segment selector. The number to the right
corresponds to its offset.
m16&32, m16&16, m32&32, m16&64 A memory operand consisting of data item pairs whose sizes are
indicated on the left and the right side of the ampersand. All memory addressing modes are allowed. The
m16&16 and m32&32 operands are used by the BOUND instruction to provide an operand containing an upper
and lower bounds for array indices. The m16&32 operand is used by LIDT and LGDT to provide a word with
which to load the limit field, and a doubleword with which to load the base field of the corresponding GDTR and
IDTR registers. The m16&64 operand is used by LIDT and LGDT in 64-bit mode to provide a word with which to
load the limit field, and a quadword with which to load the base field of the corresponding GDTR and IDTR
registers.
moffs8, moffs16, moffs32, moffs64 A simple memory variable (memory offset) of type byte, word, or
doubleword used by some variants of the MOV instruction. The actual address is given by a simple offset
INSTRUCTION SET REFERENCE, A-M
3-6 Vol. 2A
relative to the segment base. No ModR/M byte is used in the instruction. The number shown with moffs
indicates its size, which is determined by the address-size attribute of the instruction.
Sreg A segment register. The segment register bit assignments are ES = 0, CS = 1, SS = 2, DS = 3, FS = 4,
and GS = 5.
m32fp, m64fp, m80fp A single-precision, double-precision, and double extended-precision (respectively)
floating-point operand in memory. These symbols designate floating-point values that are used as operands for
x87 FPU floating-point instructions.
m16int, m32int, m64int A word, doubleword, and quadword integer (respectively) operand in memory.
These symbols designate integers that are used as operands for x87 FPU integer instructions.
ST or ST(0) The top element of the FPU register stack.
ST(i) The i
th
element from the top of the FPU register stack (i 0 through 7).
mm An MMX register. The 64-bit MMX registers are: MM0 through MM7.
mm/m32 The low order 32 bits of an MMX register or a 32-bit memory operand. The 64-bit MMX registers
are: MM0 through MM7. The contents of memory are found at the address provided by the effective address
computation.
mm/m64 An MMX register or a 64-bit memory operand. The 64-bit MMX registers are: MM0 through MM7.
The contents of memory are found at the address provided by the effective address computation.
xmm An XMM register. The 128-bit XMM registers are: XMM0 through XMM7; XMM8 through XMM15 are
available using REX.R in 64-bit mode.
xmm/m32 An XMM register or a 32-bit memory operand. The 128-bit XMM registers are XMM0 through
XMM7; XMM8 through XMM15 are available using REX.R in 64-bit mode. The contents of memory are found at
the address provided by the effective address computation.
xmm/m64 An XMM register or a 64-bit memory operand. The 128-bit SIMD floating-point registers are
XMM0 through XMM7; XMM8 through XMM15 are available using REX.R in 64-bit mode. The contents of
memory are found at the address provided by the effective address computation.
xmm/m128 An XMM register or a 128-bit memory operand. The 128-bit XMM registers are XMM0 through
XMM7; XMM8 through XMM15 are available using REX.R in 64-bit mode. The contents of memory are found at
the address provided by the effective address computation.
<XMM0> indicates implied use of the XMM0 register.
When there is ambiguity, xmm1 indicates the first source operand using an XMM register and xmm2 the second
source operand using an XMM register.
Some instructions use the XMM0 register as the third source operand, indicated by <XMM0>. The use of the
third XMM register operand is implicit in the instruction encoding and does not affect the ModR/M encoding.
ymm a YMM register. The 256-bit YMM registers are: YMM0 through YMM7; YMM8 through YMM15 are
available in 64-bit mode.
m256 A 32-byte operand in memory. This nomenclature is used only with AVX instructions.
ymm/m256 a YMM register or 256-bit memory operand.
<YMM0> indicates use of the YMM0 register as an implicit argument.
SRC1 Denotes the first source operand in the instruction syntax of an instruction encoded with the VEX
prefix and having two or more source operands.
SRC2 Denotes the second source operand in the instruction syntax of an instruction encoded with the VEX
prefix and having two or more source operands.
SRC3 Denotes the third source operand in the instruction syntax of an instruction encoded with the VEX
prefix and having three source operands.
SRC The source in a AVX single-source instruction or the source in a Legacy SSE instruction.
DST the destination in a AVX instruction. In Legacy SSE instructions can be either the destination, first
source, or both. This field is encoded by reg_field.
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-7
3 .1 .1 .4 O p e ra n d E n co d in g C o lu m n in t h e I n st ru ct io n S u m m a ry Ta b le
The operand encoding column is abbreviated as Op/En in the Instruction Summary table heading. Instruction
operand encoding information is provided for each assembly instruction syntax using a letter to cross reference to
a row entry in the operand encoding definition table that follows the instruction summary table. The operand
encoding table in each instruction reference page lists each instruction operand (according to each instruction
syntax and operand ordering shown in the instruction column) relative to the ModRM byte, VEX.vvvv field or addi-
tional operand encoding placement.
N O T E S
The letters in the Op/En column of an instruction apply ONLY to the encoding definition table
immediately following the instruction summary table.
In the encoding definition table, the letter r within a pair of parenthesis denotes the content of
the operand will be read by the processor. The letter w within a pair of parenthesis denotes the
content of the operand will be updated by the processor.
3 .1 .1 .5 6 4 /3 2 -b it Mo d e C o lu m n in t h e I n st ru ct io n S u m m a ry Ta b le
The 64/32-bit Mode column indicates whether the opcode sequence is supported in (a) 64-bit mode or (b) the
Compatibility mode and other IA-32 modes that apply in conjunction with the CPUID feature flag associated
specific instruction extensions.
The 64-bit mode support is to the left of the slash and has the following notation:
V Supported.
I Not supported.
N.E. Indicates an instruction syntax is not encodable in 64-bit mode (it may represent part of a sequence of
valid instructions in other modes).
N.P. Indicates the REX prefix does not affect the legacy instruction in 64-bit mode.
N.I. Indicates the opcode is treated as a new instruction in 64-bit mode.
N.S. Indicates an instruction syntax that requires an address override prefix in 64-bit mode and is not
supported. Using an address override prefix in 64-bit mode may result in model-specific execution behavior.
The Compatibility/Legacy Mode support is to the right of the slash and has the following notation:
V Supported.
I Not supported.
N.E. Indicates an Intel 64 instruction mnemonics/syntax that is not encodable; the opcode sequence is not
applicable as an individual instruction in compatibility mode or IA-32 mode. The opcode may represent a valid
sequence of legacy IA-32 instructions.
3 .1 .1 .6 C P U I D S u p p o rt C o lu m n in t h e I n st ru ct io n S u m m a ry Ta b le
The fourth column holds abbreviated CPUID feature flags (e.g. appropriate bit in CPUID.1.ECX, CPUID.1.EDX for
SSE/SSE2/SSE3/SSSE3/SSE4.1/SSE4.2/AESNI/PCLMULQDQ/AVX/RDRAND support) that indicate processor
support for the instruction. If the corresponding flag is 0, the instruction will #UD.
3 .1 .1 .7 D e scrip t io n C o lu m n in t h e I n st ru ct io n S u m m a ry Ta b le
The Description column briefly explains forms of the instruction.
3 .1 .1 .8 D e scrip t io n S e ct io n
Each instruction is then described by number of information sections. The Description section describes the
purpose of the instructions and required operands in more detail.
Summary of terms that may be used in the description section:
INSTRUCTION SET REFERENCE, A-M
3-8 Vol. 2A
Legacy SSE: Refers to SSE, SSE2, SSE3, SSSE3, SSE4, AESNI, PCLMULQDQ and any future instruction sets
referencing XMM registers and encoded without a VEX prefix.
VEX.vvvv. The VEX bitfield specifying a source or destination register (in 1s complement form).
rm_field: shorthand for the ModR/M r/m field and any REX.B
reg_field: shorthand for the ModR/M reg field and any REX.R
3 .1 .1 .9 O p e ra t io n S e ct io n
The Operation section contains an algorithm description (frequently written in pseudo-code) for the instruction.
Algorithms are composed of the following elements:
Comments are enclosed within the symbol pairs (* and *).
Compound statements are enclosed in keywords, such as: IF, THEN, ELSE and FI for an if statement; DO and
OD for a do statement; or CASE... OF for a case statement.
A register name implies the contents of the register. A register name enclosed in brackets implies the contents
of the location whose address is contained in that register. For example, ES:[DI] indicates the contents of the
location whose ES segment relative address is in register DI. [SI] indicates the contents of the address
contained in register SI relative to the SI registers default segment (DS) or the overridden segment.
Parentheses around the E in a general-purpose register name, such as (E)SI, indicates that the offset is read
from the SI register if the address-size attribute is 16, from the ESI register if the address-size attribute is 32.
Parentheses around the R in a general-purpose register name, (R)SI, in the presence of a 64-bit register
definition such as (R)SI, indicates that the offset is read from the 64-bit RSI register if the address-size
attribute is 64.
Brackets are used for memory operands where they mean that the contents of the memory location is a
segment-relative offset. For example, [SRC] indicates that the content of the source operand is a segment-
relative offset.
A B indicates that the value of B is assigned to A.
The symbols =, , >, <, , and are relational operators used to compare two values: meaning equal, not
equal, greater or equal, less or equal, respectively. A relational expression such as A B is TRUE if the value of
A is equal to B; otherwise it is FALSE.
The expression COUNT and COUNT indicates that the destination operand should be shifted left or right
by the number of bits indicated by the count operand.
The following identifiers are used in the algorithmic descriptions:
OperandSize and AddressSize The OperandSize identifier represents the operand-size attribute of the
instruction, which is 16, 32 or 64-bits. The AddressSize identifier represents the address-size attribute, which
is 16, 32 or 64-bits. For example, the following pseudo-code indicates that the operand-size attribute depends
on the form of the MOV instruction used.
IF Instruction MOVW
THEN OperandSize = 16;
ELSE
IF Instruction MOVD
THEN OperandSize = 32;
ELSE
IF Instruction MOVQ
THEN OperandSize = 64;
FI;
FI;
FI;
See Operand-Size and Address-Size Attributes in Chapter 3 of the Intel 64 and IA-32 Architectures
Software Developers Manual, Volume 1, for guidelines on how these attributes are determined.
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-9
StackAddrSize Represents the stack address-size attribute associated with the instruction, which has a
value of 16, 32 or 64-bits. See Address-Size Attribute for Stack in Chapter 6, Procedure Calls, Interrupts, and
Exceptions, of the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1.
SRC Represents the source operand.
DEST Represents the destination operand.
VLMAX The maximum vector register width pertaining to the instruction. This is not the vector-length
encoding in the instruction's prefix but is instead determined by the current value of XCR0. For existing
processors, VLMAX is 256 whenever XCR0.YMM[bit 2] is 1. Future processors may defined new bits in XCR0
whose setting may imply other values for VLMAX.
V LMAX D e f in it io n
The following functions are used in the algorithmic descriptions:
ZeroExtend(value) Returns a value zero-extended to the operand-size attribute of the instruction. For
example, if the operand-size attribute is 32, zero extending a byte value of 10 converts the byte from F6H to
a doubleword value of 000000F6H. If the value passed to the ZeroExtend function and the operand-size
attribute are the same size, ZeroExtend returns the value unaltered.
SignExtend(value) Returns a value sign-extended to the operand-size attribute of the instruction. For
example, if the operand-size attribute is 32, sign extending a byte containing the value 10 converts the byte
from F6H to a doubleword value of FFFFFFF6H. If the value passed to the SignExtend function and the operand-
size attribute are the same size, SignExtend returns the value unaltered.
SaturateSignedWordToSignedByte Converts a signed 16-bit value to a signed 8-bit value. If the signed
16-bit value is less than 128, it is represented by the saturated value -128 (80H); if it is greater than 127, it
is represented by the saturated value 127 (7FH).
SaturateSignedDwordToSignedWord Converts a signed 32-bit value to a signed 16-bit value. If the
signed 32-bit value is less than 32768, it is represented by the saturated value 32768 (8000H); if it is
greater than 32767, it is represented by the saturated value 32767 (7FFFH).
SaturateSignedWordToUnsignedByte Converts a signed 16-bit value to an unsigned 8-bit value. If the
signed 16-bit value is less than zero, it is represented by the saturated value zero (00H); if it is greater than
255, it is represented by the saturated value 255 (FFH).
SaturateToSignedByte Represents the result of an operation as a signed 8-bit value. If the result is less
than 128, it is represented by the saturated value 128 (80H); if it is greater than 127, it is represented by
the saturated value 127 (7FH).
SaturateToSignedWord Represents the result of an operation as a signed 16-bit value. If the result is less
than 32768, it is represented by the saturated value 32768 (8000H); if it is greater than 32767, it is
represented by the saturated value 32767 (7FFFH).
SaturateToUnsignedByte Represents the result of an operation as a signed 8-bit value. If the result is less
than zero it is represented by the saturated value zero (00H); if it is greater than 255, it is represented by the
saturated value 255 (FFH).
SaturateToUnsignedWord Represents the result of an operation as a signed 16-bit value. If the result is
less than zero it is represented by the saturated value zero (00H); if it is greater than 65535, it is represented
by the saturated value 65535 (FFFFH).
LowOrderWord(DEST * SRC) Multiplies a word operand by a word operand and stores the least significant
word of the doubleword result in the destination operand.
HighOrderWord(DEST * SRC) Multiplies a word operand by a word operand and stores the most
significant word of the doubleword result in the destination operand.
Push(value) Pushes a value onto the stack. The number of bytes pushed is determined by the operand-size
attribute of the instruction. See the Operation subsection of the PUSHPush Word, Doubleword or
XCR0 Component VLMAX
XCR0.YMM 256
INSTRUCTION SET REFERENCE, A-M
3-10 Vol. 2A
Quadword Onto the Stack section in Chapter 4 of the Intel 64 and IA-32 Architectures Software Developers
Manual, Volume 2B.
Pop() removes the value from the top of the stack and returns it. The statement EAX Pop(); assigns to EAX
the 32-bit value from the top of the stack. Pop will return either a word, a doubleword or a quadword depending
on the operand-size attribute. See the Operation subsection in the POPPop a Value from the Stack section
of Chapter 4 of the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 2B.
PopRegisterStack Marks the FPU ST(0) register as empty and increments the FPU register stack pointer
(TOP) by 1.
Switch-Tasks Performs a task switch.
Bit(BitBase, BitOffset) Returns the value of a bit within a bit string. The bit string is a sequence of bits in
memory or a register. Bits are numbered from low-order to high-order within registers and within memory
bytes. If the BitBase is a register, the BitOffset can be in the range 0 to [15, 31, 63] depending on the mode and
register size. See Figure 3-1: the function Bit[RAX, 21] is illustrated.
If BitBase is a memory address, the BitOffset can range has different ranges depending on the operand size
(see Table 3-2).
The addressed bit is numbered (Offset MOD 8) within the byte at address (BitBase + (BitOffset DIV 8)) where
DIV is signed division with rounding towards negative infinity and MOD returns a positive number (see
Figure 3-2).
F ig u re 3 -1 . B it O f fse t fo r B I T [R AX, 2 1 ]
Ta b le 3 -2 . R a n g e o f B it P o sit io n s S p e cif ie d b y B it O f fse t O p e ra n d s
O p e ra n d S ize I m m e d ia t e B it O ffse t R e g ist e r B it O f fse t
16 0 to 15 2
15
to 2
15
1
32 0 to 31 2
31
to 2
31
1
64 0 to 63 2
63
to 2
63
1
0 21 31
Bit Offset 21
63
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-11
3 .1 .1 .1 0 I n t e l C /C ++ C o m p ile r I n t rin sics E q u iv a le n t s S e ct io n
The Intel C/C++ compiler intrinsics equivalents are special C/C++ coding extensions that allow using the syntax of
C function calls and C variables instead of hardware registers. Using these intrinsics frees programmers from
having to manage registers and assembly programming. Further, the compiler optimizes the instruction scheduling
so that executable run faster.
The following sections discuss the intrinsics API and the MMX technology and SIMD floating-point intrinsics. Each
intrinsic equivalent is listed with the instruction description. There may be additional intrinsics that do not have an
instruction equivalent. It is strongly recommended that the reader reference the compiler documentation for the
complete list of supported intrinsics.
See Appendix C, Intel C/C++ Compiler Intrinsics and Functional Equivalents, in the Intel 64 and IA-32 Archi-
tectures Software Developers Manual, Volume 2C, for more information on using intrinsics.
I n t rin sics AP I
The benefit of coding with MMX technology intrinsics and the SSE/SSE2/SSE3 intrinsics is that you can use the
syntax of C function calls and C variables instead of hardware registers. This frees you from managing registers
and programming assembly. Further, the compiler optimizes the instruction scheduling so that your executable
runs faster. For each computational and data manipulation instruction in the new instruction set, there is a corre-
sponding C intrinsic that implements it directly. The intrinsics allow you to specify the underlying implementation
(instruction selection) of an algorithm yet leave instruction scheduling and register allocation to the compiler.
MMX

Te ch n o lo g y I n t rin sics
The MMX technology intrinsics are based on a __m64 data type that represents the specific contents of an MMX
technology register. You can specify values in bytes, short integers, 32-bit values, or a 64-bit object. The __m64
data type, however, is not a basic ANSI C data type, and therefore you must observe the following usage restric-
tions:
Use __m64 data only on the left-hand side of an assignment, as a return value, or as a parameter. You cannot
use it with other arithmetic expressions (+, >>, and so on).
Use __m64 objects in aggregates, such as unions to access the byte elements and structures; the address of
an __m64 object may be taken.
Use __m64 data only with the MMX technology intrinsics described in this manual and Intel

C/C++ compiler
documentation.
See:
http://www.intel.com/support/performancetools/
F ig u re 3 -2 . Me m o ry B it I n d e x in g
BitBase +
0 7 7 7 5 0 0
BitBase
0 7 7 7 5 0 0
BitBase BitBase
BitOffset +13
BitOffset
BitBase BitBase
INSTRUCTION SET REFERENCE, A-M
3-12 Vol. 2A
Appendix C, Intel C/C++ Compiler Intrinsics and Functional Equivalents, in the Intel 64 and IA-32
Architectures Software Developers Manual, Volume 2C, for more information on using intrinsics.
SSE/SSE2/SSE3 Intrinsics
SSE/SSE2/SSE3 intrinsics all make use of the XMM registers of the Pentium III, Pentium 4, and Intel Xeon
processors. There are three data types supported by these intrinsics: __m128, __m128d, and __m128i.
The __m128 data type is used to represent the contents of an XMM register used by an SSE intrinsic. This is
either four packed single-precision floating-point values or a scalar single-precision floating-point value.
The __m128d data type holds two packed double-precision floating-point values or a scalar double-precision
floating-point value.
The __m128i data type can hold sixteen byte, eight word, or four doubleword, or two quadword integer values.
The compiler aligns __m128, __m128d, and __m128i local and global data to 16-byte boundaries on the stack. To
align integer, float, or double arrays, use the declspec statement as described in Intel C/C++ compiler documenta-
tion. See http://www.intel.com/support/performancetools/.
The __m128, __m128d, and __m128i data types are not basic ANSI C data types and therefore some restrictions
are placed on its usage:
Use __m128, __m128d, and __m128i only on the left-hand side of an assignment, as a return value, or as a
parameter. Do not use it in other arithmetic expressions such as + and >>.
Do not initialize __m128, __m128d, and __m128i with literals; there is no way to express 128-bit constants.
Use __m128, __m128d, and __m128i objects in aggregates, such as unions (for example, to access the float
elements) and structures. The address of these objects may be taken.
Use __m128, __m128d, and __m128i data only with the intrinsics described in this users guide. See Appendix
C, Intel C/C++ Compiler Intrinsics and Functional Equivalents, in the Intel 64 and IA-32 Architectures
Software Developers Manual, Volume 2C, for more information on using intrinsics.
The compiler aligns __m128, __m128d, and __m128i local data to 16-byte boundaries on the stack. Global
__m128 data is also aligned on 16-byte boundaries. (To align float arrays, you can use the alignment declspec
described in the following section.) Because the new instruction set treats the SIMD floating-point registers in the
same way whether you are using packed or scalar data, there is no __m32 data type to represent scalar data as
you might expect. For scalar operations, you should use the __m128 objects and the scalar forms of the intrin-
sics; the compiler and the processor implement these operations with 32-bit memory references.
The suffixes ps and ss are used to denote packed single and scalar single precision operations. The packed
floats are represented in right-to-left order, with the lowest word (right-most) being used for scalar operations: [z,
y, x, w]. To explain how memory storage reflects this, consider the following example.
The operation:
float a[4] {1.0, 2.0, 3.0, 4.0 };
__m128 t _mm_load_ps(a);
Produces the same result as follows:
__m128 t _mm_set_ps(4.0, 3.0, 2.0, 1.0);
In other words:
t [ 4.0, 3.0, 2.0, 1.0 ]
Where the scalar element is 1.0.
Some intrinsics are composites because they require more than one instruction to implement them. You should
be familiar with the hardware features provided by the SSE, SSE2, SSE3, and MMX technology when writing
programs with the intrinsics.
Keep the following important issues in mind:
Certain intrinsics, such as _mm_loadr_ps and _mm_cmpgt_ss, are not directly supported by the instruction
set. While these intrinsics are convenient programming aids, be mindful of their implementation cost.
Data loaded or stored as __m128 objects must generally be 16-byte-aligned.
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-13
Some intrinsics require that their argument be immediates, that is, constant integers (literals), due to the
nature of the instruction.
The result of arithmetic operations acting on two NaN (Not a Number) arguments is undefined. Therefore,
floating-point operations using NaN arguments may not match the expected behavior of the corresponding
assembly instructions.
For a more detailed description of each intrinsic and additional information related to its usage, refer to Intel
C/C++ compiler documentation. See:
http://www.intel.com/support/performancetools/
Appendix C, Intel C/C++ Compiler Intrinsics and Functional Equivalents, in the Intel 64 and IA-32
Architectures Software Developers Manual, Volume 2C, for more information on using intrinsics.
3 .1 .1 .1 1 F la g s Affe ct e d S e ct io n
The Flags Affected section lists the flags in the EFLAGS register that are affected by the instruction. When a flag
is cleared, it is equal to 0; when it is set, it is equal to 1. The arithmetic and logical instructions usually assign
values to the status flags in a uniform manner (see Appendix A, EFLAGS Cross-Reference, in the Intel 64 and
IA-32 Architectures Software Developers Manual, Volume 1). Non-conventional assignments are described in the
Operation section. The values of flags listed as undefined may be changed by the instruction in an indeterminate
manner. Flags that are not listed are unchanged by the instruction.
3 .1 .1 .1 2 F P U F la g s Affe ct e d S e ct io n
The floating-point instructions have an FPU Flags Affected section that describes how each instruction can affect
the four condition code flags of the FPU status word.
3 .1 .1 .1 3 P ro t e ct e d Mo d e E x ce p t io n s S e ct io n
The Protected Mode Exceptions section lists the exceptions that can occur when the instruction is executed in
protected mode and the reasons for the exceptions. Each exception is given a mnemonic that consists of a pound
sign (#) followed by two letters and an optional error code in parentheses. For example, #GP(0) denotes a general
protection exception with an error code of 0. Table 3-3 associates each two-letter mnemonic with the corre-
sponding interrupt vector number and exception name. See Chapter 6, Procedure Calls, Interrupts, and Excep-
tions, in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3A, for a detailed
description of the exceptions.
Application programmers should consult the documentation provided with their operating systems to determine
the actions taken when exceptions occur.
Ta b le 3 -3 . I n t e l 6 4 a n d I A-3 2 G e n e ra l E x ce p t io n s
Ve cto r
N o .
N a m e S o u rce P ro t e ct e d
Mo d e
1
R e a l
Ad d re ss
Mo d e
V irt u a l
8 0 8 6
Mo d e
0 #DEDivide Error DIV and IDIV instructions. Yes Yes Yes
1 #DBDebug Any code or data reference. Yes Yes Yes
3 #BPBreakpoint INT 3 instruction. Yes Yes Yes
4 #OFOverflow INTO instruction. Yes Yes Yes
5 #BRBOUND Range Exceeded BOUND instruction. Yes Yes Yes
6 #UDInvalid Opcode (Undefined
Opcode)
UD2 instruction or reserved opcode. Yes Yes Yes
7 #NMDevice Not Available (No
Math Coprocessor)
Floating-point or WAIT/FWAIT instruction. Yes Yes Yes
INSTRUCTION SET REFERENCE, A-M
3-14 Vol. 2A
3 .1 .1 .1 4 R e a l-Ad d re ss Mo d e E x ce p t io n s S e ct io n
The Real-Address Mode Exceptions section lists the exceptions that can occur when the instruction is executed in
real-address mode (see Table 3-3).
3 .1 .1 .1 5 V irt u a l-8 0 8 6 Mo d e E x ce p t io n s S e ct io n
The Virtual-8086 Mode Exceptions section lists the exceptions that can occur when the instruction is executed in
virtual-8086 mode (see Table 3-3).
3 .1 .1 .1 6 F lo a t in g -P o in t E x ce p t io n s S e ct io n
The Floating-Point Exceptions section lists exceptions that can occur when an x87 FPU floating-point instruction
is executed. All of these exception conditions result in a floating-point error exception (#MF, vector number 16)
being generated. Table 3-4 associates a one- or two-letter mnemonic with the corresponding exception name. See
Floating-Point Exception Conditions in Chapter 8 of the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 1, for a detailed description of these exceptions.
8 #DFDouble Fault Any instruction that can generate an exception,
an NMI, or an INTR.
Yes Yes Yes
10 #TSInvalid TSS Task switch or TSS access. Yes Reserved Yes
11 #NPSegment Not Present Loading segment registers or accessing system
segments.
Yes Reserved Yes
12 #SSStack Segment Fault Stack operations and SS register loads. Yes Yes Yes
13 #GPGeneral Protection
2
Any memory reference and other protection
checks.
Yes Yes Yes
14 #PFPage Fault Any memory reference. Yes Reserved Yes
16 #MFFloating-Point Error (Math
Fault)
Floating-point or WAIT/FWAIT instruction. Yes Yes Yes
17 #ACAlignment Check Any data reference in memory. Yes Reserved Yes
18 #MCMachine Check Model dependent machine check errors. Yes Yes Yes
19 #XMSIMD Floating-Point
Numeric Error
SSE/SSE2/SSE3 floating-point instructions. Yes Yes Yes
N O T E S :
1. Apply to protected mode, compatibility mode, and 64-bit mode.
2. In the real-address mode, vector 13 is the segment overrun exception.
Ta b le 3 -3 . I n t e l 6 4 a n d I A-3 2 G e n e ra l E x ce p t io n s (C o n t d .)
Ve ct o r
N o .
N a m e S o u rce P ro t e ct e d
Mo d e
1
R e a l
Ad d re ss
Mo d e
V irt u a l
8 0 8 6
Mo d e
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-15
3 .1 .1 .1 7 S I MD F lo a t in g -P o in t E x ce p t io n s S e ct io n
The SIMD Floating-Point Exceptions section lists exceptions that can occur when an SSE/SSE2/SSE3 floating-
point instruction is executed. All of these exception conditions result in a SIMD floating-point error exception (#XM,
vector number 19) being generated. Table 3-5 associates a one-letter mnemonic with the corresponding exception
name. For a detailed description of these exceptions, refer to SSE and SSE2 Exceptions, in Chapter 11 of the
Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1.
3 .1 .1 .1 8 C o m p a t ib ilit y Mo d e E x ce p t io n s S e ct io n
This section lists exceptions that occur within compatibility mode.
3 .1 .1 .1 9 6 4 -B it Mo d e E x ce p t io n s S e ct io n
This section lists exceptions that occur within 64-bit mode.
3 .2 I N S T R U C T I O N S (A-M)
The remainder of this chapter provides descriptions of Intel 64 and IA-32 instructions (A-M). See also: Chapter 4,
Instruction Set Reference, N-Z, in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume
2B.
Ta b le 3 -4 . x 8 7 F P U F lo a t in g -P o in t E x ce p t io n s
Mn e m o n ic N a m e S o u rce
#IS
#IA
Floating-point invalid operation:
- Stack overflow or underflow
- Invalid arithmetic operation
- x87 FPU stack overflow or underflow
- Invalid FPU arithmetic operation
#Z Floating-point divide-by-zero Divide-by-zero
#D Floating-point denormal operand Source operand that is a denormal number
#O Floating-point numeric overflow Overflow in result
#U Floating-point numeric underflow Underflow in result
#P Floating-point inexact result (precision) Inexact result (precision)
Ta b le 3 -5 . S I MD F lo a t in g -P o in t E x ce p t io n s
Mn e m o n ic N a m e S o u rce
#I Floating-point invalid operation Invalid arithmetic operation or source operand
#Z Floating-point divide-by-zero Divide-by-zero
#D Floating-point denormal operand Source operand that is a denormal number
#O Floating-point numeric overflow Overflow in result
#U Floating-point numeric underflow Underflow in result
#P Floating-point inexact result Inexact result (precision)
AAAASCII Adjust After Addition
INSTRUCTION SET REFERENCE, A-M
3-16 Vol. 2A
AAAAS C I I Ad ju st Aft e r Ad d it io n
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Adjusts the sum of two unpacked BCD values to create an unpacked BCD result. The AL register is the implied
source and destination operand for this instruction. The AAA instruction is only useful when it follows an ADD
instruction that adds (binary addition) two unpacked BCD values and stores a byte result in the AL register. The
AAA instruction then adjusts the contents of the AL register to contain the correct 1-digit unpacked BCD result.
If the addition produces a decimal carry, the AH register increments by 1, and the CF and AF flags are set. If there
was no decimal carry, the CF and AF flags are cleared and the AH register is unchanged. In either case, bits 4
through 7 of the AL register are set to 0.
This instruction executes as described in compatibility mode and legacy mode. It is not valid in 64-bit mode.
O p e ra t io n
IF 64-Bit Mode
THEN
#UD;
ELSE
IF ((AL AND 0FH) > 9) or (AF = 1)
THEN
AL AL + 6;
AH AH + 1;
AF 1;
CF 1;
AL AL AND 0FH;
ELSE
AF 0;
CF 0;
AL AL AND 0FH;
FI;
FI;
F la g s Af fe ct e d
The AF and CF flags are set to 1 if the adjustment results in a decimal carry; otherwise they are set to 0. The OF,
SF, ZF, and PF flags are undefined.
P ro t e ct e d Mo d e E x ce p t io n s
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as protected mode.
O p co d e I n st ru ct io n O p /
E n
6 4 -b it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
37 AAA NP Invalid Valid ASCII adjust AL after addition.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
AAAASCII Adjust After Addition
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-17
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as protected mode.
6 4 -B it Mo d e E x ce p t io n s
#UD If in 64-bit mode.
AADASCII Adjust AX Before Division
INSTRUCTION SET REFERENCE, A-M
3-18 Vol. 2A
AAD AS C I I Ad ju st AX B e fo re D iv isio n
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Adjusts two unpacked BCD digits (the least-significant digit in the AL register and the most-significant digit in the
AH register) so that a division operation performed on the result will yield a correct unpacked BCD value. The AAD
instruction is only useful when it precedes a DIV instruction that divides (binary division) the adjusted value in the
AX register by an unpacked BCD value.
The AAD instruction sets the value in the AL register to (AL + (10 * AH)), and then clears the AH register to 00H.
The value in the AX register is then equal to the binary equivalent of the original unpacked two-digit (base 10)
number in registers AH and AL.
The generalized version of this instruction allows adjustment of two unpacked digits of any number base (see the
Operation section below), by setting the imm8 byte to the selected number base (for example, 08H for octal, 0AH
for decimal, or 0CH for base 12 numbers). The AAD mnemonic is interpreted by all assemblers to mean adjust
ASCII (base 10) values. To adjust values in another number base, the instruction must be hand coded in machine
code (D5 imm8).
This instruction executes as described in compatibility mode and legacy mode. It is not valid in 64-bit mode.
O p e ra t io n
IF 64-Bit Mode
THEN
#UD;
ELSE
tempAL AL;
tempAH AH;
AL (tempAL + (tempAH imm8)) AND FFH;
(* imm8 is set to 0AH for the AAD mnemonic.*)
AH 0;
FI;
The immediate value (imm8) is taken from the second byte of the instruction.
F la g s Af fe ct e d
The SF, ZF, and PF flags are set according to the resulting binary value in the AL register; the OF, AF, and CF flags
are undefined.
P ro t e ct e d Mo d e E x ce p t io n s
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as protected mode.
O p co d e I n st ru ct io n O p /
E n
6 4 -b it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
D5 0A AAD NP Invalid Valid ASCII adjust AX before division.
D5 ib AAD imm8 NP Invalid Valid Adjust AX before division to number base
imm8.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
AADASCII Adjust AX Before Division
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-19
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as protected mode.
6 4 -B it Mo d e E x ce p t io n s
#UD If in 64-bit mode.
AAMASCII Adjust AX After Multiply
INSTRUCTION SET REFERENCE, A-M
3-20 Vol. 2A
AAMAS C I I Ad ju st AX Aft e r Mu lt ip ly
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Adjusts the result of the multiplication of two unpacked BCD values to create a pair of unpacked (base 10) BCD
values. The AX register is the implied source and destination operand for this instruction. The AAM instruction is
only useful when it follows an MUL instruction that multiplies (binary multiplication) two unpacked BCD values and
stores a word result in the AX register. The AAM instruction then adjusts the contents of the AX register to contain
the correct 2-digit unpacked (base 10) BCD result.
The generalized version of this instruction allows adjustment of the contents of the AX to create two unpacked
digits of any number base (see the Operation section below). Here, the imm8 byte is set to the selected number
base (for example, 08H for octal, 0AH for decimal, or 0CH for base 12 numbers). The AAM mnemonic is interpreted
by all assemblers to mean adjust to ASCII (base 10) values. To adjust to values in another number base, the
instruction must be hand coded in machine code (D4 imm8).
This instruction executes as described in compatibility mode and legacy mode. It is not valid in 64-bit mode.
O p e ra t io n
IF 64-Bit Mode
THEN
#UD;
ELSE
tempAL AL;
AH tempAL / imm8; (* imm8 is set to 0AH for the AAM mnemonic *)
AL tempAL MOD imm8;
FI;
The immediate value (imm8) is taken from the second byte of the instruction.
F la g s Af fe ct e d
The SF, ZF, and PF flags are set according to the resulting binary value in the AL register. The OF, AF, and CF flags
are undefined.
P ro t e ct e d Mo d e E x ce p t io n s
#DE If an immediate value of 0 is used.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as protected mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as protected mode.
O p co d e I n st ru ct io n O p /
E n
6 4 -b it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
D4 0A AAM NP Invalid Valid ASCII adjust AX after multiply.
D4 ib AAM imm8 NP Invalid Valid Adjust AX after multiply to number base
imm8.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
AAMASCII Adjust AX After Multiply
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-21
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as protected mode.
6 4 -B it Mo d e E x ce p t io n s
#UD If in 64-bit mode.
AASASCII Adjust AL After Subtraction
INSTRUCTION SET REFERENCE, A-M
3-22 Vol. 2A
AAS AS C I I Ad ju st AL Aft e r S u b t ra ct io n
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Adjusts the result of the subtraction of two unpacked BCD values to create a unpacked BCD result. The AL register
is the implied source and destination operand for this instruction. The AAS instruction is only useful when it follows
a SUB instruction that subtracts (binary subtraction) one unpacked BCD value from another and stores a byte
result in the AL register. The AAA instruction then adjusts the contents of the AL register to contain the correct 1-
digit unpacked BCD result.
If the subtraction produced a decimal carry, the AH register decrements by 1, and the CF and AF flags are set. If no
decimal carry occurred, the CF and AF flags are cleared, and the AH register is unchanged. In either case, the AL
register is left with its top four bits set to 0.
This instruction executes as described in compatibility mode and legacy mode. It is not valid in 64-bit mode.
O p e ra t io n
IF 64-bit mode
THEN
#UD;
ELSE
IF ((AL AND 0FH) > 9) or (AF = 1)
THEN
AX AX 6;
AH AH 1;
AF 1;
CF 1;
AL AL AND 0FH;
ELSE
CF 0;
AF 0;
AL AL AND 0FH;
FI;
FI;
F la g s Af fe ct e d
The AF and CF flags are set to 1 if there is a decimal borrow; otherwise, they are cleared to 0. The OF, SF, ZF, and
PF flags are undefined.
P ro t e ct e d Mo d e E x ce p t io n s
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as protected mode.
O p co d e I n st ru ct io n O p /
E n
6 4 -b it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
3F AAS NP Invalid Valid ASCII adjust AL after subtraction.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
AASASCII Adjust AL After Subtraction
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-23
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as protected mode.
6 4 -B it Mo d e E x ce p t io n s
#UD If in 64-bit mode.
ADCAdd with Carry
INSTRUCTION SET REFERENCE, A-M
3-24 Vol. 2A
AD C Ad d w it h C a rry
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Adds the destination operand (first operand), the source operand (second operand), and the carry (CF) flag and
stores the result in the destination operand. The destination operand can be a register or a memory location; the
source operand can be an immediate, a register, or a memory location. (However, two memory operands cannot be
used in one instruction.) The state of the CF flag represents a carry from a previous addition. When an immediate
value is used as an operand, it is sign-extended to the length of the destination operand format.
O p co d e I n st ru ct io n O p /
E n
6 4 -b it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
14 ib ADC AL, imm8 I Valid Valid Add with carry imm8 to AL.
15 iw ADC AX, imm16 I Valid Valid Add with carry imm16 to AX.
15 id ADC EAX, imm32 I Valid Valid Add with carry imm32 to EAX.
REX.W +15 id ADC RAX, imm32 I Valid N.E. Add with carry imm32 sign extended to 64-
bits to RAX.
80 /2 ib ADC r/m8, imm8 MI Valid Valid Add with carry imm8 to r/m8.
REX +80 /2 ib ADC r/m8
*
, imm8 MI Valid N.E. Add with carry imm8 to r/m8.
81 /2 iw ADC r/m16, imm16 MI Valid Valid Add with carry imm16 to r/m16.
81 /2 id ADC r/m32, imm32 MI Valid Valid Add with CF imm32 to r/m32.
REX.W +81 /2 id ADC r/m64, imm32 MI Valid N.E. Add with CF imm32 sign extended to 64-bits
to r/m64.
83 /2 ib ADC r/m16, imm8 MI Valid Valid Add with CF sign-extended imm8 to r/m16.
83 /2 ib ADC r/m32, imm8 MI Valid Valid Add with CF sign-extended imm8 into r/m32.
REX.W +83 /2 ib ADC r/m64, imm8 MI Valid N.E. Add with CF sign-extended imm8 into r/m64.
10 /r ADC r/m8, r8 MR Valid Valid Add with carry byte register to r/m8.
REX +10 /r ADC r/m8
*
, r8
*
MR Valid N.E. Add with carry byte register to r/m64.
11 /r ADC r/m16, r16 MR Valid Valid Add with carry r16 to r/m16.
11 /r ADC r/m32, r32 MR Valid Valid Add with CF r32 to r/m32.
REX.W +11 /r ADC r/m64, r64 MR Valid N.E. Add with CF r64 to r/m64.
12 /r ADC r8, r/m8 RM Valid Valid Add with carry r/m8 to byte register.
REX +12 /r ADC r8
*
, r/m8
*
RM Valid N.E. Add with carry r/m64 to byte register.
13 /r ADC r16, r/m16 RM Valid Valid Add with carry r/m16 to r16.
13 /r ADC r32, r/m32 RM Valid Valid Add with CF r/m32 to r32.
REX.W +13 /r ADC r64, r/m64 RM Valid N.E. Add with CF r/m64 to r64.
N O T E S :
*In 64-bit mode, r/m8 can not be encoded to access the following byte registers if a REX prefix is used: AH, BH, CH, DH.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
MR ModRM:r/m (r, w) ModRM:reg (r) NA NA
MI ModRM:r/m (r, w) imm8 NA NA
I AL/AX/EAX/RAX imm8 NA NA
ADCAdd with Carry
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-25
The ADC instruction does not distinguish between signed or unsigned operands. Instead, the processor evaluates
the result for both data types and sets the OF and CF flags to indicate a carry in the signed or unsigned result,
respectively. The SF flag indicates the sign of the signed result.
The ADC instruction is usually executed as part of a multibyte or multiword addition in which an ADD instruction is
followed by an ADC instruction.
This instruction can be used with a LOCK prefix to allow the instruction to be executed atomically.
In 64-bit mode, the instructions default operation size is 32 bits. Using a REX prefix in the form of REX.R permits
access to additional registers (R8-R15). Using a REX prefix in the form of REX.W promotes operation to 64 bits. See
the summary chart at the beginning of this section for encoding data and limits.
O p e ra t io n
DEST DEST + SRC + CF;
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
ADC: extern unsigned char _addcarry_u8(unsigned char c_in, unsigned char src1, unsigned char src2, unsigned char *sum_out);
ADC: extern unsigned char _addcarry_u16(unsigned char c_in, unsigned short src1, unsigned short src2, unsigned short
*sum_out);
ADC: extern unsigned char _addcarry_u32(unsigned char c_in, unsigned int src1, unsigned char int, unsigned int *sum_out);
ADC: extern unsigned char _addcarry_u64(unsigned char c_in, unsigned __int64 src1, unsigned __int64 src2, unsigned __int64
*sum_out);
F la g s Af fe ct e d
The OF, SF, ZF, AF, CF, and PF flags are set according to the result.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the destination is located in a non-writable segment.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register is used to access memory and it contains a NULL segment
selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used but the destination is not a memory operand.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used but the destination is not a memory operand.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used but the destination is not a memory operand.
ADCAdd with Carry
INSTRUCTION SET REFERENCE, A-M
3-26 Vol. 2A
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used but the destination is not a memory operand.
ADDAdd
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-27
AD D Ad d
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Adds the destination operand (first operand) and the source operand (second operand) and then stores the result
in the destination operand. The destination operand can be a register or a memory location; the source operand
can be an immediate, a register, or a memory location. (However, two memory operands cannot be used in one
instruction.) When an immediate value is used as an operand, it is sign-extended to the length of the destination
operand format.
The ADD instruction performs integer addition. It evaluates the result for both signed and unsigned integer oper-
ands and sets the OF and CF flags to indicate a carry (overflow) in the signed or unsigned result, respectively. The
SF flag indicates the sign of the signed result.
O p co d e I n st ru ct io n O p /
E n
6 4 -b it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
04 ib ADD AL, imm8 I Valid Valid Add imm8 to AL.
05 iw ADD AX, imm16 I Valid Valid Add imm16 to AX.
05 id ADD EAX, imm32 I Valid Valid Add imm32 to EAX.
REX.W +05 id ADD RAX, imm32 I Valid N.E. Add imm32 sign-extended to 64-bits to RAX.
80 /0 ib ADD r/m8, imm8 MI Valid Valid Add imm8 to r/m8.
REX +80 /0 ib ADD r/m8
*
, imm8 MI Valid N.E. Add sign-extended imm8 to r/m64.
81 /0 iw ADD r/m16, imm16 MI Valid Valid Add imm16 to r/m16.
81 /0 id ADD r/m32, imm32 MI Valid Valid Add imm32 to r/m32.
REX.W +81 /0 id ADD r/m64, imm32 MI Valid N.E. Add imm32 sign-extended to 64-bits to
r/m64.
83 /0 ib ADD r/m16, imm8 MI Valid Valid Add sign-extended imm8 to r/m16.
83 /0 ib ADD r/m32, imm8 MI Valid Valid Add sign-extended imm8 to r/m32.
REX.W +83 /0 ib ADD r/m64, imm8 MI Valid N.E. Add sign-extended imm8 to r/m64.
00 /r ADD r/m8, r8 MR Valid Valid Add r8 to r/m8.
REX +00 /r ADD r/m8
*
, r8
*
MR Valid N.E. Add r8 to r/m8.
01 /r ADD r/m16, r16 MR Valid Valid Add r16 to r/m16.
01 /r ADD r/m32, r32 MR Valid Valid Add r32 to r/m32.
REX.W +01 /r ADD r/m64, r64 MR Valid N.E. Add r64 to r/m64.
02 /r ADD r8, r/m8 RM Valid Valid Add r/m8 to r8.
REX +02 /r ADD r8
*
, r/m8
*
RM Valid N.E. Add r/m8 to r8.
03 /r ADD r16, r/m16 RM Valid Valid Add r/m16 to r16.
03 /r ADD r32, r/m32 RM Valid Valid Add r/m32 to r32.
REX.W +03 /r ADD r64, r/m64 RM Valid N.E. Add r/m64 to r64.
N O T E S :
*In 64-bit mode, r/m8 can not be encoded to access the following byte registers if a REX prefix is used: AH, BH, CH, DH.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
MR ModRM:r/m (r, w) ModRM:reg (r) NA NA
MI ModRM:r/m (r, w) imm8 NA NA
I AL/AX/EAX/RAX imm8 NA NA
ADDAdd
INSTRUCTION SET REFERENCE, A-M
3-28 Vol. 2A
This instruction can be used with a LOCK prefix to allow the instruction to be executed atomically.
In 64-bit mode, the instructions default operation size is 32 bits. Using a REX prefix in the form of REX.R permits
access to additional registers (R8-R15). Using a REX a REX prefix in the form of REX.W promotes operation to 64
bits. See the summary chart at the beginning of this section for encoding data and limits.
O p e ra t io n
DEST DEST + SRC;
F la g s Af fe ct e d
The OF, SF, ZF, AF, CF, and PF flags are set according to the result.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the destination is located in a non-writable segment.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register is used to access memory and it contains a NULL segment
selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used but the destination is not a memory operand.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used but the destination is not a memory operand.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used but the destination is not a memory operand.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used but the destination is not a memory operand.
ADDPDAdd Packed Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-29
AD D P D Ad d P a cke d D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a SIMD add of the two packed double-precision floating-point values from the source operand (second
operand) and the destination operand (first operand), and stores the packed double-precision floating-point results
in the destination operand.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
128-bit Legacy SSE version: The second source can be an XMM register or an 128-bit memory location. The desti-
nation is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding
YMM register destination are unmodified. See Chapter 11 in the Intel 64 and IA-32 Architectures Software Devel-
opers Manual, Volume 1, for an overview of SIMD double-precision floating-point operation.
VEX.128 encoded version: the first source operand is an XMM register or 128-bit memory location. The destination
operand is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand can be a YMM
register or a 256-bit memory location. The destination operand is a YMM register.
O p e ra t io n
AD D P D (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[63:0] DEST[63:0] +SRC[63:0];
DEST[127:64] DEST[127:64] + SRC[127:64];
DEST[VLMAX-1:128] (Unmodified)
VAD D P D (V E X.1 2 8 e n co d e d v e rsio n )
DEST[63:0] SRC1[63:0] +SRC2[63:0]
DEST[127:64] SRC1[127:64] +SRC2[127:64]
DEST[VLMAX-1:128] 0
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 58 /r
ADDPD xmm1, xmm2/m128
RM V/V SSE2 Add packed double-precision floating-point
values from xmm2/m128 to xmm1.
VEX.NDS.128.66.0F.WIG 58 /r
VADDPD xmm1,xmm2, xmm3/m128
RVM V/V AVX Add packed double-precision floating-point
values fromxmm3/mem to xmm2 and stores
result in xmm1.
VEX.NDS.256.66.0F.WIG 58 /r
VADDPD ymm1, ymm2, ymm3/m256
RVM V/V AVX Add packed double-precision floating-point
values fromymm3/memto ymm2 and stores
result in ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
ADDPDAdd Packed Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-30 Vol. 2A
VAD D P D (V E X.2 5 6 e n co d e d v e rsio n )
DEST[63:0] SRC1[63:0] +SRC2[63:0]
DEST[127:64] SRC1[127:64] +SRC2[127:64]
DEST[191:128] SRC1[191:128] +SRC2[191:128]
DEST[255:192] SRC1[255:192] +SRC2[255:192]
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
ADDPD: __m128d _mm_add_pd (__m128d a, __m128d b)
VADDPD: __m256d _mm256_add_pd (__m256d a, __m256d b)
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 2.
ADDPSAdd Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-31
AD D P S Ad d P a cke d S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a SIMD add of the four packed single-precision floating-point values from the source operand (second
operand) and the destination operand (first operand), and stores the packed single-precision floating-point results
in the destination operand.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
128-bit Legacy SSE version: The second source can be an XMM register or an 128-bit memory location. The desti-
nation is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding
YMM register destination are unmodified. See Chapter 10 in the Intel 64 and IA-32 Architectures Software Devel-
opers Manual, Volume 1, for an overview of SIMD single-precision floating-point operation.
VEX.128 encoded version: the first source operand is an XMM register or 128-bit memory location. The destination
operand is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand can be a YMM
register or a 256-bit memory location. The destination operand is a YMM register.
O p e ra t io n
AD D P S (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[31:0] DEST[31:0] +SRC[31:0];
DEST[63:32] DEST[63:32] + SRC[63:32];
DEST[95:64] DEST[95:64] + SRC[95:64];
DEST[127:96] DEST[127:96] + SRC[127:96];
DEST[VLMAX-1:128] (Unmodified)
VAD D P S (VE X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] SRC1[31:0] +SRC2[31:0]
DEST[63:32] SRC1[63:32] +SRC2[63:32]
DEST[95:64] SRC1[95:64] +SRC2[95:64]
DEST[127:96] SRC1[127:96] +SRC2[127:96]
DEST[VLMAX-1:128] 0
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 58 /r
ADDPS xmm1, xmm2/m128
RM V/V SSE Add packed single-precision floating-point
values fromxmm2/m128 to xmm1 and stores
result in xmm1.
VEX.NDS.128.0F.WIG 58 /r
VADDPS xmm1,xmm2, xmm3/m128
RVM V/V AVX Add packed single-precision floating-point
values fromxmm3/mem to xmm2 and stores
result in xmm1.
VEX.NDS.256.0F.WIG 58 /r
VADDPS ymm1, ymm2, ymm3/m256
RVM V/V AVX Add packed single-precision floating-point
values from ymm3/mem to ymm2 and stores
result in ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m(r)) NA
ADDPSAdd Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-32 Vol. 2A
VAD D P S (VE X.2 5 6 e n co d e d v e rsio n )
DEST[31:0] SRC1[31:0] +SRC2[31:0]
DEST[63:32] SRC1[63:32] +SRC2[63:32]
DEST[95:64] SRC1[95:64] +SRC2[95:64]
DEST[127:96] SRC1[127:96] +SRC2[127:96]
DEST[159:128] SRC1[159:128] +SRC2[159:128]
DEST[191:160] SRC1[191:160] +SRC2[191:160]
DEST[223:192] SRC1[223:192] +SRC2[223:192]
DEST[255:224] SRC1[255:224] +SRC2[255:224]
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
ADDPS: __m128 _mm_add_ps(__m128 a, __m128 b)
VADDPS: __m256 _mm256_add_ps (__m256 a, __m256 b)
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 2.
ADDSDAdd Scalar Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-33
AD D S D Ad d S ca la r D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Adds the low double-precision floating-point values from the source operand (second operand) and the destination
operand (first operand), and stores the double-precision floating-point result in the destination operand.
The source operand can be an XMM register or a 64-bit memory location. The destination operand is an XMM
register. See Chapter 11 in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1, for an
overview of a scalar double-precision floating-point operation.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
128-bit Legacy SSE version: Bits (VLMAX-1:64) of the corresponding YMM destination register remain unchanged.
VEX.128 encoded version: Bits (127:64) of the XMM register destination are copied from corresponding bits in the
first source operand. Bits (VLMAX-1:128) of the destination YMM register are zeroed.
O p e ra t io n
AD D S D (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[63:0] DEST[63:0] +SRC[63:0]
DEST[VLMAX-1:64] (Unmodified)
VAD D S D (V E X.1 2 8 e n co d e d v e rsio n )
DEST[63:0] SRC1[63:0] +SRC2[63:0]
DEST[127:64] SRC1[127:64]
DEST[VLMAX-1:128] 0
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
ADDSD: __m128d _mm_add_sd (m128d a, m128d b)
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 3.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
F2 0F 58 /r
ADDSD xmm1, xmm2/m64
RM V/V SSE2 Add the low double-precision floating-point
value fromxmm2/m64 to xmm1.
VEX.NDS.LIG.F2.0F.WIG 58 /r
VADDSD xmm1, xmm2, xmm3/m64
RVM V/V AVX Add the low double-precision floating-point
value from xmm3/mem to xmm2 and store
the result in xmm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r)) NA
ADDSSAdd Scalar Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-34 Vol. 2A
AD D S S Ad d S ca la r S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Adds the low single-precision floating-point values from the source operand (second operand) and the destination
operand (first operand), and stores the single-precision floating-point result in the destination operand.
The source operand can be an XMM register or a 32-bit memory location. The destination operand is an XMM
register. See Chapter 10 in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1, for an
overview of a scalar single-precision floating-point operation.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
128-bit Legacy SSE version: Bits (VLMAX-1:32) of the corresponding YMM destination register remain unchanged.
VEX.128 encoded version: Bits (127:32) of the XMM register destination are copied from corresponding bits in the
first source operand. Bits (VLMAX-1:128) of the destination YMM register are zeroed.
O p e ra t io n
AD D S S D E S T, S R C (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[31:0] DEST[31:0] +SRC[31:0];
DEST[VLMAX-1:32] (Unmodified)
VAD D S S D E S T , S R C 1 , S R C 2 (VE X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] SRC1[31:0] +SRC2[31:0]
DEST[127:32] SRC1[127:32]
DEST[VLMAX-1:128] 0
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
ADDSS: __m128 _mm_add_ss(__m128 a, __m128 b)
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 3.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
F3 0F 58 /r
ADDSS xmm1, xmm2/m32
RM V/V SSE Add the low single-precision floating-point
value from xmm2/m32 to xmm1.
VEX.NDS.LIG.F3.0F.WIG 58 /r
VADDSS xmm1,xmm2, xmm3/m32
RVM V/V AVX Add the low single-precision floating-point
value fromxmm3/mem to xmm2 and store
the result in xmm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
ADDSUBPDPacked Double-FP Add/Subtract
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-35
AD D S U B P D P a cke d D o u b le -F P Ad d /S u b t ra ct
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Adds odd-numbered double-precision floating-point values of the first source operand (second operand) with the
corresponding double-precision floating-point values from the second source operand (third operand); stores the
result in the odd-numbered values of the destination operand (first operand). Subtracts the even-numbered
double-precision floating-point values from the second source operand from the corresponding double-precision
floating values in the first source operand; stores the result into the even-numbered values of the destination
operand.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
128-bit Legacy SSE version: The second source can be an XMM register or an 128-bit memory location. The desti-
nation is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding
YMM register destination are unmodified. See Figure 3-3.
VEX.128 encoded version: the first source operand is an XMM register or 128-bit memory location. The destination
operand is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand can be a YMM
register or a 256-bit memory location. The destination operand is a YMM register.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F D0 /r
ADDSUBPD xmm1, xmm2/m128
RM V/V SSE3 Add/subtract double-precision floating-point
values fromxmm2/m128 to xmm1.
VEX.NDS.128.66.0F.WIG D0 /r
VADDSUBPD xmm1, xmm2, xmm3/m128
RVM V/V AVX Add/subtract packed double-precision
floating-point values fromxmm3/mem to
xmm2 and stores result in xmm1.
VEX.NDS.256.66.0F.WIG D0 /r
VADDSUBPD ymm1, ymm2, ymm3/m256
RVM V/V AVX Add / subtract packed double-precision
floating-point values fromymm3/memto
ymm2 and stores result in ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m(r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
ADDSUBPDPacked Double-FP Add/Subtract
INSTRUCTION SET REFERENCE, A-M
3-36 Vol. 2A
O p e ra t io n
AD D S U B P D (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[63:0] DEST[63:0] - SRC[63:0]
DEST[127:64] DEST[127:64] +SRC[127:64]
DEST[VLMAX-1:128] (Unmodified)
VAD D S U B P D (VE X.1 2 8 e n co d e d v e rsio n )
DEST[63:0] SRC1[63:0] - SRC2[63:0]
DEST[127:64] SRC1[127:64] +SRC2[127:64]
DEST[VLMAX-1:128] 0
VAD D S U B P D (VE X.2 5 6 e n co d e d v e rsio n )
DEST[63:0] SRC1[63:0] - SRC2[63:0]
DEST[127:64] SRC1[127:64] +SRC2[127:64]
DEST[191:128] SRC1[191:128] - SRC2[191:128]
DEST[255:192] SRC1[255:192] +SRC2[255:192]
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
ADDSUBPD: __m128d _mm_addsub_pd(__m128d a, __m128d b)
VADDSUBPD: __m256d _mm256_addsub_pd (__m256d a, __m256d b)
E x ce p t io n s
When the source operand is a memory operand, it must be aligned on a 16-byte boundary or a general-protection
exception (#GP) will be generated.
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 2.
F ig u re 3 -3 . AD D S U B P D P a cke d D o u b le -F P Ad d /S u b t ra ct
[127:64]
xmm1[127:64] + xmm2/m128[127:64] xmm1[63:0] - xmm2/m128[63:0]
[63:0]
[127:64] [63:0]
ADDSUBPD xmm1, xmm2/m128
RESULT:
xmm1
xmm2/m128
ADDSUBPSPacked Single-FP Add/Subtract
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-37
AD D S U B P S P a cke d S in g le -F P Ad d /S u b t ra ct
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Adds odd-numbered single-precision floating-point values of the first source operand (second operand) with the
corresponding single-precision floating-point values from the second source operand (third operand); stores the
result in the odd-numbered values of the destination operand (first operand). Subtracts the even-numbered
single-precision floating-point values from the second source operand from the corresponding single-precision
floating values in the first source operand; stores the result into the even-numbered values of the destination
operand.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
128-bit Legacy SSE version: The second source can be an XMM register or an 128-bit memory location. The desti-
nation is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding
YMM register destination are unmodified. See Figure 3-4.
VEX.128 encoded version: the first source operand is an XMM register or 128-bit memory location. The destination
operand is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand can be a YMM
register or a 256-bit memory location. The destination operand is a YMM register.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
F2 0F D0 /r
ADDSUBPS xmm1, xmm2/m128
RM V/V SSE3 Add/subtract single-precision floating-point
values from xmm2/m128 to xmm1.
VEX.NDS.128.F2.0F.WIG D0 /r
VADDSUBPS xmm1, xmm2, xmm3/m128
RVM V/V AVX Add/subtract single-precision floating-point
values fromxmm3/mem to xmm2 and stores
result in xmm1.
VEX.NDS.256.F2.0F.WIG D0 /r
VADDSUBPS ymm1, ymm2, ymm3/m256
RVM V/V AVX Add / subtract single-precision floating-point
values from ymm3/mem to ymm2 and stores
result in ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
ADDSUBPSPacked Single-FP Add/Subtract
INSTRUCTION SET REFERENCE, A-M
3-38 Vol. 2A
O p e ra t io n
AD D S U B P S (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[31:0] DEST[31:0] - SRC[31:0]
DEST[63:32] DEST[63:32] +SRC[63:32]
DEST[95:64] DEST[95:64] - SRC[95:64]
DEST[127:96] DEST[127:96] +SRC[127:96]
DEST[VLMAX-1:128] (Unmodified)
VAD D S U B P S (V E X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] SRC1[31:0] - SRC2[31:0]
DEST[63:32] SRC1[63:32] +SRC2[63:32]
DEST[95:64] SRC1[95:64] - SRC2[95:64]
DEST[127:96] SRC1[127:96] +SRC2[127:96]
DEST[VLMAX-1:128] 0
VAD D S U B P S (V E X.2 5 6 e n co d e d v e rsio n )
DEST[31:0] SRC1[31:0] - SRC2[31:0]
DEST[63:32] SRC1[63:32] +SRC2[63:32]
DEST[95:64] SRC1[95:64] - SRC2[95:64]
DEST[127:96] SRC1[127:96] +SRC2[127:96]
DEST[159:128] SRC1[159:128] - SRC2[159:128]
DEST[191:160] SRC1[191:160] +SRC2[191:160]
DEST[223:192] SRC1[223:192] - SRC2[223:192]
DEST[255:224] SRC1[255:224] +SRC2[255:224].
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
ADDSUBPS: __m128 _mm_addsub_ps(__m128 a, __m128 b)
VADDSUBPS: __m256 _mm256_addsub_ps (__m256 a, __m256 b)
E x ce p t io n s
When the source operand is a memory operand, the operand must be aligned on a 16-byte boundary or a general-
protection exception (#GP) will be generated.
F ig u re 3 -4 . AD D S U B P S P a cke d S in g le -F P Ad d /S u b t ra ct
OM15992
ADDSUBPS xmm1, xmm2/m128
RESULT:
xmm1
xmm2/
m128
xmm1[31:0] -
xmm2/m128[31:0]
[31:0]
xmm1[63:32] +
xmm2/m128[63:32]
[63:32]
xmm1[95:64] - xmm2/
m128[95:64]
[95:64]
xmm1[127:96] +
xmm2/m128[127:96]
[127:96]
[127:96] [95:64] [63:32] [31:0]
ADDSUBPSPacked Single-FP Add/Subtract
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-39
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 2.
AESDECPerformOne Round of an AES Decryption Flow
INSTRUCTION SET REFERENCE, A-M
3-40 Vol. 2A
AE S D E C P e rfo rm O n e R o u n d o f a n AE S D e cry p t io n F lo w
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
This instruction performs a single round of the AES decryption flow using the Equivalent Inverse Cipher, with the
round key from the second source operand, operating on a 128-bit data (state) from the first source operand, and
store the result in the destination operand.
Use the AESDEC instruction for all but the last decryption round. For the last decryption round, use the AESDEC-
CLAST instruction.
128-bit Legacy SSE version: The first source operand and the destination operand are the same and must be an
XMM register. The second source operand can be an XMM register or a 128-bit memory location. Bits (VLMAX-
1:128) of the corresponding YMM destination register remain unchanged.
VEX.128 encoded version: The first source operand and the destination operand are XMM registers. The second
source operand can be an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the destination YMM
register are zeroed.
O p e ra t io n
AE S D E C
STATE SRC1;
RoundKey SRC2;
STATE InvShiftRows( STATE );
STATE InvSubBytes( STATE );
STATE InvMixColumns( STATE );
DEST[127:0] STATE XOR RoundKey;
DEST[VLMAX-1:128] (Unmodified)
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 38 DE /r
AESDEC xmm1, xmm2/m128
RM V/V AES Perform one round of an AES decryption flow,
using the Equivalent Inverse Cipher, operating
on a 128-bit data (state) from xmm1 with a
128-bit round key fromxmm2/m128.
VEX.NDS.128.66.0F38.WIG DE /r
VAESDEC xmm1, xmm2, xmm3/m128
RVM V/V Both AES
and
AVX flags
Performone round of an AES decryption flow,
using the Equivalent Inverse Cipher, operating
on a 128-bit data (state) from xmm2 with a
128-bit round key fromxmm3/m128; store
the result in xmm1.
Op/En Operand 1 Operand2 Operand3 Operand4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
AESDECPerformOne Round of an AES Decryption Flow
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-41
VAE S D E C
STATE SRC1;
RoundKey SRC2;
STATE InvShiftRows( STATE );
STATE InvSubBytes( STATE );
STATE InvMixColumns( STATE );
DEST[127:0] STATE XOR RoundKey;
DEST[VLMAX-1:128] 0
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
(V)AESDEC: __m128i _mm_aesdec (__m128i, __m128i)
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Exceptions Type 4.
AESDECLASTPerformLast Round of an AES Decryption Flow
INSTRUCTION SET REFERENCE, A-M
3-42 Vol. 2A
AE S D E C LAS T P e rfo rm La st R o u n d o f a n AE S D e cry p t io n F lo w
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
This instruction performs the last round of the AES decryption flow using the Equivalent Inverse Cipher, with the
round key from the second source operand, operating on a 128-bit data (state) from the first source operand, and
store the result in the destination operand.
128-bit Legacy SSE version: The first source operand and the destination operand are the same and must be an
XMM register. The second source operand can be an XMM register or a 128-bit memory location. Bits (VLMAX-
1:128) of the corresponding YMM destination register remain unchanged.
VEX.128 encoded version: The first source operand and the destination operand are XMM registers. The second
source operand can be an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the destination YMM
register are zeroed.
O p e ra t io n
AE S D E C LAS T
STATE SRC1;
RoundKey SRC2;
STATE InvShiftRows( STATE );
STATE InvSubBytes( STATE );
DEST[127:0] STATE XOR RoundKey;
DEST[VLMAX-1:128] (Unmodified)
VAE S D E C LAS T
STATE SRC1;
RoundKey SRC2;
STATE InvShiftRows( STATE );
STATE InvSubBytes( STATE );
DEST[127:0] STATE XOR RoundKey;
DEST[VLMAX-1:128] 0
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 38 DF /r
AESDECLAST xmm1, xmm2/m128
RM V/V AES Perform the last round of an AES decryption
flow, using the Equivalent Inverse Cipher,
operating on a 128-bit data (state) from
xmm1 with a 128-bit round key from
xmm2/m128.
VEX.NDS.128.66.0F38.WIG DF /r
VAESDECLAST xmm1, xmm2, xmm3/m128
RVM V/V Both AES
and
AVX flags
Perform the last round of an AES decryption
flow, using the Equivalent Inverse Cipher,
operating on a 128-bit data (state) from
xmm2 with a 128-bit round key from
xmm3/m128; store the result in xmm1.
Op/En Operand 1 Operand2 Operand3 Operand4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
AESDECLASTPerformLast Round of an AES Decryption Flow
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-43
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
(V)AESDECLAST: __m128i _mm_aesdeclast (__m128i, __m128i)
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Exceptions Type 4.
AESENCPerformOne Round of an AES Encryption Flow
INSTRUCTION SET REFERENCE, A-M
3-44 Vol. 2A
AE S E N C P e rfo rm O n e R o u n d o f a n AE S E n cry p t io n F lo w
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
This instruction performs a single round of an AES encryption flow using a round key from the second source
operand, operating on 128-bit data (state) from the first source operand, and store the result in the destination
operand.
Use the AESENC instruction for all but the last encryption rounds. For the last encryption round, use the AESENC-
CLAST instruction.
128-bit Legacy SSE version: The first source operand and the destination operand are the same and must be an
XMM register. The second source operand can be an XMM register or a 128-bit memory location. Bits (VLMAX-
1:128) of the corresponding YMM destination register remain unchanged.
VEX.128 encoded version: The first source operand and the destination operand are XMM registers. The second
source operand can be an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the destination YMM
register are zeroed.
O p e ra t io n
AE S E N C
STATE SRC1;
RoundKey SRC2;
STATE ShiftRows( STATE );
STATE SubBytes( STATE );
STATE MixColumns( STATE );
DEST[127:0] STATE XOR RoundKey;
DEST[VLMAX-1:128] (Unmodified)
VAE S E N C
STATE SRC1;
RoundKey SRC2;
STATE ShiftRows( STATE );
STATE SubBytes( STATE );
STATE MixColumns( STATE );
DEST[127:0] STATE XOR RoundKey;
DEST[VLMAX-1:128] 0
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 38 DC /r
AESENC xmm1, xmm2/m128
RM V/V AES Performone round of an AES encryption flow,
operating on a 128-bit data (state) from
xmm1 with a 128-bit round key from
xmm2/m128.
VEX.NDS.128.66.0F38.WIG DC /r
VAESENC xmm1, xmm2, xmm3/m128
RVM V/V Both AES
and
AVX flags
Perform one round of an AES encryption flow,
operating on a 128-bit data (state) from
xmm2 with a 128-bit round key fromthe
xmm3/m128; store the result in xmm1.
Op/En Operand 1 Operand2 Operand3 Operand4
RM ModRM:reg (r, w) ModRM:r/m(r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
AESENCPerformOne Round of an AES Encryption Flow
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-45
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
(V)AESENC: __m128i _mm_aesenc (__m128i, __m128i)
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Exceptions Type 4.
AESENCLASTPerformLast Round of an AES Encryption Flow
INSTRUCTION SET REFERENCE, A-M
3-46 Vol. 2A
AE S E N C LAS T P e rfo rm La st R o u n d o f a n AE S E n cry p t io n F lo w
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
This instruction performs the last round of an AES encryption flow using a round key from the second source
operand, operating on 128-bit data (state) from the first source operand, and store the result in the destination
operand.
128-bit Legacy SSE version: The first source operand and the destination operand are the same and must be an
XMM register. The second source operand can be an XMM register or a 128-bit memory location. Bits (VLMAX-
1:128) of the corresponding YMM destination register remain unchanged.
VEX.128 encoded version: The first source operand and the destination operand are XMM registers. The second
source operand can be an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the destination YMM
register are zeroed.
O p e ra t io n
AE S E N C LAS T
STATE SRC1;
RoundKey SRC2;
STATE ShiftRows( STATE );
STATE SubBytes( STATE );
DEST[127:0] STATE XOR RoundKey;
DEST[VLMAX-1:128] (Unmodified)
VAE S E N C LAS T
STATE SRC1;
RoundKey SRC2;
STATE ShiftRows( STATE );
STATE SubBytes( STATE );
DEST[127:0] STATE XOR RoundKey;
DEST[VLMAX-1:128] 0
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
(V)AESENCLAST: __m128i _mm_aesenclast (__m128i, __m128i)
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 38 DD /r
AESENCLAST xmm1, xmm2/m128
RM V/V AES Performthe last round of an AES encryption
flow, operating on a 128-bit data (state) from
xmm1 with a 128-bit round key from
xmm2/m128.
VEX.NDS.128.66.0F38.WIG DD /r
VAESENCLAST xmm1, xmm2, xmm3/m128
RVM V/V Both AES
and
AVX flags
Performthe last round of an AES encryption
flow, operating on a 128-bit data (state) from
xmm2 with a 128 bit round key from
xmm3/m128; store the result in xmm1.
Op/En Operand 1 Operand2 Operand3 Operand4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m(r) NA
AESENCLASTPerformLast Round of an AES Encryption Flow
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-47
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Exceptions Type 4.
AESIMCPerformthe AES InvMixColumn Transformation
INSTRUCTION SET REFERENCE, A-M
3-48 Vol. 2A
AE S I MC P e rfo rm t h e AE S I n v Mix C o lu m n T ra n sfo rm a t io n
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Perform the InvMixColumns transformation on the source operand and store the result in the destination operand.
The destination operand is an XMM register. The source operand can be an XMM register or a 128-bit memory loca-
tion.
Note: the AESIMC instruction should be applied to the expanded AES round keys (except for the first and last round
key) in order to prepare them for decryption using the Equivalent Inverse Cipher (defined in FIPS 197).
128-bit Legacy SSE version: Bits (VLMAX-1:128) of the corresponding YMM destination register remain
unchanged.
VEX.128 encoded version: Bits (VLMAX-1:128) of the destination YMM register are zeroed.
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b, otherwise instructions will #UD.
O p e ra t io n
AE S I MC
DEST[127:0] InvMixColumns( SRC );
DEST[VLMAX-1:128] (Unmodified)
VAE S I MC
DEST[127:0] InvMixColumns( SRC );
DEST[VLMAX-1:128] 0;
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
(V)AESIMC: __m128i _mm_aesimc (__m128i)
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.vvvv != 1111B.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 38 DB /r
AESIMC xmm1, xmm2/m128
RM V/V AES Perform the InvMixColumn transformation on
a 128-bit round key fromxmm2/m128 and
store the result in xmm1.
VEX.128.66.0F38.WIG DB /r
VAESIMC xmm1, xmm2/m128
RM V/V Both AES
and
AVX flags
Perform the InvMixColumn transformation on
a 128-bit round key fromxmm2/m128 and
store the result in xmm1.
Op/En Operand 1 Operand2 Operand3 Operand4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
AESKEYGENASSISTAES Round Key Generation Assist
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-49
AE S K E YG E N AS S I S T AE S R o u n d K e y G e n e ra t io n Assist
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Assist in expanding the AES cipher key, by computing steps towards generating a round key for encryption, using
128-bit data specified in the source operand and an 8-bit round constant specified as an immediate, store the
result in the destination operand.
The destination operand is an XMM register. The source operand can be an XMM register or a 128-bit memory loca-
tion.
128-bit Legacy SSE version:Bits (VLMAX-1:128) of the corresponding YMM destination register remain unchanged.
VEX.128 encoded version: Bits (VLMAX-1:128) of the destination YMM register are zeroed.
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b, otherwise instructions will #UD.
O p e ra t io n
AE S K E YG E N AS S I S T
X3[31:0] SRC [127: 96];
X2[31:0] SRC [95: 64];
X1[31:0] SRC [63: 32];
X0[31:0] SRC [31: 0];
RCON[31:0] ZeroExtend(Imm8[7:0]);
DEST[31:0] SubWord(X1);
DEST[63:32 ] RotWord( SubWord(X1) ) XOR RCON;
DEST[95:64] SubWord(X3);
DEST[127:96] RotWord( SubWord(X3) ) XOR RCON;
DEST[VLMAX-1:128] (Unmodified)
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 3A DF /r ib
AESKEYGENASSIST xmm1, xmm2/m128, imm8
RMI V/V AES Assist in AES round key generation using an 8
bits Round Constant (RCON) specified in the
immediate byte, operating on 128 bits of data
specified in xmm2/m128 and stores the
result in xmm1.
VEX.128.66.0F3A.WIG DF /r ib
VAESKEYGENASSIST xmm1, xmm2/m128, imm8
RMI V/V Both AES
and
AVX flags
Assist in AES round key generation using 8
bits Round Constant (RCON) specified in the
immediate byte, operating on 128 bits of data
specified in xmm2/m128 and stores the
result in xmm1.
Op/En Operand 1 Operand2 Operand3 Operand4
RMI ModRM:reg (w) ModRM:r/m (r) imm8 NA
AESKEYGENASSISTAES Round Key Generation Assist
INSTRUCTION SET REFERENCE, A-M
3-50 Vol. 2A
VAE S K E YG E N AS S I S T
X3[31:0] SRC [127: 96];
X2[31:0] SRC [95: 64];
X1[31:0] SRC [63: 32];
X0[31:0] SRC [31: 0];
RCON[31:0] ZeroExtend(Imm8[7:0]);
DEST[31:0] SubWord(X1);
DEST[63:32 ] RotWord( SubWord(X1) ) XOR RCON;
DEST[95:64] SubWord(X3);
DEST[127:96] RotWord( SubWord(X3) ) XOR RCON;
DEST[VLMAX-1:128] 0;
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
(V)AESKEYGENASSIST: __m128i _mm_aesimc (__m128i, const int)
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.vvvv != 1111B.
ANDLogical AND
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-51
AN D Lo g ica l AN D
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a bitwise AND operation on the destination (first) and source (second) operands and stores the result in
the destination operand location. The source operand can be an immediate, a register, or a memory location; the
destination operand can be a register or a memory location. (However, two memory operands cannot be used in
one instruction.) Each bit of the result is set to 1 if both corresponding bits of the first and second operands are 1;
otherwise, it is set to 0.
This instruction can be used with a LOCK prefix to allow the it to be executed atomically.
O p co d e I n st ru ct io n O p /
E n
6 4 -b it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
24 ib AND AL, imm8 I Valid Valid AL AND imm8.
25 iw AND AX, imm16 I Valid Valid AX AND imm16.
25 id AND EAX, imm32 I Valid Valid EAX AND imm32.
REX.W +25 id AND RAX, imm32 I Valid N.E. RAX AND imm32 sign-extended to 64-bits.
80 /4 ib AND r/m8, imm8 MI Valid Valid r/m8 AND imm8.
REX +80 /4 ib AND r/m8
*
, imm8 MI Valid N.E. r/m8 AND imm8.
81 /4 iw AND r/m16, imm16 MI Valid Valid r/m16 AND imm16.
81 /4 id AND r/m32, imm32 MI Valid Valid r/m32 AND imm32.
REX.W +81 /4 id AND r/m64, imm32 MI Valid N.E. r/m64 AND imm32 sign extended to 64-bits.
83 /4 ib AND r/m16, imm8 MI Valid Valid r/m16 AND imm8 (sign-extended).
83 /4 ib AND r/m32, imm8 MI Valid Valid r/m32 AND imm8 (sign-extended).
REX.W +83 /4 ib AND r/m64, imm8 MI Valid N.E. r/m64 AND imm8 (sign-extended).
20 /r AND r/m8, r8 MR Valid Valid r/m8 AND r8.
REX +20 /r AND r/m8
*
, r8
*
MR Valid N.E. r/m64 AND r8 (sign-extended).
21 /r AND r/m16, r16 MR Valid Valid r/m16 AND r16.
21 /r AND r/m32, r32 MR Valid Valid r/m32 AND r32.
REX.W +21 /r AND r/m64, r64 MR Valid N.E. r/m64 AND r32.
22 /r AND r8, r/m8 RM Valid Valid r8 AND r/m8.
REX +22 /r AND r8
*
, r/m8
*
RM Valid N.E. r/m64 AND r8 (sign-extended).
23 /r AND r16, r/m16 RM Valid Valid r16 AND r/m16.
23 /r AND r32, r/m32 RM Valid Valid r32 AND r/m32.
REX.W +23 /r AND r64, r/m64 RM Valid N.E. r64 AND r/m64.
N O T E S :
*In 64-bit mode, r/m8 can not be encoded to access the following byte registers if a REX prefix is used: AH, BH, CH, DH.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
MR ModRM:r/m (r, w) ModRM:reg (r) NA NA
MI ModRM:r/m (r, w) imm8 NA NA
I AL/AX/EAX/RAX imm8 NA NA
ANDLogical AND
INSTRUCTION SET REFERENCE, A-M
3-52 Vol. 2A
In 64-bit mode, the instructions default operation size is 32 bits. Using a REX prefix in the form of REX.R permits
access to additional registers (R8-R15). Using a REX prefix in the form of REX.W promotes operation to 64 bits. See
the summary chart at the beginning of this section for encoding data and limits.
O p e ra t io n
DEST DEST AND SRC;
F la g s Af fe ct e d
The OF and CF flags are cleared; the SF, ZF, and PF flags are set according to the result. The state of the AF flag is
undefined.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the destination operand points to a non-writable segment.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used but the destination is not a memory operand.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used but the destination is not a memory operand.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used but the destination is not a memory operand.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used but the destination is not a memory operand.
ANDN Logical AND NOT
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-53
AN D N Lo g ica l AN D N O T
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a bitwise logical AND of inverted second operand (the first source operand) with the third operand (the
second source operand). The result is stored in the first operand (destination operand).
This instruction is not supported in real mode and virtual-8086 mode. The operand size is always 32 bits if not in
64-bit mode. In 64-bit mode operand size 64 requires VEX.W1. VEX.W1 is ignored in non-64-bit modes. An
attempt to execute this instruction with VEX.L not equal to 0 will cause #UD.
O p e ra t io n
DEST (NOT SRC1) bitwiseAND SRC2;
SF DEST[OperandSize -1];
ZF (DEST =0);
F la g s Af fe ct e d
SF and ZF are updated based on result. OF and CF flags are cleared. AF and PF flags are undefined.
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
Auto-generated from high-level language.
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Section 2.5.1, Exception Conditions for VEX-Encoded GPR Instructions, Table 2-29; additionally
#UD If VEX.W = 1.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.NDS.LZ.0F38.W0 F2 /r RVM V/V BMI1 Bitwise AND of inverted r32b with r/m32, store result in r32a.
ANDN r32a, r32b, r/m32
VEX.NDS.LZ. 0F38.W1 F2 /r RVM V/NE BMI1 Bitwise AND of inverted r64b with r/m64, store result in r64a.
ANDN r64a, r64b, r/m64
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
ANDPDBitwise Logical AND of Packed Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-54 Vol. 2A
AN D P D B it w ise Lo g ica l AN D o f P a cke d D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a bitwise logical AND of the two packed double-precision floating-point values from the source operand
(second operand) and the destination operand (first operand), and stores the result in the destination operand.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
128-bit Legacy SSE version: The second source can be an XMM register or an 128-bit memory location. The desti-
nation is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding
YMM register destination are unmodified.
VEX.128 encoded version: the first source operand is an XMM register or 128-bit memory location. The destination
operand is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand can be a YMM
register or a 256-bit memory location. The destination operand is a YMM register.
O p e ra t io n
AN D P D (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[63:0] DEST[63:0] BITWISE AND SRC[63:0]
DEST[127:64] DEST[127:64] BITWISE AND SRC[127:64]
DEST[VLMAX-1:128] (Unmodified)
VAN D P D (VE X.1 2 8 e n co d e d v e rsio n )
DEST[63:0] SRC1[63:0] BITWISE AND SRC2[63:0]
DEST[127:64] SRC1[127:64] BITWISE AND SRC2[127:64]
DEST[VLMAX-1:128] 0
VAN D P D (VE X.2 5 6 e n co d e d v e rsio n )
DEST[63:0] SRC1[63:0] BITWISE AND SRC2[63:0]
DEST[127:64] SRC1[127:64] BITWISE AND SRC2[127:64]
DEST[191:128] SRC1[191:128] BITWISE AND SRC2[191:128]
DEST[255:192] SRC1[255:192] BITWISE AND SRC2[255:192]
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 54 /r
ANDPD xmm1, xmm2/m128
RM V/V SSE2 Return the bitwise logical AND of packed
double-precision floating-point values in
xmm1 and xmm2/m128.
VEX.NDS.128.66.0F.WIG 54 /r
VANDPD xmm1, xmm2, xmm3/m128
RVM V/V AVX Return the bitwise logical AND of packed
double-precision floating-point values in
xmm2 and xmm3/mem.
VEX.NDS.256.66.0F.WIG 54 /r
VANDPD ymm1, ymm2, ymm3/m256
RVM V/V AVX Return the bitwise logical AND of packed
double-precision floating-point values in
ymm2 and ymm3/mem.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
ANDPDBitwise Logical AND of Packed Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-55
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
ANDPD: __m128d _mm_and_pd(__m128d a, __m128d b)
VANDPD: __m256d _mm256_and_pd (__m256d a, __m256d b)
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4.
ANDPSBitwise Logical AND of Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-56 Vol. 2A
AN D P S B it w ise Lo g ica l AN D o f P a cke d S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a bitwise logical AND of the four or eight packed single-precision floating-point values from the first
source operand and the second source operand, and stores the result in the destination operand.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
128-bit Legacy SSE version: The second source can be an XMM register or an 128-bit memory location. The desti-
nation is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding
YMM register destination are unmodified.
VEX.128 encoded version: the first source operand is an XMM register or 128-bit memory location. The destination
operand is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand can be a YMM
register or a 256-bit memory location. The destination operand is a YMM register.
O p e ra t io n
AN D P S (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[31:0] DEST[31:0] BITWISE AND SRC[31:0]
DEST[63:32] DEST[63:32] BITWISE AND SRC[63:32]
DEST[95:64] DEST[95:64] BITWISE AND SRC[95:64]
DEST[127:96] DEST[127:96] BITWISE AND SRC[127:96]
DEST[VLMAX-1:128] (Unmodified)
VAN D P S (V E X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] SRC1[31:0] BITWISE AND SRC2[31:0]
DEST[63:32] SRC1[63:32] BITWISE AND SRC2[63:32]
DEST[95:64] SRC1[95:64] BITWISE AND SRC2[95:64]
DEST[127:96] SRC1[127:96] BITWISE AND SRC2[127:96]
DEST[VLMAX-1:128] 0
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 54 /r
ANDPS xmm1, xmm2/m128
RM V/V SSE Bitwise logical AND of xmm2/m128 and
xmm1.
VEX.NDS.128.0F.WIG 54 /r
VANDPS xmm1,xmm2, xmm3/m128
RVM V/V AVX Return the bitwise logical AND of packed
single-precision floating-point values in xmm2
and xmm3/mem.
VEX.NDS.256.0F.WIG 54 /r
VANDPS ymm1, ymm2, ymm3/m256
RVM V/V AVX Return the bitwise logical AND of packed
single-precision floating-point values in ymm2
and ymm3/mem.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
ANDPSBitwise Logical AND of Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-57
VAN D P S (VE X.2 5 6 e n co d e d v e rsio n )
DEST[31:0] SRC1[31:0] BITWISE AND SRC2[31:0]
DEST[63:32] SRC1[63:32] BITWISE AND SRC2[63:32]
DEST[95:64] SRC1[95:64] BITWISE AND SRC2[95:64]
DEST[127:96] SRC1[127:96] BITWISE AND SRC2[127:96]
DEST[159:128] SRC1[159:128] BITWISE AND SRC2[159:128]
DEST[191:160] SRC1[191:160] BITWISE AND SRC2[191:160]
DEST[223:192] SRC1[223:192] BITWISE AND SRC2[223:192]
DEST[255:224] SRC1[255:224] BITWISE AND SRC2[255:224].
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
ANDPS: __m128 _mm_and_ps(__m128 a, __m128 b)
VANDPS: __m256 _mm256_and_ps (__m256 a, __m256 b)
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4.
ANDNPDBitwise Logical AND NOT of Packed Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-58 Vol. 2A
AN D N P D B it w ise Lo g ica l AN D N O T o f P a cke d D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a bitwise logical AND NOT of the two or four packed double-precision floating-point values from the first
source operand and the second source operand, and stores the result in the destination operand.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
128-bit Legacy SSE version: The second source can be an XMM register or an 128-bit memory location. The desti-
nation is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding
YMM register destination are unmodified.
VEX.128 encoded version: the first source operand is an XMM register or 128-bit memory location. The destination
operand is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand can be a YMM
register or a 256-bit memory location. The destination operand is a YMM register.
O p e ra t io n
AN D N P D (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[63:0] (NOT(DEST[63:0])) BITWISE AND SRC[63:0]
DEST[127:64] (NOT(DEST[127:64])) BITWISE AND SRC[127:64]
DEST[VLMAX-1:128] (Unmodified)
VAN D N P D (V E X.1 2 8 e n co d e d v e rsio n )
DEST[63:0] (NOT(SRC1[63:0])) BITWISE AND SRC2[63:0]
DEST[127:64] (NOT(SRC1[127:64])) BITWISE AND SRC2[127:64]
DEST[VLMAX-1:128] 0
VAN D N P D (V E X.2 5 6 e n co d e d v e rsio n )
DEST[63:0] (NOT(SRC1[63:0])) BITWISE AND SRC2[63:0]
DEST[127:64] (NOT(SRC1[127:64])) BITWISE AND SRC2[127:64]
DEST[191:128] (NOT(SRC1[191:128])) BITWISE AND SRC2[191:128]
DEST[255:192] (NOT(SRC1[255:192])) BITWISE AND SRC2[255:192]
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 55 /r
ANDNPD xmm1, xmm2/m128
RM V/V SSE2 Bitwise logical AND NOT of xmm2/m128 and
xmm1.
VEX.NDS.128.66.0F.WIG 55 /r
VANDNPD xmm1, xmm2, xmm3/m128
RVM V/V AVX Return the bitwise logical AND NOT of packed
double-precision floating-point values in
xmm2 and xmm3/mem.
VEX.NDS.256.66.0F.WIG 55/r
VANDNPD ymm1, ymm2, ymm3/m256
RVM V/V AVX Return the bitwise logical AND NOT of packed
double-precision floating-point values in
ymm2 and ymm3/mem.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
ANDNPDBitwise Logical AND NOT of Packed Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-59
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
ANDNPD: __m128d _mm_andnot_pd(__m128d a, __m128d b)
VANDNPD: __m256d _mm256_andnot_pd (__m256d a, __m256d b)
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4.
ANDNPSBitwise Logical AND NOT of Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-60 Vol. 2A
AN D N P S B it w ise Lo g ica l AN D N O T o f P a cke d S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Inverts the bits of the four packed single-precision floating-point values in the destination operand (first operand),
performs a bitwise logical AND of the four packed single-precision floating-point values in the source operand
(second operand) and the temporary inverted result, and stores the result in the destination operand.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
128-bit Legacy SSE version: The second source can be an XMM register or an 128-bit memory location. The desti-
nation is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding
YMM register destination are unmodified.
VEX.128 encoded version: the first source operand is an XMM register or 128-bit memory location. The destination
operand is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand can be a YMM
register or a 256-bit memory location. The destination operand is a YMM register.
O p e ra t io n
AN D N P S (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[31:0] (NOT(DEST[31:0])) BITWISE AND SRC[31:0]
DEST[63:32] (NOT(DEST[63:32])) BITWISE AND SRC[63:32]
DEST[95:64] (NOT(DEST[95:64])) BITWISE AND SRC[95:64]
DEST[127:96] (NOT(DEST[127:96])) BITWISE AND SRC[127:96]
DEST[VLMAX-1:128] (Unmodified)
VAN D N P S (VE X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] (NOT(SRC1[31:0])) BITWISE AND SRC2[31:0]
DEST[63:32] (NOT(SRC1[63:32])) BITWISE AND SRC2[63:32]
DEST[95:64] (NOT(SRC1[95:64])) BITWISE AND SRC2[95:64]
DEST[127:96] (NOT(SRC1[127:96])) BITWISE AND SRC2[127:96]
DEST[VLMAX-1:128] 0
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 55 /r
ANDNPS xmm1, xmm2/m128
RM V/V SSE Bitwise logical AND NOT of xmm2/m128 and
xmm1.
VEX.NDS.128.0F.WIG 55 /r
VANDNPS xmm1, xmm2, xmm3/m128
RVM V/V AVX Return the bitwise logical AND NOT of packed
single-precision floating-point values in xmm2
and xmm3/mem.
VEX.NDS.256.0F.WIG 55 /r
VANDNPS ymm1, ymm2, ymm3/m256
RVM V/V AVX Return the bitwise logical AND NOT of packed
single-precision floating-point values in ymm2
and ymm3/mem.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
ANDNPSBitwise Logical AND NOT of Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-61
VAN D N P S (V E X.2 5 6 e n co d e d v e rsio n )
DEST[31:0] (NOT(SRC1[31:0])) BITWISE AND SRC2[31:0]
DEST[63:32] (NOT(SRC1[63:32])) BITWISE AND SRC2[63:32]
DEST[95:64] (NOT(SRC1[95:64])) BITWISE AND SRC2[95:64]
DEST[127:96] (NOT(SRC1[127:96])) BITWISE AND SRC2[127:96]
DEST[159:128] (NOT(SRC1[159:128])) BITWISE AND SRC2[159:128]
DEST[191:160] (NOT(SRC1[191:160])) BITWISE AND SRC2[191:160]
DEST[223:192] (NOT(SRC1[223:192])) BITWISE AND SRC2[223:192]
DEST[255:224] (NOT(SRC1[255:224])) BITWISE AND SRC2[255:224].
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
ANDNPS: __m128 _mm_andnot_ps(__m128 a, __m128 b)
VANDNPS: __m256 _mm256_andnot_ps (__m256 a, __m256 b)
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4.
ARPLAdjust RPL Field of Segment Selector
INSTRUCTION SET REFERENCE, A-M
3-62 Vol. 2A
AR P LAd ju st R P L F ie ld o f S e g m e n t S e le ct o r
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Compares the RPL fields of two segment selectors. The first operand (the destination operand) contains one
segment selector and the second operand (source operand) contains the other. (The RPL field is located in bits 0
and 1 of each operand.) If the RPL field of the destination operand is less than the RPL field of the source operand,
the ZF flag is set and the RPL field of the destination operand is increased to match that of the source operand.
Otherwise, the ZF flag is cleared and no change is made to the destination operand. (The destination operand can
be a word register or a memory location; the source operand must be a word register.)
The ARPL instruction is provided for use by operating-system procedures (however, it can also be used by applica-
tions). It is generally used to adjust the RPL of a segment selector that has been passed to the operating system
by an application program to match the privilege level of the application program. Here the segment selector
passed to the operating system is placed in the destination operand and segment selector for the application
programs code segment is placed in the source operand. (The RPL field in the source operand represents the priv-
ilege level of the application program.) Execution of the ARPL instruction then ensures that the RPL of the segment
selector received by the operating system is no lower (does not have a higher privilege) than the privilege level of
the application program (the segment selector for the application programs code segment can be read from the
stack following a procedure call).
This instruction executes as described in compatibility mode and legacy mode. It is not encodable in 64-bit mode.
See Checking Caller Access Privileges in Chapter 3, Protected-Mode Memory Management, of the Intel 64 and
IA-32 Architectures Software Developers Manual, Volume 3A, for more information about the use of this instruc-
tion.
O p e ra t io n
IF 64-BIT MODE
THEN
See MOVSXD;
ELSE
IF DEST[RPL) < SRC[RPL)
THEN
ZF 1;
DEST[RPL) SRC[RPL);
ELSE
ZF 0;
FI;
FI;
F la g s Af fe ct e d
The ZF flag is set to 1 if the RPL field of the destination operand is less than that of the source operand; otherwise,
it is set to 0.
O p co d e I n st ru ct io n O p /
E n
6 4 -b it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
63 /r ARPL r/m16, r16 NP N. E. Valid Adjust RPL of r/m16 to not less than RPL of
r16.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP ModRM:r/m (w) ModRM:reg (r) NA NA
ARPLAdjust RPL Field of Segment Selector
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-63
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the destination is located in a non-writable segment.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register is used to access memory and it contains a NULL segment
selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#UD The ARPL instruction is not recognized in real-address mode.
If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#UD The ARPL instruction is not recognized in virtual-8086 mode.
If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Not applicable.
BLENDPD Blend Packed Double Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-64 Vol. 2A
B LE N D P D B le n d P a cke d D o u b le P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Double-precision floating-point values from the second source operand (third operand) are conditionally merged
with values from the first source operand (second operand) and written to the destination operand (first operand).
The immediate bits [3:0] determine whether the corresponding double-precision floating-point value in the desti-
nation is copied from the second source or first source. If a bit in the mask, corresponding to a word, is 1", then
the double-precision floating-point value in the second source operand is copied, else the value in the first source
operand is copied.
128-bit Legacy SSE version: The second source can be an XMM register or an 128-bit memory location. The desti-
nation is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding
YMM register destination are unmodified.
VEX.128 encoded version: the first source operand is an XMM register. The second source operand is an XMM
register or 128-bit memory location. The destination operand is an XMM register. The upper bits (VLMAX-1:128) of
the corresponding YMM register destination are zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand can be a YMM
register or a 256-bit memory location. The destination operand is a YMM register.
O p e ra t io n
B LE N D P D (1 2 8 -b it Le g a cy S S E v e rsio n )
IF (IMM8[0] =0)THEN DEST[63:0] DEST[63:0]
ELSE DEST [63:0] SRC[63:0] FI
IF (IMM8[1] =0) THEN DEST[127:64] DEST[127:64]
ELSE DEST [127:64] SRC[127:64] FI
DEST[VLMAX-1:128] (Unmodified)
VB LE N D P D (VE X.1 2 8 e n co d e d v e rsio n )
IF (IMM8[0] =0)THEN DEST[63:0] SRC1[63:0]
ELSE DEST [63:0] SRC2[63:0] FI
IF (IMM8[1] =0) THEN DEST[127:64] SRC1[127:64]
ELSE DEST [127:64] SRC2[127:64] FI
DEST[VLMAX-1:128] 0
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 3A 0D /r ib
BLENDPD xmm1, xmm2/m128, imm8
RMI V/V SSE4_1 Select packed DP-FP values fromxmm1 and
xmm2/m128 from mask specified in imm8
and store the values into xmm1.
VEX.NDS.128.66.0F3A.WIG 0D /r ib
VBLENDPD xmm1, xmm2, xmm3/m128, imm8
RVMI V/V AVX Select packed double-precision floating-point
Values fromxmm2 and xmm3/m128 from
mask in imm8 and store the values in xmm1.
VEX.NDS.256.66.0F3A.WIG 0D /r ib
VBLENDPD ymm1, ymm2, ymm3/m256, imm8
RVMI V/V AVX Select packed double-precision floating-point
Values fromymm2 and ymm3/m256 from
mask in imm8 and store the values in ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RMI ModRM:reg (r, w) ModRM:r/m (r) imm8 NA
RVMI ModRM:reg (w) VEX.vvvv (r) ModRM:r/m(r) imm8[3:0]
BLENDPD Blend Packed Double Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-65
VB LE N D P D (VE X.2 5 6 e n co d e d v e rsio n )
IF (IMM8[0] =0)THEN DEST[63:0] SRC1[63:0]
ELSE DEST [63:0] SRC2[63:0] FI
IF (IMM8[1] =0) THEN DEST[127:64] SRC1[127:64]
ELSE DEST [127:64] SRC2[127:64] FI
IF (IMM8[2] =0) THEN DEST[191:128] SRC1[191:128]
ELSE DEST [191:128] SRC2[191:128] FI
IF (IMM8[3] =0) THEN DEST[255:192] SRC1[255:192]
ELSE DEST [255:192] SRC2[255:192] FI
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
BLENDPD: __m128d _mm_blend_pd (__m128d v1, __m128d v2, const int mask);
VBLENDPD: __m256d _mm256_blend_pd (__m256d a, __m256d b, const int mask);
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Exceptions Type 4.
BEXTR Bit Field Extract
INSTRUCTION SET REFERENCE, A-M
3-66 Vol. 2A
B E XT R B it F ie ld E x t ra ct
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Extracts contiguous bits from the first source operand (the second operand) using an index value and length value
specified in the second source operand (the third operand). Bit 7:0 of the first source operand specifies the starting
bit position of bit extraction. A START value exceeding the operand size will not extract any bits from the second
source operand. Bit 15:8 of the second source operand specifies the maximum number of bits (LENGTH) beginning
at the START position to extract. Only bit positions up to (OperandSize -1) of the first source operand are extracted.
The extracted bits are written to the destination register, starting from the least significant bit. All higher order bits
in the destination operand (starting at bit position LENGTH) are zeroed. The destination register is cleared if no bits
are extracted.
This instruction is not supported in real mode and virtual-8086 mode. The operand size is always 32 bits if not in
64-bit mode. In 64-bit mode operand size 64 requires VEX.W1. VEX.W1 is ignored in non-64-bit modes. An
attempt to execute this instruction with VEX.L not equal to 0 will cause #UD.
O p e ra t io n
START SRC2[7:0];
LEN SRC2[15:8];
TEMP ZERO_EXTEND_TO_512 (SRC1 );
DEST ZERO_EXTEND(TEMP[START+LEN -1: START]);
ZF (DEST =0);
F la g s Af fe ct e d
ZF is updated based on the result. AF, SF, and PF are undefined. All other flags are cleared.
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
BEXTR: unsigned __int32 _bextr_u32(unsigned __int32 src, unsigned __int32 start. unsigned __int32 len);
BEXTR: unsigned __int64 _bextr_u64(unsigned __int64 src, unsigned __int32 start. unsigned __int32 len);
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.NDS
1
.LZ.0F38.W0 F7 /r
N O T E S :
1. ModRM:r/m is used to encode the first source operand (second operand) and VEX.vvvv encodes the second source operand (third oper-
and).
RMV V/V BMI1 Contiguous bitwise extract from r/m32 using r32b as control; store
result in r32a.
BEXTR r32a, r/m32, r32b
VEX.NDS
1
.LZ.0F38.W1 F7 /r
RMV V/N.E. BMI1 Contiguous bitwise extract from r/m64 using r64b as control; store
result in r64a
BEXTR r64a, r/m64, r64b
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RMV ModRM:reg (w) ModRM:r/m (r) VEX.vvvv (r) NA
BEXTR Bit Field Extract
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-67
O t h e r E x ce p t io n s
See Section 2.5.1, Exception Conditions for VEX-Encoded GPR Instructions, Table 2-29; additionally
#UD If VEX.W = 1.
BLENDPS Blend Packed Single Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-68 Vol. 2A
B LE N D P S B le n d P a cke d S in g le P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Packed single-precision floating-point values from the second source operand (third operand) are conditionally
merged with values from the first source operand (second operand) and written to the destination operand (first
operand). The immediate bits [7:0] determine whether the corresponding single precision floating-point value in
the destination is copied from the second source or first source. If a bit in the mask, corresponding to a word, is 1",
then the single-precision floating-point value in the second source operand is copied, else the value in the first
source operand is copied.
128-bit Legacy SSE version: The second source can be an XMM register or an 128-bit memory location. The desti-
nation is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding
YMM register destination are unmodified.
VEX.128 encoded version: The first source operand an XMM register. The second source operand is an XMM register
or 128-bit memory location. The destination operand is an XMM register. The upper bits (VLMAX-1:128) of the
corresponding YMM register destination are zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand can be a YMM
register or a 256-bit memory location. The destination operand is a YMM register.
O p e ra t io n
B LE N D P S (1 2 8 -b it Le g a cy S S E v e rsio n )
IF (IMM8[0] =0) THEN DEST[31:0] DEST[31:0]
ELSE DEST [31:0] SRC[31:0] FI
IF (IMM8[1] =0) THEN DEST[63:32] DEST[63:32]
ELSE DEST [63:32] SRC[63:32] FI
IF (IMM8[2] =0) THEN DEST[95:64] DEST[95:64]
ELSE DEST [95:64] SRC[95:64] FI
IF (IMM8[3] =0) THEN DEST[127:96] DEST[127:96]
ELSE DEST [127:96] SRC[127:96] FI
DEST[VLMAX-1:128] (Unmodified)
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 3A 0C /r ib
BLENDPS xmm1, xmm2/m128, imm8
RMI V/V SSE4_1 Select packed single precision floating-point
values from xmm1 and xmm2/m128 from
mask specified in imm8 and store the values
into xmm1.
VEX.NDS.128.66.0F3A.WIG 0C /r ib
VBLENDPS xmm1, xmm2, xmm3/m128, imm8
RVMI V/V AVX Select packed single-precision floating-point
values from xmm2 and xmm3/m128 from
mask in imm8 and store the values in xmm1.
VEX.NDS.256.66.0F3A.WIG 0C /r ib
VBLENDPS ymm1, ymm2, ymm3/m256, imm8
RVMI V/V AVX Select packed single-precision floating-point
values from ymm2 and ymm3/m256 from
mask in imm8 and store the values in ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RMI ModRM:reg (r, w) ModRM:r/m (r) imm8 NA
RVMI ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) imm8
BLENDPS Blend Packed Single Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-69
VB LE N D P S (VE X.1 2 8 e n co d e d v e rsio n )
IF (IMM8[0] =0) THEN DEST[31:0] SRC1[31:0]
ELSE DEST [31:0] SRC2[31:0] FI
IF (IMM8[1] =0) THEN DEST[63:32] SRC1[63:32]
ELSE DEST [63:32] SRC2[63:32] FI
IF (IMM8[2] =0) THEN DEST[95:64] SRC1[95:64]
ELSE DEST [95:64] SRC2[95:64] FI
IF (IMM8[3] =0) THEN DEST[127:96] SRC1[127:96]
ELSE DEST [127:96] SRC2[127:96] FI
DEST[VLMAX-1:128] 0
VB LE N D P S (VE X.2 5 6 e n co d e d v e rsio n )
IF (IMM8[0] =0) THEN DEST[31:0] SRC1[31:0]
ELSE DEST [31:0] SRC2[31:0] FI
IF (IMM8[1] =0) THEN DEST[63:32] SRC1[63:32]
ELSE DEST [63:32] SRC2[63:32] FI
IF (IMM8[2] =0) THEN DEST[95:64] SRC1[95:64]
ELSE DEST [95:64] SRC2[95:64] FI
IF (IMM8[3] =0) THEN DEST[127:96] SRC1[127:96]
ELSE DEST [127:96] SRC2[127:96] FI
IF (IMM8[4] =0) THEN DEST[159:128] SRC1[159:128]
ELSE DEST [159:128] SRC2[159:128] FI
IF (IMM8[5] =0) THEN DEST[191:160] SRC1[191:160]
ELSE DEST [191:160] SRC2[191:160] FI
IF (IMM8[6] =0) THEN DEST[223:192] SRC1[223:192]
ELSE DEST [223:192] SRC2[223:192] FI
IF (IMM8[7] =0) THEN DEST[255:224] SRC1[255:224]
ELSE DEST [255:224] SRC2[255:224] FI.
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
BLENDPS: __m128 _mm_blend_ps (__m128 v1, __m128 v2, const int mask);
VBLENDPS: __m256 _mm256_blend_ps (__m256 a, __m256 b, const int mask);
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Exceptions Type 4.
BLENDVPD Variable Blend Packed Double Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-70 Vol. 2A
B LE N D VP D Va ria b le B le n d P a cke d D o u b le P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Conditionally copy each quadword data element of double-precision floating-point value from the second source
operand and the first source operand depending on mask bits defined in the mask register operand. The mask bits
are the most significant bit in each quadword element of the mask register.
Each quadword element of the destination operand is copied from:
the corresponding quadword element in the second source operand, If a mask bit is 1"; or
the corresponding quadword element in the first source operand, If a mask bit is 0"
The register assignment of the implicit mask operand for BLENDVPD is defined to be the architectural register
XMM0.
128-bit Legacy SSE version: The first source operand and the destination operand is the same. Bits (VLMAX-1:128)
of the corresponding YMM destination register remain unchanged. The mask register operand is implicitly defined
to be the architectural register XMM0. An attempt to execute BLENDVPD with a VEX prefix will cause #UD.
VEX.128 encoded version: The first source operand and the destination operand are XMM registers. The second
source operand is an XMM register or 128-bit memory location. The mask operand is the third source register, and
encoded in bits[7:4] of the immediate byte(imm8). The bits[3:0] of imm8 are ignored. In 32-bit mode, imm8[7] is
ignored. The upper bits (VLMAX-1:128) of the corresponding YMM register (destination register) are zeroed.
VEX.W must be 0, otherwise, the instruction will #UD.
VEX.256 encoded version: The first source operand and destination operand are YMM registers. The second source
operand can be a YMM register or a 256-bit memory location. The mask operand is the third source register, and
encoded in bits[7:4] of the immediate byte(imm8). The bits[3:0] of imm8 are ignored. In 32-bit mode, imm8[7] is
ignored. VEX.W must be 0, otherwise, the instruction will #UD.
VBLENDVPD permits the mask to be any XMM or YMM register. In contrast, BLENDVPD treats XMM0 implicitly as the
mask and do not support non-destructive destination operation.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 38 15 /r
BLENDVPD xmm1, xmm2/m128 , <XMM0>
RM0 V/V SSE4_1 Select packed DP FP values from xmm1 and
xmm2 from mask specified in XMM0 and
store the values in xmm1.
VEX.NDS.128.66.0F3A.W0 4B /r /is4
VBLENDVPD xmm1, xmm2, xmm3/m128, xmm4
RVMR V/V AVX Conditionally copy double-precision floating-
point values from xmm2 or xmm3/m128 to
xmm1, based on mask bits in the mask
operand, xmm4.
VEX.NDS.256.66.0F3A.W0 4B /r /is4
VBLENDVPD ymm1, ymm2, ymm3/m256, ymm4
RVMR V/V AVX Conditionally copy double-precision floating-
point values from ymm2 or ymm3/m256 to
ymm1, based on mask bits in the mask
operand, ymm4.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM0 ModRM:reg (r, w) ModRM:r/m (r) implicit XMM0 NA
RVMR ModRM:reg (w) VEX.vvvv (r) ModRM:r/m(r) imm8[7:4]
BLENDVPD Variable Blend Packed Double Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-71
O p e ra t io n
B LE N D V P D (1 2 8 -b it Le g a cy S S E v e rsio n )
MASK XMM0
IF (MASK[63] =0) THEN DEST[63:0] DEST[63:0]
ELSE DEST [63:0] SRC[63:0] FI
IF (MASK[127] =0) THEN DEST[127:64] DEST[127:64]
ELSE DEST [127:64] SRC[127:64] FI
DEST[VLMAX-1:128] (Unmodified)
VB LE N D VP D (VE X.1 2 8 e n co d e d v e rsio n )
MASK SRC3
IF (MASK[63] =0) THEN DEST[63:0] SRC1[63:0]
ELSE DEST [63:0] SRC2[63:0] FI
IF (MASK[127] =0) THEN DEST[127:64] SRC1[127:64]
ELSE DEST [127:64] SRC2[127:64] FI
DEST[VLMAX-1:128] 0
VB LE N D VP D (VE X.2 5 6 e n co d e d v e rsio n )
MASK SRC3
IF (MASK[63] =0) THEN DEST[63:0] SRC1[63:0]
ELSE DEST [63:0] SRC2[63:0] FI
IF (MASK[127] =0) THEN DEST[127:64] SRC1[127:64]
ELSE DEST [127:64] SRC2[127:64] FI
IF (MASK[191] =0) THEN DEST[191:128] SRC1[191:128]
ELSE DEST [191:128] SRC2[191:128] FI
IF (MASK[255] =0) THEN DEST[255:192] SRC1[255:192]
ELSE DEST [255:192] SRC2[255:192] FI
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
BLENDVPD: __m128d _mm_blendv_pd(__m128d v1, __m128d v2, __m128d v3);
VBLENDVPD: __m128 _mm_blendv_pd (__m128d a, __m128d b, __m128d mask);
VBLENDVPD: __m256 _mm256_blendv_pd (__m256d a, __m256d b, __m256d mask);
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.W = 1.
BLENDVPS Variable Blend Packed Single Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-72 Vol. 2A
B LE N D VP S Va ria b le B le n d P a cke d S in g le P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Conditionally copy each dword data element of single-precision floating-point value from the second source
operand and the first source operand depending on mask bits defined in the mask register operand. The mask bits
are the most significant bit in each dword element of the mask register.
Each quadword element of the destination operand is copied from:
the corresponding dword element in the second source operand, If a mask bit is 1"; or
the corresponding dword element in the first source operand, If a mask bit is 0"
The register assignment of the implicit mask operand for BLENDVPS is defined to be the architectural register
XMM0.
128-bit Legacy SSE version: The first source operand and the destination operand is the same. Bits (VLMAX-1:128)
of the corresponding YMM destination register remain unchanged. The mask register operand is implicitly defined
to be the architectural register XMM0. An attempt to execute BLENDVPS with a VEX prefix will cause #UD.
VEX.128 encoded version: The first source operand and the destination operand are XMM registers. The second
source operand is an XMM register or 128-bit memory location. The mask operand is the third source register, and
encoded in bits[7:4] of the immediate byte(imm8). The bits[3:0] of imm8 are ignored. In 32-bit mode, imm8[7] is
ignored. The upper bits (VLMAX-1:128) of the corresponding YMM register (destination register) are zeroed.
VEX.W must be 0, otherwise, the instruction will #UD.
VEX.256 encoded version: The first source operand and destination operand are YMM registers. The second source
operand can be a YMM register or a 256-bit memory location. The mask operand is the third source register, and
encoded in bits[7:4] of the immediate byte(imm8). The bits[3:0] of imm8 are ignored. In 32-bit mode, imm8[7] is
ignored. VEX.W must be 0, otherwise, the instruction will #UD.
VBLENDVPS permits the mask to be any XMM or YMM register. In contrast, BLENDVPS treats XMM0 implicitly as the
mask and do not support non-destructive destination operation.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 38 14 /r
BLENDVPS xmm1, xmm2/m128, <XMM0>
RM0 V/V SSE4_1 Select packed single precision floating-point
values from xmm1 and xmm2/m128 from
mask specified in XMM0 and store the values
into xmm1.
VEX.NDS.128.66.0F3A.W0 4A /r /is4
VBLENDVPS xmm1, xmm2, xmm3/m128, xmm4
RVMR V/V AVX Conditionally copy single-precision floating-
point values fromxmm2 or xmm3/m128 to
xmm1, based on mask bits in the specified
mask operand, xmm4.
VEX.NDS.256.66.0F3A.W0 4A /r /is4
VBLENDVPS ymm1, ymm2, ymm3/m256, ymm4
RVMR V/V AVX Conditionally copy single-precision floating-
point values fromymm2 or ymm3/m256 to
ymm1, based on mask bits in the specified
mask register, ymm4.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM0 ModRM:reg (r, w) ModRM:r/m (r) implicit XMM0 NA
RVMR ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) imm8[7:4]
BLENDVPS Variable Blend Packed Single Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-73
O p e ra t io n
B LE N D V P S (1 2 8 -b it Le g a cy S S E v e rsio n )
MASK XMM0
IF (MASK[31] =0) THEN DEST[31:0] DEST[31:0]
ELSE DEST [31:0] SRC[31:0] FI
IF (MASK[63] =0) THEN DEST[63:32] DEST[63:32]
ELSE DEST [63:32] SRC[63:32] FI
IF (MASK[95] =0) THEN DEST[95:64] DEST[95:64]
ELSE DEST [95:64] SRC[95:64] FI
IF (MASK[127] =0) THEN DEST[127:96] DEST[127:96]
ELSE DEST [127:96] SRC[127:96] FI
DEST[VLMAX-1:128] (Unmodified)
VB LE N D VP S (V E X.1 2 8 e n co d e d v e rsio n )
MASK SRC3
IF (MASK[31] =0) THEN DEST[31:0] SRC1[31:0]
ELSE DEST [31:0] SRC2[31:0] FI
IF (MASK[63] =0) THEN DEST[63:32] SRC1[63:32]
ELSE DEST [63:32] SRC2[63:32] FI
IF (MASK[95] =0) THEN DEST[95:64] SRC1[95:64]
ELSE DEST [95:64] SRC2[95:64] FI
IF (MASK[127] =0) THEN DEST[127:96] SRC1[127:96]
ELSE DEST [127:96] SRC2[127:96] FI
DEST[VLMAX-1:128] 0
VB LE N D VP S (V E X.2 5 6 e n co d e d v e rsio n )
MASK SRC3
IF (MASK[31] =0) THEN DEST[31:0] SRC1[31:0]
ELSE DEST [31:0] SRC2[31:0] FI
IF (MASK[63] =0) THEN DEST[63:32] SRC1[63:32]
ELSE DEST [63:32] SRC2[63:32] FI
IF (MASK[95] =0) THEN DEST[95:64] SRC1[95:64]
ELSE DEST [95:64] SRC2[95:64] FI
IF (MASK[127] =0) THEN DEST[127:96] SRC1[127:96]
ELSE DEST [127:96] SRC2[127:96] FI
IF (MASK[159] =0) THEN DEST[159:128] SRC1[159:128]
ELSE DEST [159:128] SRC2[159:128] FI
IF (MASK[191] =0) THEN DEST[191:160] SRC1[191:160]
ELSE DEST [191:160] SRC2[191:160] FI
IF (MASK[223] =0) THEN DEST[223:192] SRC1[223:192]
ELSE DEST [223:192] SRC2[223:192] FI
IF (MASK[255] =0) THEN DEST[255:224] SRC1[255:224]
ELSE DEST [255:224] SRC2[255:224] FI
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
BLENDVPS: __m128 _mm_blendv_ps(__m128 v1, __m128 v2, __m128 v3);
VBLENDVPS: __m128 _mm_blendv_ps (__m128 a, __m128 b, __m128 mask);
VBLENDVPS: __m256 _mm256_blendv_ps (__m256 a, __m256 b, __m256 mask);
BLENDVPS Variable Blend Packed Single Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-74 Vol. 2A
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.W = 1.
BLSI Extract Lowest Set Isolated Bit
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-75
B LS I E x t ra ct Lo w e st S e t I so la t e d B it
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Extracts the lowest set bit from the source operand and set the corresponding bit in the destination register. All
other bits in the destination operand are zeroed. If no bits are set in the source operand, BLSI sets all the bits in
the destination to 0 and sets ZF and CF.
This instruction is not supported in real mode and virtual-8086 mode. The operand size is always 32 bits if not in
64-bit mode. In 64-bit mode operand size 64 requires VEX.W1. VEX.W1 is ignored in non-64-bit modes. An
attempt to execute this instruction with VEX.L not equal to 0 will cause #UD.
O p e ra t io n
temp (-SRC) bitwiseAND (SRC);
SF temp[OperandSize -1];
ZF (temp =0);
IF SRC =0
CF 0;
ELSE
CF 1;
FI
DEST temp;
F la g s Af fe ct e d
ZF and SF are updated based on the result. CF is set if the source is not zero. OF flags are cleared. AF and PF
flags are undefined.
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
BLSI: unsigned __int32 _blsi_u32(unsigned __int32 src);
BLSI: unsigned __int64 _blsi_u64(unsigned __int64 src);
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Section 2.5.1, Exception Conditions for VEX-Encoded GPR Instructions, Table 2-29; additionally
#UD If VEX.W = 1.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.NDD.LZ.0F38.W0 F3 /3 VM V/V BMI1 Extract lowest set bit fromr/m32 and set that bit in r32.
BLSI r32, r/m32
VEX.NDD.LZ.0F38.W1 F3 /3 VM V/N.E. BMI1 Extract lowest set bit from r/m64, and set that bit in r64.
BLSI r64, r/m64
Op/En Operand 1 Operand 2 Operand 3 Operand 4
VM VEX.vvvv (w) ModRM:r/m (r) NA NA
BLSMSK Get Mask Up to Lowest Set Bit
INSTRUCTION SET REFERENCE, A-M
3-76 Vol. 2A
B LS MS K G e t Ma sk U p t o Lo w e st S e t B it
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Sets all the lower bits of the destination operand to 1 up to and including lowest set bit (=1) in the source
operand. If source operand is zero, BLSMSK sets all bits of the destination operand to 1 and also sets CF to 1.
This instruction is not supported in real mode and virtual-8086 mode. The operand size is always 32 bits if not in
64-bit mode. In 64-bit mode operand size 64 requires VEX.W1. VEX.W1 is ignored in non-64-bit modes. An
attempt to execute this instruction with VEX.L not equal to 0 will cause #UD.
O p e ra t io n
temp (SRC-1) XOR (SRC) ;
SF temp[OperandSize -1];
ZF 0;
IF SRC =0
CF 1;
ELSE
CF 0;
FI
DEST temp;
F la g s Af fe ct e d
SF is updated based on the result. CF is set if the source if zero. ZF and OF flags are cleared. AF and PF flag are
undefined.
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
BLSMSK: unsigned __int32 _blsmsk_u32(unsigned __int32 src);
BLSMSK: unsigned __int64 _blsmsk_u64(unsigned __int64 src);
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Section 2.5.1, Exception Conditions for VEX-Encoded GPR Instructions, Table 2-29; additionally
#UD If VEX.W = 1.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.NDD.LZ.0F38.W0 F3 /2 VM V/V BMI1 Set all lower bits in r32 to 1 starting from bit 0 to lowest set bit in
r/m32.
BLSMSK r32, r/m32
VEX.NDD.LZ.0F38.W1 F3 /2 VM V/N.E. BMI1 Set all lower bits in r64 to 1 starting from bit 0 to lowest set bit in
r/m64.
BLSMSK r64, r/m64
Op/En Operand 1 Operand 2 Operand 3 Operand 4
VM VEX.vvvv (w) ModRM:r/m(r) NA NA
BLSR Reset Lowest Set Bit
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-77
B LS R R e se t Lo w e st S e t B it
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Copies all bits from the source operand to the destination operand and resets (=0) the bit position in the destina-
tion operand that corresponds to the lowest set bit of the source operand. If the source operand is zero BLSR sets
CF.
This instruction is not supported in real mode and virtual-8086 mode. The operand size is always 32 bits if not in
64-bit mode. In 64-bit mode operand size 64 requires VEX.W1. VEX.W1 is ignored in non-64-bit modes. An
attempt to execute this instruction with VEX.L not equal to 0 will cause #UD.
O p e ra t io n
temp (SRC-1) bitwiseAND ( SRC );
SF temp[OperandSize -1];
ZF (temp =0);
IF SRC =0
CF 1;
ELSE
CF 0;
FI
DEST temp;
F la g s Af fe ct e d
ZF and SF flags are updated based on the result. CF is set if the source is zero. OF flag is cleared. AF and PF flags
are undefined.
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
BLSR: unsigned __int32 _blsr_u32(unsigned __int32 src);
BLSR: unsigned __int64 _blsr_u64(unsigned __int64 src);
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Section 2.5.1, Exception Conditions for VEX-Encoded GPR Instructions, Table 2-29; additionally
#UD If VEX.W = 1.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.NDD.LZ.0F38.W0 F3 /1 VM V/V BMI1 Reset lowest set bit of r/m32, keep all other bits of r/m32 and write
result to r32.
BLSR r32, r/m32
VEX.NDD.LZ.0F38.W1 F3 /1 VM V/N.E. BMI1 Reset lowest set bit of r/m64, keep all other bits of r/m64 and write
result to r64.
BLSR r64, r/m64
Op/En Operand 1 Operand 2 Operand 3 Operand 4
VM VEX.vvvv (w) ModRM:r/m (r) NA NA
BOUNDCheck Array Index Against Bounds
INSTRUCTION SET REFERENCE, A-M
3-78 Vol. 2A
B O U N D C h e ck Arra y I n d e x Ag a in st B o u n d s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
BOUND determines if the first operand (array index) is within the bounds of an array specified the second operand
(bounds operand). The array index is a signed integer located in a register. The bounds operand is a memory loca-
tion that contains a pair of signed doubleword-integers (when the operand-size attribute is 32) or a pair of signed
word-integers (when the operand-size attribute is 16). The first doubleword (or word) is the lower bound of the
array and the second doubleword (or word) is the upper bound of the array. The array index must be greater than
or equal to the lower bound and less than or equal to the upper bound plus the operand size in bytes. If the index
is not within bounds, a BOUND range exceeded exception (#BR) is signaled. When this exception is generated, the
saved return instruction pointer points to the BOUND instruction.
The bounds limit data structure (two words or doublewords containing the lower and upper limits of the array) is
usually placed just before the array itself, making the limits addressable via a constant offset from the beginning of
the array. Because the address of the array already will be present in a register, this practice avoids extra bus cycles
to obtain the effective address of the array bounds.
This instruction executes as described in compatibility mode and legacy mode. It is not valid in 64-bit mode.
O p e ra t io n
IF 64bit Mode
THEN
#UD;
ELSE
IF (ArrayIndex < LowerBound OR ArrayIndex > UpperBound)
(* Below lower bound or above upper bound *)
THEN #BR; FI;
FI;
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#BR If the bounds test fails.
#UD If second operand is not a memory location.
If the LOCK prefix is used.
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
O p co d e I n st ru ct io n O p /
E n
6 4 -b it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
62 /r BOUND r16, m16&16 RM Invalid Valid Check if r16 (array index) is within bounds
specified by m16&16.
62 /r BOUND r32, m32&32 RM Invalid Valid Check if r32 (array index) is within bounds
specified by m16&16.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r) ModRM:r/m (r) NA NA
BOUNDCheck Array Index Against Bounds
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-79
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#BR If the bounds test fails.
#UD If second operand is not a memory location.
If the LOCK prefix is used.
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#BR If the bounds test fails.
#UD If second operand is not a memory location.
If the LOCK prefix is used.
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#UD If in 64-bit mode.
BSFBit Scan Forward
INSTRUCTION SET REFERENCE, A-M
3-80 Vol. 2A
B S F B it S ca n Fo rw a rd
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Searches the source operand (second operand) for the least significant set bit (1 bit). If a least significant 1 bit is
found, its bit index is stored in the destination operand (first operand). The source operand can be a register or a
memory location; the destination operand is a register. The bit index is an unsigned offset from bit 0 of the source
operand. If the content of the source operand is 0, the content of the destination operand is undefined.
In 64-bit mode, the instructions default operation size is 32 bits. Using a REX prefix in the form of REX.R permits
access to additional registers (R8-R15). Using a REX prefix in the form of REX.W promotes operation to 64 bits. See
the summary chart at the beginning of this section for encoding data and limits.
O p e ra t io n
IF SRC = 0
THEN
ZF 1;
DEST is undefined;
ELSE
ZF 0;
temp 0;
WHILE Bit(SRC, temp) = 0
DO
temp temp + 1;
OD;
DEST temp;
FI;
F la g s Af fe ct e d
The ZF flag is set to 1 if all the source operand is 0; otherwise, the ZF flag is cleared. The CF, OF, SF, AF, and PF, flags
are undefined.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
O p co d e I n st ru ct io n O p /
E n
6 4 -b it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F BC /r BSF r16, r/m16 RM Valid Valid Bit scan forward on r/m16.
0F BC /r BSF r32, r/m32 RM Valid Valid Bit scan forward on r/m32.
REX.W +0F BC /r BSF r64, r/m64 RM Valid N.E. Bit scan forward on r/m64.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
BSFBit Scan Forward
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-81
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
BSRBit Scan Reverse
INSTRUCTION SET REFERENCE, A-M
3-82 Vol. 2A
B S R B it S ca n R e v e rse
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Searches the source operand (second operand) for the most significant set bit (1 bit). If a most significant 1 bit is
found, its bit index is stored in the destination operand (first operand). The source operand can be a register or a
memory location; the destination operand is a register. The bit index is an unsigned offset from bit 0 of the source
operand. If the content source operand is 0, the content of the destination operand is undefined.
In 64-bit mode, the instructions default operation size is 32 bits. Using a REX prefix in the form of REX.R permits
access to additional registers (R8-R15). Using a REX prefix in the form of REX.W promotes operation to 64 bits. See
the summary chart at the beginning of this section for encoding data and limits.
O p e ra t io n
IF SRC = 0
THEN
ZF 1;
DEST is undefined;
ELSE
ZF 0;
temp OperandSize 1;
WHILE Bit(SRC, temp) = 0
DO
temp temp - 1;
OD;
DEST temp;
FI;
F la g s Af fe ct e d
The ZF flag is set to 1 if all the source operand is 0; otherwise, the ZF flag is cleared. The CF, OF, SF, AF, and PF, flags
are undefined.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
O p co d e I n st ru ct io n O p /
E n
6 4 -b it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F BD /r BSR r16, r/m16 RM Valid Valid Bit scan reverse on r/m16.
0F BD /r BSR r32, r/m32 RM Valid Valid Bit scan reverse on r/m32.
REX.W +0F BD /r BSR r64, r/m64 RM Valid N.E. Bit scan reverse on r/m64.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
BSRBit Scan Reverse
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-83
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
BSWAPByte Swap
INSTRUCTION SET REFERENCE, A-M
3-84 Vol. 2A
B S WAP B y t e S w a p
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Reverses the byte order of a 32-bit or 64-bit (destination) register. This instruction is provided for converting little-
endian values to big-endian format and vice versa. To swap bytes in a word value (16-bit register), use the XCHG
instruction. When the BSWAP instruction references a 16-bit register, the result is undefined.
In 64-bit mode, the instructions default operation size is 32 bits. Using a REX prefix in the form of REX.R permits
access to additional registers (R8-R15). Using a REX prefix in the form of REX.W promotes operation to 64 bits. See
the summary chart at the beginning of this section for encoding data and limits.
I A-3 2 Arch it e ct u re Le g a cy C o m p a t ib ilit y
The BSWAP instruction is not supported on IA-32 processors earlier than the Intel486 processor family. For
compatibility with this instruction, software should include functionally equivalent code for execution on Intel
processors earlier than the Intel486 processor family.
O p e ra t io n
TEMP DEST
IF 64-bit mode AND OperandSize =64
THEN
DEST[7:0] TEMP[63:56];
DEST[15:8] TEMP[55:48];
DEST[23:16] TEMP[47:40];
DEST[31:24] TEMP[39:32];
DEST[39:32] TEMP[31:24];
DEST[47:40] TEMP[23:16];
DEST[55:48] TEMP[15:8];
DEST[63:56] TEMP[7:0];
ELSE
DEST[7:0] TEMP[31:24];
DEST[15:8] TEMP[23:16];
DEST[23:16] TEMP[15:8];
DEST[31:24] TEMP[7:0];
FI;
F la g s Af fe ct e d
None.
E x ce p t io n s (All O p e ra t in g Mo d e s)
#UD If the LOCK prefix is used.
O p co d e I n st ru ct io n O p /
E n
6 4 -b it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F C8+rd BSWAP r32 O Valid* Valid Reverses the byte order of a 32-bit register.
REX.W +0F C8+rd BSWAP r64 O Valid N.E. Reverses the byte order of a 64-bit register.
N O T E S :
* See IA-32 Architecture Compatibility section below.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
O opcode +rd (r, w) NA NA NA
BTBit Test
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-85
B T B it Te st
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Selects the bit in a bit string (specified with the first operand, called the bit base) at the bit-position designated by
the bit offset (specified by the second operand) and stores the value of the bit in the CF flag. The bit base operand
can be a register or a memory location; the bit offset operand can be a register or an immediate value:
If the bit base operand specifies a register, the instruction takes the modulo 16, 32, or 64 of the bit offset
operand (modulo size depends on the mode and register size; 64-bit operands are available only in 64-bit
mode).
If the bit base operand specifies a memory location, the operand represents the address of the byte in memory
that contains the bit base (bit 0 of the specified byte) of the bit string. The range of the bit position that can be
referenced by the offset operand depends on the operand size.
See also: Bit(BitBase, BitOffset) on page 3-10.
Some assemblers support immediate bit offsets larger than 31 by using the immediate bit offset field in combina-
tion with the displacement field of the memory operand. In this case, the low-order 3 or 5 bits (3 for 16-bit oper-
ands, 5 for 32-bit operands) of the immediate bit offset are stored in the immediate bit offset field, and the high-
order bits are shifted and combined with the byte displacement in the addressing mode by the assembler. The
processor will ignore the high order bits if they are not zero.
When accessing a bit in memory, the processor may access 4 bytes starting from the memory address for a 32-bit
operand size, using by the following relationship:
Effective Address + (4 (BitOffset DIV 32))
Or, it may access 2 bytes starting from the memory address for a 16-bit operand, using this relationship:
Effective Address + (2 (BitOffset DIV 16))
It may do so even when only a single byte needs to be accessed to reach the given bit. When using this bit
addressing mechanism, software should avoid referencing areas of memory close to address space holes. In partic-
ular, it should avoid references to memory-mapped I/O registers. Instead, software should use the MOV instruc-
tions to load from or store to these addresses, and use the register form of these instructions to manipulate the
data.
In 64-bit mode, the instructions default operation size is 32 bits. Using a REX prefix in the form of REX.R permits
access to additional registers (R8-R15). Using a REX prefix in the form of REX.W promotes operation to 64 bit oper-
ands. See the summary chart at the beginning of this section for encoding data and limits.
O p co d e I n st ru ct io n O p /
E n
6 4 -b it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F A3 /r BT r/m16, r16 MR Valid Valid Store selected bit in CF flag.
0F A3 /r BT r/m32, r32 MR Valid Valid Store selected bit in CF flag.
REX.W +0F A3 /r BT r/m64, r64 MR Valid N.E. Store selected bit in CF flag.
0F BA /4 ib BT r/m16, imm8 MI Valid Valid Store selected bit in CF flag.
0F BA /4 ib BT r/m32, imm8 MI Valid Valid Store selected bit in CF flag.
REX.W +0F BA /4 ib BT r/m64, imm8 MI Valid N.E. Store selected bit in CF flag.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
MR ModRM:r/m (r) ModRM:reg (r) NA NA
MI ModRM:r/m (r) imm8 NA NA
BTBit Test
INSTRUCTION SET REFERENCE, A-M
3-86 Vol. 2A
O p e ra t io n
CF Bit(BitBase, BitOffset);
F la g s Af fe ct e d
The CF flag contains the value of the selected bit. The ZF flag is unaffected. The OF, SF, AF, and PF flags are
undefined.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
BTCBit Test and Complement
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-87
B T C B it Te st a n d C o m p le m e n t
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Selects the bit in a bit string (specified with the first operand, called the bit base) at the bit-position designated by
the bit offset operand (second operand), stores the value of the bit in the CF flag, and complements the selected
bit in the bit string. The bit base operand can be a register or a memory location; the bit offset operand can be a
register or an immediate value:
If the bit base operand specifies a register, the instruction takes the modulo 16, 32, or 64 of the bit offset
operand (modulo size depends on the mode and register size; 64-bit operands are available only in 64-bit
mode). This allows any bit position to be selected.
If the bit base operand specifies a memory location, the operand represents the address of the byte in memory
that contains the bit base (bit 0 of the specified byte) of the bit string. The range of the bit position that can be
referenced by the offset operand depends on the operand size.
See also: Bit(BitBase, BitOffset) on page 3-10.
Some assemblers support immediate bit offsets larger than 31 by using the immediate bit offset field in combina-
tion with the displacement field of the memory operand. See BTBit Test in this chapter for more information on
this addressing mechanism.
This instruction can be used with a LOCK prefix to allow the instruction to be executed atomically.
In 64-bit mode, the instructions default operation size is 32 bits. Using a REX prefix in the form of REX.R permits
access to additional registers (R8-R15). Using a REX prefix in the form of REX.W promotes operation to 64 bits. See
the summary chart at the beginning of this section for encoding data and limits.
O p e ra t io n
CF Bit(BitBase, BitOffset);
Bit(BitBase, BitOffset) NOT Bit(BitBase, BitOffset);
F la g s Af fe ct e d
The CF flag contains the value of the selected bit before it is complemented. The ZF flag is unaffected. The OF, SF,
AF, and PF flags are undefined.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the destination operand points to a non-writable segment.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
O p co d e I n st ru ct io n O p /
E n
6 4 -b it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F BB /r BTC r/m16, r16 MR Valid Valid Store selected bit in CF flag and complement.
0F BB /r BTC r/m32, r32 MR Valid Valid Store selected bit in CF flag and complement.
REX.W +0F BB /r BTC r/m64, r64 MR Valid N.E. Store selected bit in CF flag and complement.
0F BA /7 ib BTC r/m16, imm8 MI Valid Valid Store selected bit in CF flag and complement.
0F BA /7 ib BTC r/m32, imm8 MI Valid Valid Store selected bit in CF flag and complement.
REX.W +0F BA /7 ib BTC r/m64, imm8 MI Valid N.E. Store selected bit in CF flag and complement.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
MR ModRM:r/m (r, w) ModRM:reg (r) NA NA
MI ModRM:r/m(r, w) imm8 NA NA
BTCBit Test and Complement
INSTRUCTION SET REFERENCE, A-M
3-88 Vol. 2A
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used but the destination is not a memory operand.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used but the destination is not a memory operand.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used but the destination is not a memory operand.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used but the destination is not a memory operand.
BTRBit Test and Reset
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-89
B T R B it Te st a n d R e se t
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Selects the bit in a bit string (specified with the first operand, called the bit base) at the bit-position designated by
the bit offset operand (second operand), stores the value of the bit in the CF flag, and clears the selected bit in the
bit string to 0. The bit base operand can be a register or a memory location; the bit offset operand can be a register
or an immediate value:
If the bit base operand specifies a register, the instruction takes the modulo 16, 32, or 64 of the bit offset
operand (modulo size depends on the mode and register size; 64-bit operands are available only in 64-bit
mode). This allows any bit position to be selected.
If the bit base operand specifies a memory location, the operand represents the address of the byte in memory
that contains the bit base (bit 0 of the specified byte) of the bit string. The range of the bit position that can be
referenced by the offset operand depends on the operand size.
See also: Bit(BitBase, BitOffset) on page 3-10.
Some assemblers support immediate bit offsets larger than 31 by using the immediate bit offset field in combina-
tion with the displacement field of the memory operand. See BTBit Test in this chapter for more information on
this addressing mechanism.
This instruction can be used with a LOCK prefix to allow the instruction to be executed atomically.
In 64-bit mode, the instructions default operation size is 32 bits. Using a REX prefix in the form of REX.R permits
access to additional registers (R8-R15). Using a REX prefix in the form of REX.W promotes operation to 64 bits. See
the summary chart at the beginning of this section for encoding data and limits.
O p e ra t io n
CF Bit(BitBase, BitOffset);
Bit(BitBase, BitOffset) 0;
F la g s Af fe ct e d
The CF flag contains the value of the selected bit before it is cleared. The ZF flag is unaffected. The OF, SF, AF, and
PF flags are undefined.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the destination operand points to a non-writable segment.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
O p co d e I n st ru ct io n O p /
E n
6 4 -b it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F B3 /r BTR r/m16, r16 MR Valid Valid Store selected bit in CF flag and clear.
0F B3 /r BTR r/m32, r32 MR Valid Valid Store selected bit in CF flag and clear.
REX.W +0F B3 /r BTR r/m64, r64 MR Valid N.E. Store selected bit in CF flag and clear.
0F BA /6 ib BTR r/m16, imm8 MI Valid Valid Store selected bit in CF flag and clear.
0F BA /6 ib BTR r/m32, imm8 MI Valid Valid Store selected bit in CF flag and clear.
REX.W +0F BA /6 ib BTR r/m64, imm8 MI Valid N.E. Store selected bit in CF flag and clear.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
MR ModRM:r/m (r, w) ModRM:reg (r) NA NA
MI ModRM:r/m (r, w) imm8 NA NA
BTRBit Test and Reset
INSTRUCTION SET REFERENCE, A-M
3-90 Vol. 2A
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used but the destination is not a memory operand.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used but the destination is not a memory operand.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used but the destination is not a memory operand.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used but the destination is not a memory operand.
BTSBit Test and Set
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-91
B T S B it Te st a n d S e t
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Selects the bit in a bit string (specified with the first operand, called the bit base) at the bit-position designated by
the bit offset operand (second operand), stores the value of the bit in the CF flag, and sets the selected bit in the
bit string to 1. The bit base operand can be a register or a memory location; the bit offset operand can be a register
or an immediate value:
If the bit base operand specifies a register, the instruction takes the modulo 16, 32, or 64 of the bit offset
operand (modulo size depends on the mode and register size; 64-bit operands are available only in 64-bit
mode). This allows any bit position to be selected.
If the bit base operand specifies a memory location, the operand represents the address of the byte in memory
that contains the bit base (bit 0 of the specified byte) of the bit string. The range of the bit position that can be
referenced by the offset operand depends on the operand size.
See also: Bit(BitBase, BitOffset) on page 3-10.
Some assemblers support immediate bit offsets larger than 31 by using the immediate bit offset field in combina-
tion with the displacement field of the memory operand. See BTBit Test in this chapter for more information on
this addressing mechanism.
This instruction can be used with a LOCK prefix to allow the instruction to be executed atomically.
In 64-bit mode, the instructions default operation size is 32 bits. Using a REX prefix in the form of REX.R permits
access to additional registers (R8-R15). Using a REX prefix in the form of REX.W promotes operation to 64 bits. See
the summary chart at the beginning of this section for encoding data and limits.
O p e ra t io n
CF Bit(BitBase, BitOffset);
Bit(BitBase, BitOffset) 1;
F la g s Af fe ct e d
The CF flag contains the value of the selected bit before it is set. The ZF flag is unaffected. The OF, SF, AF, and PF
flags are undefined.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the destination operand points to a non-writable segment.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
O p co d e I n st ru ct io n O p /
E n
6 4 -b it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F AB /r BTS r/m16, r16 MR Valid Valid Store selected bit in CF flag and set.
0F AB /r BTS r/m32, r32 MR Valid Valid Store selected bit in CF flag and set.
REX.W +0F AB /r BTS r/m64, r64 MR Valid N.E. Store selected bit in CF flag and set.
0F BA /5 ib BTS r/m16, imm8 MI Valid Valid Store selected bit in CF flag and set.
0F BA /5 ib BTS r/m32, imm8 MI Valid Valid Store selected bit in CF flag and set.
REX.W +0F BA /5 ib BTS r/m64, imm8 MI Valid N.E. Store selected bit in CF flag and set.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
MR ModRM:r/m(r, w) ModRM:reg (r) NA NA
MI ModRM:r/m(r, w) imm8 NA NA
BTSBit Test and Set
INSTRUCTION SET REFERENCE, A-M
3-92 Vol. 2A
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used but the destination is not a memory operand.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used but the destination is not a memory operand.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used but the destination is not a memory operand.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used but the destination is not a memory operand.
BZHI Zero High Bits Starting with Specified Bit Position
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-93
B Z H I Z e ro H ig h B it s S t a rt in g w it h S p e cifie d B it P o sit io n
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
BZHI copies the bits of the first source operand (the second operand) into the destination operand (the first
operand) and clears the higher bits in the destination according to the INDEX value specified by the second source
operand (the third operand). The INDEX is specified by bits 7:0 of the second source operand. The INDEX value is
saturated at the value of OperandSize -1. CF is set, if the number contained in the 8 low bits of the third operand
is greater than OperandSize -1.
This instruction is not supported in real mode and virtual-8086 mode. The operand size is always 32 bits if not in
64-bit mode. In 64-bit mode operand size 64 requires VEX.W1. VEX.W1 is ignored in non-64-bit modes. An
attempt to execute this instruction with VEX.L not equal to 0 will cause #UD.
O p e ra t io n
N SRC2[7:0]
DEST SRC1
IF (N <OperandSize)
DEST[OperandSize-1:N] 0
FI
IF (N >OperandSize - 1)
CF 1
ELSE
CF 0
FI
F la g s Af fe ct e d
ZF, CF and SF flags are updated based on the result. OF flag is cleared. AF and PF flags are undefined.
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
BZHI: unsigned __int32 _bzhi_u32(unsigned __int32 src, unsigned __int32 index);
BZHI: unsigned __int64 _bzhi_u64(unsigned __int64 src, unsigned __int32 index);
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.NDS
1
.LZ.0F38.W0 F5 /r
N O T E S :
1. ModRM:r/m is used to encode the first source operand (second operand) and VEX.vvvv encodes the second source operand (third oper-
and).
RMV V/V BMI2 Zero bits in r/m32 starting with the position in r32b, write result to
r32a.
BZHI r32a, r/m32, r32b
VEX.NDS
1
.LZ.0F38.W1 F5 /r
RMV V/N.E. BMI2 Zero bits in r/m64 starting with the position in r64b, write result to
r64a.
BZHI r64a, r/m64, r64b
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RMV ModRM:reg (w) ModRM:r/m (r) VEX.vvvv (r) NA
BZHI Zero High Bits Starting with Specified Bit Position
INSTRUCTION SET REFERENCE, A-M
3-94 Vol. 2A
O t h e r E x ce p t io n s
See Section 2.5.1, Exception Conditions for VEX-Encoded GPR Instructions, Table 2-29; additionally
#UD If VEX.W = 1.
CALLCall Procedure
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-95
C ALLC a ll P ro ce d u re
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Saves procedure linking information on the stack and branches to the called procedure specified using the target
operand. The target operand specifies the address of the first instruction in the called procedure. The operand can
be an immediate value, a general-purpose register, or a memory location.
This instruction can be used to execute four types of calls:
Near Call A call to a procedure in the current code segment (the segment currently pointed to by the CS
register), sometimes referred to as an intra-segment call.
Far Call A call to a procedure located in a different segment than the current code segment, sometimes
referred to as an inter-segment call.
Inter-privilege-level far call A far call to a procedure in a segment at a different privilege level than that
of the currently executing program or procedure.
Task switch A call to a procedure located in a different task.
O p co d e I n st ru ct io n O p /
E n
6 4 -b it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
E8 cw CALL rel16 M N.S. Valid Call near, relative, displacement relative to
next instruction.
E8 cd CALL rel32 M Valid Valid Call near, relative, displacement relative to
next instruction. 32-bit displacement sign
extended to 64-bits in 64-bit mode.
FF /2 CALL r/m16 M N.E. Valid Call near, absolute indirect, address given in
r/m16.
FF /2 CALL r/m32 M N.E. Valid Call near, absolute indirect, address given in
r/m32.
FF /2 CALL r/m64 M Valid N.E. Call near, absolute indirect, address given in
r/m64.
9A cd CALL ptr16:16 D Invalid Valid Call far, absolute, address given in operand.
9A cp CALL ptr16:32 D Invalid Valid Call far, absolute, address given in operand.
FF /3 CALL m16:16 M Valid Valid Call far, absolute indirect address given in
m16:16.
In 32-bit mode: if selector points to a gate,
then RIP =32-bit zero extended displacement
taken from gate; else RIP =zero extended 16-
bit offset from far pointer referenced in the
instruction.
FF /3 CALL m16:32 M Valid Valid In 64-bit mode: If selector points to a gate,
then RIP =64-bit displacement taken from
gate; else RIP =zero extended 32-bit offset
from far pointer referenced in the instruction.
REX.W +FF /3 CALL m16:64 M Valid N.E. In 64-bit mode: If selector points to a gate,
then RIP =64-bit displacement taken from
gate; else RIP =64-bit offset fromfar pointer
referenced in the instruction.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
D Offset NA NA NA
M ModRM:r/m (r) NA NA NA
CALLCall Procedure
INSTRUCTION SET REFERENCE, A-M
3-96 Vol. 2A
The latter two call types (inter-privilege-level call and task switch) can only be executed in protected mode. See
Calling Procedures Using Call and RET in Chapter 6 of the Intel 64 and IA-32 Architectures Software Devel-
opers Manual, Volume 1, for additional information on near, far, and inter-privilege-level calls. See Chapter 7,
Task Management, in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3A, for infor-
mation on performing task switches with the CALL instruction.
Near Call. When executing a near call, the processor pushes the value of the EIP register (which contains the offset
of the instruction following the CALL instruction) on the stack (for use later as a return-instruction pointer). The
processor then branches to the address in the current code segment specified by the target operand. The target
operand specifies either an absolute offset in the code segment (an offset from the base of the code segment) or a
relative offset (a signed displacement relative to the current value of the instruction pointer in the EIP register; this
value points to the instruction following the CALL instruction). The CS register is not changed on near calls.
For a near call absolute, an absolute offset is specified indirectly in a general-purpose register or a memory location
(r/m16, r/m32, or r/m64). The operand-size attribute determines the size of the target operand (16, 32 or 64 bits).
When in 64-bit mode, the operand size for near call (and all near branches) is forced to 64-bits. Absolute offsets
are loaded directly into the EIP(RIP) register. If the operand size attribute is 16, the upper two bytes of the EIP
register are cleared, resulting in a maximum instruction pointer size of 16 bits. When accessing an absolute offset
indirectly using the stack pointer [ESP] as the base register, the base value used is the value of the ESP before the
instruction executes.
A relative offset (rel16 or rel32) is generally specified as a label in assembly code. But at the machine code level, it
is encoded as a signed, 16- or 32-bit immediate value. This value is added to the value in the EIP(RIP) register. In
64-bit mode the relative offset is always a 32-bit immediate value which is sign extended to 64-bits before it is
added to the value in the RIP register for the target calculation. As with absolute offsets, the operand-size attribute
determines the size of the target operand (16, 32, or 64 bits). In 64-bit mode the target operand will always be 64-
bits because the operand size is forced to 64-bits for near branches.
Far Calls in Real-Address or Virtual-8086 Mode. When executing a far call in real- address or virtual-8086 mode, the
processor pushes the current value of both the CS and EIP registers on the stack for use as a return-instruction
pointer. The processor then performs a far branch to the code segment and offset specified with the target
operand for the called procedure. The target operand specifies an absolute far address either directly with a pointer
(ptr16:16 or ptr16:32) or indirectly with a memory location (m16:16 or m16:32). With the pointer method, the
segment and offset of the called procedure is encoded in the instruction using a 4-byte (16-bit operand size) or 6-
byte (32-bit operand size) far address immediate. With the indirect method, the target operand specifies a memory
location that contains a 4-byte (16-bit operand size) or 6-byte (32-bit operand size) far address. The operand-size
attribute determines the size of the offset (16 or 32 bits) in the far address. The far address is loaded directly into
the CS and EIP registers. If the operand-size attribute is 16, the upper two bytes of the EIP register are cleared.
Far Calls in Protected Mode. When the processor is operating in protected mode, the CALL instruction can be used to
perform the following types of far calls:
Far call to the same privilege level
Far call to a different privilege level (inter-privilege level call)
Task switch (far call to another task)
In protected mode, the processor always uses the segment selector part of the far address to access the corre-
sponding descriptor in the GDT or LDT. The descriptor type (code segment, call gate, task gate, or TSS) and access
rights determine the type of call operation to be performed.
If the selected descriptor is for a code segment, a far call to a code segment at the same privilege level is
performed. (If the selected code segment is at a different privilege level and the code segment is non-conforming,
a general-protection exception is generated.) A far call to the same privilege level in protected mode is very similar
to one carried out in real-address or virtual-8086 mode. The target operand specifies an absolute far address either
directly with a pointer (ptr16:16 or ptr16:32) or indirectly with a memory location (m16:16 or m16:32). The
operand- size attribute determines the size of the offset (16 or 32 bits) in the far address. The new code segment
selector and its descriptor are loaded into CS register; the offset from the instruction is loaded into the EIP register.
A call gate (described in the next paragraph) can also be used to perform a far call to a code segment at the same
privilege level. Using this mechanism provides an extra level of indirection and is the preferred method of making
calls between 16-bit and 32-bit code segments.
When executing an inter-privilege-level far call, the code segment for the procedure being called must be accessed
through a call gate. The segment selector specified by the target operand identifies the call gate. The target
CALLCall Procedure
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-97
operand can specify the call gate segment selector either directly with a pointer (ptr16:16 or ptr16:32) or indirectly
with a memory location (m16:16 or m16:32). The processor obtains the segment selector for the new code
segment and the new instruction pointer (offset) from the call gate descriptor. (The offset from the target operand
is ignored when a call gate is used.)
On inter-privilege-level calls, the processor switches to the stack for the privilege level of the called procedure. The
segment selector for the new stack segment is specified in the TSS for the currently running task. The branch to
the new code segment occurs after the stack switch. (Note that when using a call gate to perform a far call to a
segment at the same privilege level, no stack switch occurs.) On the new stack, the processor pushes the segment
selector and stack pointer for the calling procedures stack, an optional set of parameters from the calling proce-
dures stack, and the segment selector and instruction pointer for the calling procedures code segment. (A value in
the call gate descriptor determines how many parameters to copy to the new stack.) Finally, the processor
branches to the address of the procedure being called within the new code segment.
Executing a task switch with the CALL instruction is similar to executing a call through a call gate. The target
operand specifies the segment selector of the task gate for the new task activated by the switch (the offset in the
target operand is ignored). The task gate in turn points to the TSS for the new task, which contains the segment
selectors for the tasks code and stack segments. Note that the TSS also contains the EIP value for the next instruc-
tion that was to be executed before the calling task was suspended. This instruction pointer value is loaded into the
EIP register to re-start the calling task.
The CALL instruction can also specify the segment selector of the TSS directly, which eliminates the indirection of
the task gate. See Chapter 7, Task Management, in the Intel 64 and IA-32 Architectures Software Developers
Manual, Volume 3A, for information on the mechanics of a task switch.
When you execute at task switch with a CALL instruction, the nested task flag (NT) is set in the EFLAGS register
and the new TSSs previous task link field is loaded with the old tasks TSS selector. Code is expected to suspend
this nested task by executing an IRET instruction which, because the NT flag is set, automatically uses the previous
task link to return to the calling task. (See Task Linking in Chapter 7 of the Intel 64 and IA-32 Architectures
Software Developers Manual, Volume 3A, for information on nested tasks.) Switching tasks with the CALL instruc-
tion differs in this regard from JMP instruction. JMP does not set the NT flag and therefore does not expect an IRET
instruction to suspend the task.
Mixing 16-Bit and 32-Bit Calls. When making far calls between 16-bit and 32-bit code segments, use a call gate. If
the far call is from a 32-bit code segment to a 16-bit code segment, the call should be made from the first 64
KBytes of the 32-bit code segment. This is because the operand-size attribute of the instruction is set to 16, so only
a 16-bit return address offset can be saved. Also, the call should be made using a 16-bit call gate so that 16-bit
values can be pushed on the stack. See Chapter 21, Mixing 16-Bit and 32-Bit Code, in the Intel 64 and IA-32
Architectures Software Developers Manual, Volume 3B, for more information.
Far Calls in Compatibility Mode. When the processor is operating in compatibility mode, the CALL instruction can be
used to perform the following types of far calls:
Far call to the same privilege level, remaining in compatibility mode
Far call to the same privilege level, transitioning to 64-bit mode
Far call to a different privilege level (inter-privilege level call), transitioning to 64-bit mode
Note that a CALL instruction can not be used to cause a task switch in compatibility mode since task switches are
not supported in IA-32e mode.
In compatibility mode, the processor always uses the segment selector part of the far address to access the corre-
sponding descriptor in the GDT or LDT. The descriptor type (code segment, call gate) and access rights determine
the type of call operation to be performed.
If the selected descriptor is for a code segment, a far call to a code segment at the same privilege level is
performed. (If the selected code segment is at a different privilege level and the code segment is non-conforming,
a general-protection exception is generated.) A far call to the same privilege level in compatibility mode is very
similar to one carried out in protected mode. The target operand specifies an absolute far address either directly
with a pointer (ptr16:16 or ptr16:32) or indirectly with a memory location (m16:16 or m16:32). The operand-size
attribute determines the size of the offset (16 or 32 bits) in the far address. The new code segment selector and its
descriptor are loaded into CS register and the offset from the instruction is loaded into the EIP register. The differ-
ence is that 64-bit mode may be entered. This specified by the L bit in the new code segment descriptor.
CALLCall Procedure
INSTRUCTION SET REFERENCE, A-M
3-98 Vol. 2A
Note that a 64-bit call gate (described in the next paragraph) can also be used to perform a far call to a code
segment at the same privilege level. However, using this mechanism requires that the target code segment
descriptor have the L bit set, causing an entry to 64-bit mode.
When executing an inter-privilege-level far call, the code segment for the procedure being called must be accessed
through a 64-bit call gate. The segment selector specified by the target operand identifies the call gate. The target
operand can specify the call gate segment selector either directly with a pointer (ptr16:16 or ptr16:32) or indirectly
with a memory location (m16:16 or m16:32). The processor obtains the segment selector for the new code
segment and the new instruction pointer (offset) from the 16-byte call gate descriptor. (The offset from the target
operand is ignored when a call gate is used.)
On inter-privilege-level calls, the processor switches to the stack for the privilege level of the called procedure. The
segment selector for the new stack segment is set to NULL. The new stack pointer is specified in the TSS for the
currently running task. The branch to the new code segment occurs after the stack switch. (Note that when using
a call gate to perform a far call to a segment at the same privilege level, an implicit stack switch occurs as a result
of entering 64-bit mode. The SS selector is unchanged, but stack segment accesses use a segment base of 0x0, the
limit is ignored, and the default stack size is 64-bits. The full value of RSP is used for the offset, of which the upper
32-bits are undefined.) On the new stack, the processor pushes the segment selector and stack pointer for the
calling procedures stack and the segment selector and instruction pointer for the calling procedures code
segment. (Parameter copy is not supported in IA-32e mode.) Finally, the processor branches to the address of the
procedure being called within the new code segment.
Near/(Far) Calls in 64-bit Mode. When the processor is operating in 64-bit mode, the CALL instruction can be used to
perform the following types of far calls:
Far call to the same privilege level, transitioning to compatibility mode
Far call to the same privilege level, remaining in 64-bit mode
Far call to a different privilege level (inter-privilege level call), remaining in 64-bit mode
Note that in this mode the CALL instruction can not be used to cause a task switch in 64-bit mode since task
switches are not supported in IA-32e mode.
In 64-bit mode, the processor always uses the segment selector part of the far address to access the corresponding
descriptor in the GDT or LDT. The descriptor type (code segment, call gate) and access rights determine the type of
call operation to be performed.
If the selected descriptor is for a code segment, a far call to a code segment at the same privilege level is
performed. (If the selected code segment is at a different privilege level and the code segment is non-conforming,
a general-protection exception is generated.) A far call to the same privilege level in 64-bit mode is very similar to
one carried out in compatibility mode. The target operand specifies an absolute far address indirectly with a
memory location (m16:16, m16:32 or m16:64). The form of CALL with a direct specification of absolute far
address is not defined in 64-bit mode. The operand-size attribute determines the size of the offset (16, 32, or 64
bits) in the far address. The new code segment selector and its descriptor are loaded into the CS register; the offset
from the instruction is loaded into the EIP register. The new code segment may specify entry either into compati-
bility or 64-bit mode, based on the L bit value.
A 64-bit call gate (described in the next paragraph) can also be used to perform a far call to a code segment at the
same privilege level. However, using this mechanism requires that the target code segment descriptor have the L
bit set.
When executing an inter-privilege-level far call, the code segment for the procedure being called must be accessed
through a 64-bit call gate. The segment selector specified by the target operand identifies the call gate. The target
operand can only specify the call gate segment selector indirectly with a memory location (m16:16, m16:32 or
m16:64). The processor obtains the segment selector for the new code segment and the new instruction pointer
(offset) from the 16-byte call gate descriptor. (The offset from the target operand is ignored when a call gate is
used.)
On inter-privilege-level calls, the processor switches to the stack for the privilege level of the called procedure. The
segment selector for the new stack segment is set to NULL. The new stack pointer is specified in the TSS for the
currently running task. The branch to the new code segment occurs after the stack switch.
Note that when using a call gate to perform a far call to a segment at the same privilege level, an implicit stack
switch occurs as a result of entering 64-bit mode. The SS selector is unchanged, but stack segment accesses use a
segment base of 0x0, the limit is ignored, and the default stack size is 64-bits. (The full value of RSP is used for the
CALLCall Procedure
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-99
offset.) On the new stack, the processor pushes the segment selector and stack pointer for the calling procedures
stack and the segment selector and instruction pointer for the calling procedures code segment. (Parameter copy
is not supported in IA-32e mode.) Finally, the processor branches to the address of the procedure being called
within the new code segment.
O p e ra t io n
IF near call
THEN IF near relative call
THEN
IF OperandSize = 64
THEN
tempDEST SignExtend(DEST); (* DEST is rel32 *)
tempRIP RIP + tempDEST;
IF stack not large enough for a 8-byte return address
THEN #SS(0); FI;
Push(RIP);
RIP tempRIP;
FI;
IF OperandSize = 32
THEN
tempEIP EIP + DEST; (* DEST is rel32 *)
IF tempEIP is not within code segment limit THEN #GP(0); FI;
IF stack not large enough for a 4-byte return address
THEN #SS(0); FI;
Push(EIP);
EIP tempEIP;
FI;
IF OperandSize =16
THEN
tempEIP (EIP + DEST) AND 0000FFFFH; (* DEST is rel16 *)
IF tempEIP is not within code segment limit THEN #GP(0); FI;
IF stack not large enough for a 2-byte return address
THEN #SS(0); FI;
Push(IP);
EIP tempEIP;
FI;
ELSE (* Near absolute call *)
IF OperandSize = 64
THEN
tempRIP DEST; (* DEST is r/m64 *)
IF stack not large enough for a 8-byte return address
THEN #SS(0); FI;
Push(RIP);
RIP tempRIP;
FI;
IF OperandSize = 32
THEN
tempEIP DEST; (* DEST is r/m32 *)
IF tempEIP is not within code segment limit THEN #GP(0); FI;
IF stack not large enough for a 4-byte return address
THEN #SS(0); FI;
Push(EIP);
EIP tempEIP;
CALLCall Procedure
INSTRUCTION SET REFERENCE, A-M
3-100 Vol. 2A
FI;
IF OperandSize = 16
THEN
tempEIP DEST AND 0000FFFFH; (* DEST is r/m16 *)
IF tempEIP is not within code segment limit THEN #GP(0); FI;
IF stack not large enough for a 2-byte return address
THEN #SS(0); FI;
Push(IP);
EIP tempEIP;
FI;
FI;rel/abs
FI; near
IF far call and (PE = 0 or (PE = 1 and VM = 1)) (* Real-address or virtual-8086 mode *)
THEN
IF OperandSize = 32
THEN
IF stack not large enough for a 6-byte return address
THEN #SS(0); FI;
IF DEST[31:16] is not zero THEN #GP(0); FI;
Push(CS); (* Padded with 16 high-order bits *)
Push(EIP);
CS DEST[47:32]; (* DEST is ptr16:32 or [m16:32] *)
EIP DEST[31:0]; (* DEST is ptr16:32 or [m16:32] *)
ELSE (* OperandSize = 16 *)
IF stack not large enough for a 4-byte return address
THEN #SS(0); FI;
Push(CS);
Push(IP);
CS DEST[31:16]; (* DEST is ptr16:16 or [m16:16] *)
EIP DEST[15:0]; (* DEST is ptr16:16 or [m16:16]; clear upper 16 bits *)
FI;
FI;
IF far call and (PE = 1 and VM = 0) (* Protected mode or IA-32e Mode, not virtual-8086 mode*)
THEN
IF segment selector in target operand NULL
THEN #GP(0); FI;
IF segment selector index not within descriptor table limits
THEN #GP(new code segment selector); FI;
Read type and access rights of selected segment descriptor;
IF IA32_EFER.LMA =0
THEN
IF segment type is not a conforming or nonconforming code segment, call
gate, task gate, or TSS
THEN #GP(segment selector); FI;
ELSE
IF segment type is not a conforming or nonconforming code segment or
64-bit call gate,
THEN #GP(segment selector); FI;
FI;
Depending on type and access rights:
GO TO CONFORMING-CODE-SEGMENT;
GO TO NONCONFORMING-CODE-SEGMENT;
CALLCall Procedure
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-101
GO TO CALL-GATE;
GO TO TASK-GATE;
GO TO TASK-STATE-SEGMENT;
FI;
CONFORMING-CODE-SEGMENT:
IF L bit = 1 and D bit = 1 and IA32_EFER.LMA = 1
THEN GP(new code segment selector); FI;
IF DPL > CPL
THEN #GP(new code segment selector); FI;
IF segment not present
THEN #NP(new code segment selector); FI;
IF stack not large enough for return address
THEN #SS(0); FI;
tempEIP DEST(Offset);
IF OperandSize = 16
THEN
tempEIP tempEIP AND 0000FFFFH; FI; (* Clear upper 16 bits *)
IF (EFER.LMA =0 or target mode =Compatibility mode) and (tempEIP outside new code
segment limit)
THEN #GP(0); FI;
IF tempEIP is non-canonical
THEN #GP(0); FI;
IF OperandSize = 32
THEN
Push(CS); (* Padded with 16 high-order bits *)
Push(EIP);
CS DEST(CodeSegmentSelector);
(* Segment descriptor information also loaded *)
CS(RPL) CPL;
EIP tempEIP;
ELSE
IF OperandSize = 16
THEN
Push(CS);
Push(IP);
CS DEST(CodeSegmentSelector);
(* Segment descriptor information also loaded *)
CS(RPL) CPL;
EIP tempEIP;
ELSE (* OperandSize = 64 *)
Push(CS); (* Padded with 48 high-order bits *)
Push(RIP);
CS DEST(CodeSegmentSelector);
(* Segment descriptor information also loaded *)
CS(RPL) CPL;
RIP tempEIP;
FI;
FI;
END;
NONCONFORMING-CODE-SEGMENT:
IF L-Bit = 1 and D-BIT = 1 and IA32_EFER.LMA = 1
THEN GP(new code segment selector); FI;
CALLCall Procedure
INSTRUCTION SET REFERENCE, A-M
3-102 Vol. 2A
IF (RPL > CPL) or (DPL CPL)
THEN #GP(new code segment selector); FI;
IF segment not present
THEN #NP(new code segment selector); FI;
IF stack not large enough for return address
THEN #SS(0); FI;
tempEIP DEST(Offset);
IF OperandSize = 16
THEN tempEIP tempEIP AND 0000FFFFH; FI; (* Clear upper 16 bits *)
IF (EFER.LMA =0 or target mode =Compatibility mode) and (tempEIP outside new code
segment limit)
THEN #GP(0); FI;
IF tempEIP is non-canonical
THEN #GP(0); FI;
IF OperandSize = 32
THEN
Push(CS); (* Padded with 16 high-order bits *)
Push(EIP);
CS DEST(CodeSegmentSelector);
(* Segment descriptor information also loaded *)
CS(RPL) CPL;
EIP tempEIP;
ELSE
IF OperandSize =16
THEN
Push(CS);
Push(IP);
CS DEST(CodeSegmentSelector);
(* Segment descriptor information also loaded *)
CS(RPL) CPL;
EIP tempEIP;
ELSE (* OperandSize =64 *)
Push(CS); (* Padded with 48 high-order bits *)
Push(RIP);
CS DEST(CodeSegmentSelector);
(* Segment descriptor information also loaded *)
CS(RPL) CPL;
RIP tempEIP;
FI;
FI;
END;
CALL-GATE:
IF call gate (DPL < CPL) or (RPL > DPL)
THEN #GP(call-gate selector); FI;
IF call gate not present
THEN #NP(call-gate selector); FI;
IF call-gate code-segment selector is NULL
THEN #GP(0); FI;
IF call-gate code-segment selector index is outside descriptor table limits
THEN #GP(call-gate code-segment selector); FI;
Read call-gate code-segment descriptor;
IF call-gate code-segment descriptor does not indicate a code segment
or call-gate code-segment descriptor DPL > CPL
CALLCall Procedure
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-103
THEN #GP(call-gate code-segment selector); FI;
IF IA32_EFER.LMA =1 AND (call-gate code-segment descriptor is
not a 64-bit code segment or call-gate code-segment descriptor has both L-bit and D-bit set)
THEN #GP(call-gate code-segment selector); FI;
IF call-gate code segment not present
THEN #NP(call-gate code-segment selector); FI;
IF call-gate code segment is non-conforming and DPL < CPL
THEN go to MORE-PRIVILEGE;
ELSE go to SAME-PRIVILEGE;
FI;
END;
MORE-PRIVILEGE:
IF current TSS is 32-bit
THEN
TSSstackAddress (new code-segment DPL 8) + 4;
IF (TSSstackAddress + 5) > current TSS limit
THEN #TS(current TSS selector); FI;
NewSS 2 bytes loaded from (TSS base +TSSstackAddress + 4);
NewESP 4 bytes loaded from (TSS base +TSSstackAddress);
ELSE
IF current TSS is 16-bit
THEN
TSSstackAddress (new code-segment DPL 4) + 2
IF (TSSstackAddress + 3) > current TSS limit
THEN #TS(current TSS selector); FI;
NewSS 2 bytes loaded from (TSS base +TSSstackAddress + 2);
NewESP 2 bytes loaded from (TSS base +TSSstackAddress);
ELSE (* current TSS is 64-bit *)
TSSstackAddress (new code-segment DPL 8) + 4;
IF (TSSstackAddress + 7) > current TSS limit
THEN #TS(current TSS selector); FI;
NewSS new code-segment DPL; (* NULL selector with RPL =new CPL *)
NewRSP 8 bytes loaded from (current TSS base +TSSstackAddress);
FI;
FI;
IF IA32_EFER.LMA =0 and NewSS is NULL
THEN #TS(NewSS); FI;
Read new code-segment descriptor and new stack-segment descriptor;
IF IA32_EFER.LMA =0 and (NewSS RPL new code-segment DPL
or new stack-segment DPL new code-segment DPL or new stack segment is not a
writable data segment)
THEN #TS(NewSS); FI
IF IA32_EFER.LMA =0 and new stack segment not present
THEN #SS(NewSS); FI;
IF CallGateSize = 32
THEN
IF new stack does not have room for parameters plus 16 bytes
THEN #SS(NewSS); FI;
IF CallGate(InstructionPointer) not within new code-segment limit
THEN #GP(0); FI;
SS newSS; (* Segment descriptor information also loaded *)
ESP newESP;
CS:EIP CallGate(CS:InstructionPointer);
CALLCall Procedure
INSTRUCTION SET REFERENCE, A-M
3-104 Vol. 2A
(* Segment descriptor information also loaded *)
Push(oldSS:oldESP); (* From calling procedure *)
temp parameter count from call gate, masked to 5 bits;
Push(parameters from calling procedures stack, temp)
Push(oldCS:oldEIP); (* Return address to calling procedure *)
ELSE
IF CallGateSize =16
THEN
IF new stack does not have roomfor parameters plus 8 bytes
THEN #SS(NewSS); FI;
IF (CallGate(InstructionPointer) AND FFFFH) not in new code-segment limit
THEN #GP(0); FI;
SS newSS; (* Segment descriptor information also loaded *)
ESP newESP;
CS:IP CallGate(CS:InstructionPointer);
(* Segment descriptor information also loaded *)
Push(oldSS:oldESP); (* From calling procedure *)
temp parameter count from call gate, masked to 5 bits;
Push(parameters from calling procedures stack, temp)
Push(oldCS:oldEIP); (* Return address to calling procedure *)
ELSE (* CallGateSize =64 *)
IF pushing 32 bytes on the stack would use a non-canonical address
THEN #SS(NewSS); FI;
IF (CallGate(InstructionPointer) is non-canonical)
THEN #GP(0); FI;
SS NewSS; (* NewSS is NULL)
RSP NewESP;
CS:IP CallGate(CS:InstructionPointer);
(* Segment descriptor information also loaded *)
Push(oldSS:oldESP); (* From calling procedure *)
Push(oldCS:oldEIP); (* Return address to calling procedure *)
FI;
FI;
CPL CodeSegment(DPL)
CS(RPL) CPL
END;
SAME-PRIVILEGE:
IF CallGateSize =32
THEN
IF stack does not have room for 8 bytes
THEN #SS(0); FI;
IF CallGate(InstructionPointer) not within code segment limit
THEN #GP(0); FI;
CS:EIP CallGate(CS:EIP) (* Segment descriptor information also loaded *)
Push(oldCS:oldEIP); (* Return address to calling procedure *)
ELSE
If CallGateSize = 16
THEN
IF stack does not have room for 4 bytes
THEN #SS(0); FI;
IF CallGate(InstructionPointer) not within code segment limit
THEN #GP(0); FI;
CS:IP CallGate(CS:instruction pointer);
CALLCall Procedure
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-105
(* Segment descriptor information also loaded *)
Push(oldCS:oldIP); (* Return address to calling procedure *)
ELSE (* CallGateSize =64)
IF pushing 16 bytes on the stack touches non-canonical addresses
THEN #SS(0); FI;
IF RIP non-canonical
THEN #GP(0); FI;
CS:IP CallGate(CS:instruction pointer);
(* Segment descriptor information also loaded *)
Push(oldCS:oldIP); (* Return address to calling procedure *)
FI;
FI;
CS(RPL) CPL
END;
TASK-GATE:
IF task gate DPL < CPL or RPL
THEN #GP(task gate selector); FI;
IF task gate not present
THEN #NP(task gate selector); FI;
Read the TSS segment selector in the task-gate descriptor;
IF TSS segment selector local/global bit is set to local
or index not within GDT limits
THEN #GP(TSS selector); FI;
Access TSS descriptor in GDT;
IF TSS descriptor specifies that the TSS is busy (low-order 5 bits set to 00001)
THEN #GP(TSS selector); FI;
IF TSS not present
THEN #NP(TSS selector); FI;
SWITCH-TASKS (with nesting) to TSS;
IF EIP not within code segment limit
THEN #GP(0); FI;
END;
TASK-STATE-SEGMENT:
IF TSS DPL < CPL or RPL
or TSS descriptor indicates TSS not available
THEN #GP(TSS selector); FI;
IF TSS is not present
THEN #NP(TSS selector); FI;
SWITCH-TASKS (with nesting) to TSS;
IF EIP not within code segment limit
THEN #GP(0); FI;
END;
F la g s Af fe ct e d
All flags are affected if a task switch occurs; no flags are affected if a task switch does not occur.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the target offset in destination operand is beyond the new code segment limit.
If the segment selector in the destination operand is NULL.
If the code segment selector in the gate is NULL.
CALLCall Procedure
INSTRUCTION SET REFERENCE, A-M
3-106 Vol. 2A
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register is used to access memory and it contains a NULL segment
selector.
#GP(selector) If a code segment or gate or TSS selector index is outside descriptor table limits.
If the segment descriptor pointed to by the segment selector in the destination operand is not
for a conforming-code segment, nonconforming-code segment, call gate, task gate, or task
state segment.
If the DPL for a nonconforming-code segment is not equal to the CPL or the RPL for the
segments segment selector is greater than the CPL.
If the DPL for a conforming-code segment is greater than the CPL.
If the DPL from a call-gate, task-gate, or TSS segment descriptor is less than the CPL or than
the RPL of the call-gate, task-gate, or TSSs segment selector.
If the segment descriptor for a segment selector from a call gate does not indicate it is a code
segment.
If the segment selector from a call gate is beyond the descriptor table limits.
If the DPL for a code-segment obtained from a call gate is greater than the CPL.
If the segment selector for a TSS has its local/global bit set for local.
If a TSS segment descriptor specifies that the TSS is busy or not available.
#SS(0) If pushing the return address, parameters, or stack segment pointer onto the stack exceeds
the bounds of the stack segment, when no stack switch occurs.
If a memory operand effective address is outside the SS segment limit.
#SS(selector) If pushing the return address, parameters, or stack segment pointer onto the stack exceeds
the bounds of the stack segment, when a stack switch occurs.
If the SS register is being loaded as part of a stack switch and the segment pointed to is
marked not present.
If stack segment does not have room for the return address, parameters, or stack segment
pointer, when stack switch occurs.
#NP(selector) If a code segment, data segment, stack segment, call gate, task gate, or TSS is not present.
#TS(selector) If the new stack segment selector and ESP are beyond the end of the TSS.
If the new stack segment selector is NULL.
If the RPL of the new stack segment selector in the TSS is not equal to the DPL of the code
segment being accessed.
If DPL of the stack segment descriptor for the new stack segment is not equal to the DPL of the
code segment descriptor.
If the new stack segment is not a writable data segment.
If segment-selector index for stack segment is outside descriptor table limits.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the target offset is beyond the code segment limit.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the target offset is beyond the code segment limit.
CALLCall Procedure
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-107
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
#GP(selector) If a memory address accessed by the selector is in non-canonical space.
#GP(0) If the target offset in the destination operand is non-canonical.
6 4 -B it Mo d e E x ce p t io n s
#GP(0) If a memory address is non-canonical.
If target offset in destination operand is non-canonical.
If the segment selector in the destination operand is NULL.
If the code segment selector in the 64-bit gate is NULL.
#GP(selector) If code segment or 64-bit call gate is outside descriptor table limits.
If code segment or 64-bit call gate overlaps non-canonical space.
If the segment descriptor pointed to by the segment selector in the destination operand is not
for a conforming-code segment, nonconforming-code segment, or 64-bit call gate.
If the segment descriptor pointed to by the segment selector in the destination operand is a
code segment and has both the D-bit and the L- bit set.
If the DPL for a nonconforming-code segment is not equal to the CPL, or the RPL for the
segments segment selector is greater than the CPL.
If the DPL for a conforming-code segment is greater than the CPL.
If the DPL from a 64-bit call-gate is less than the CPL or than the RPL of the 64-bit call-gate.
If the upper type field of a 64-bit call gate is not 0x0.
If the segment selector from a 64-bit call gate is beyond the descriptor table limits.
If the DPL for a code-segment obtained from a 64-bit call gate is greater than the CPL.
If the code segment descriptor pointed to by the selector in the 64-bit gate doesn't have the
L-bit set and the D-bit clear.
If the segment descriptor for a segment selector from the 64-bit call gate does not indicate it
is a code segment.
#SS(0) If pushing the return offset or CS selector onto the stack exceeds the bounds of the stack
segment when no stack switch occurs.
If a memory operand effective address is outside the SS segment limit.
If the stack address is in a non-canonical form.
#SS(selector) If pushing the old values of SS selector, stack pointer, EFLAGS, CS selector, offset, or error
code onto the stack violates the canonical boundary when a stack switch occurs.
#NP(selector) If a code segment or 64-bit call gate is not present.
#TS(selector) If the load of the new RSP exceeds the limit of the TSS.
#UD (64-bit mode only) If a far call is direct to an absolute address in memory.
If the LOCK prefix is used.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
CBW/CWDE/CDQEConvert Byte to Word/Convert Word to Doubleword/Convert Doubleword to Quadword
INSTRUCTION SET REFERENCE, A-M
3-108 Vol. 2A
C B W/C WD E /C D Q E C o n v e rt B y t e t o Wo rd /C o n v e rt Wo rd t o D o u b le w o rd /C o n v e rt D o u b le w o rd t o
Q u a d w o rd
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Double the size of the source operand by means of sign extension. The CBW (convert byte to word) instruction
copies the sign (bit 7) in the source operand into every bit in the AH register. The CWDE (convert word to double-
word) instruction copies the sign (bit 15) of the word in the AX register into the high 16 bits of the EAX register.
CBW and CWDE reference the same opcode. The CBW instruction is intended for use when the operand-size
attribute is 16; CWDE is intended for use when the operand-size attribute is 32. Some assemblers may force the
operand size. Others may treat these two mnemonics as synonyms (CBW/CWDE) and use the setting of the
operand-size attribute to determine the size of values to be converted.
In 64-bit mode, the default operation size is the size of the destination register. Use of the REX.W prefix promotes
this instruction (CDQE when promoted) to operate on 64-bit operands. In which case, CDQE copies the sign (bit 31)
of the doubleword in the EAX register into the high 32 bits of RAX.
O p e ra t io n
IF OperandSize = 16 (* Instruction = CBW *)
THEN
AX SignExtend(AL);
ELSE IF (OperandSize = 32, Instruction = CWDE)
EAX SignExtend(AX); FI;
ELSE (* 64-Bit Mode, OperandSize = 64, Instruction = CDQE*)
RAX SignExtend(EAX);
FI;
F la g s Af fe ct e d
None.
E x ce p t io n s (All O p e ra t in g Mo d e s)
#UD If the LOCK prefix is used.
O p co d e I n st ru ct io n O p /
E n
6 4 -b it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
98 CBW NP Valid Valid AX sign-extend of AL.
98 CWDE NP Valid Valid EAX sign-extend of AX.
REX.W +98 CDQE NP Valid N.E. RAX sign-extend of EAX.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
CLCClear Carry Flag
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-109
C LC C le a r C a rry F la g
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Clears the CF flag in the EFLAGS register. Operation is the same in all non-64-bit modes and 64-bit mode.
O p e ra t io n
CF 0;
F la g s Af fe ct e d
The CF flag is set to 0. The OF, ZF, SF, AF, and PF flags are unaffected.
E x ce p t io n s (All O p e ra t in g Mo d e s)
#UD If the LOCK prefix is used.
O p co d e I n st ru ct io n O p /
E n
6 4 -b it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
F8 CLC NP Valid Valid Clear CF flag.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
CLDClear Direction Flag
INSTRUCTION SET REFERENCE, A-M
3-110 Vol. 2A
C LD C le a r D ire ct io n F la g
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Clears the DF flag in the EFLAGS register. When the DF flag is set to 0, string operations increment the index regis-
ters (ESI and/or EDI). Operation is the same in all non-64-bit modes and 64-bit mode.
O p e ra t io n
DF 0;
F la g s Af fe ct e d
The DF flag is set to 0. The CF, OF, ZF, SF, AF, and PF flags are unaffected.
E x ce p t io n s (All O p e ra t in g Mo d e s)
#UD If the LOCK prefix is used.
O p co d e In st ru ct io n O p /
E n
6 4 -b it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
FC CLD NP Valid Valid Clear DF flag.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
CLFLUSHFlush Cache Line
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-111
C LF LU S H F lu sh C a ch e Lin e
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Invalidates the cache line that contains the linear address specified with the source operand from all levels of the
processor cache hierarchy (data and instruction). The invalidation is broadcast throughout the cache coherence
domain. If, at any level of the cache hierarchy, the line is inconsistent with memory (dirty) it is written to memory
before invalidation. The source operand is a byte memory location.
The availability of CLFLUSH is indicated by the presence of the CPUID feature flag CLFSH (bit 19 of the EDX register,
see CPUIDCPU Identification in this chapter). The aligned cache line size affected is also indicated with the
CPUID instruction (bits 8 through 15 of the EBX register when the initial value in the EAX register is 1).
The memory attribute of the page containing the affected line has no effect on the behavior of this instruction. It
should be noted that processors are free to speculatively fetch and cache data from system memory regions
assigned a memory-type allowing for speculative reads (such as, the WB, WC, and WT memory types). PREFETCHh
instructions can be used to provide the processor with hints for this speculative behavior. Because this speculative
fetching can occur at any time and is not tied to instruction execution, the CLFLUSH instruction is not ordered with
respect to PREFETCHh instructions or any of the speculative fetching mechanisms (that is, data can be specula-
tively loaded into a cache line just before, during, or after the execution of a CLFLUSH instruction that references
the cache line).
CLFLUSH is only ordered by the MFENCE instruction. It is not guaranteed to be ordered by any other fencing or
serializing instructions or by another CLFLUSH instruction. For example, software can use an MFENCE instruction
to ensure that previous stores are included in the write-back.
The CLFLUSH instruction can be used at all privilege levels and is subject to all permission checking and faults asso-
ciated with a byte load (and in addition, a CLFLUSH instruction is allowed to flush a linear address in an execute-
only segment). Like a load, the CLFLUSH instruction sets the A bit but not the D bit in the page tables.
The CLFLUSH instruction was introduced with the SSE2 extensions; however, because it has its own CPUID feature
flag, it can be implemented in IA-32 processors that do not include the SSE2 extensions. Also, detecting the pres-
ence of the SSE2 extensions with the CPUID instruction does not guarantee that the CLFLUSH instruction is imple-
mented in the processor.
CLFLUSH operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
Flush_Cache_Line(SRC);
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t s
CLFLUSH: void _mm_clflush(void const *p)
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) For an illegal memory operand effective address in the CS, DS, ES, FS or GS segments.
#SS(0) For an illegal address in the SS segment.
#PF(fault-code) For a page fault.
#UD If CPUID.01H:EDX.CLFSH[bit 19] = 0.
If the LOCK prefix is used.
O p co d e I n st ru ct io n O p /
E n
6 4 -b it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F AE /7 CLFLUSH m8 M Valid Valid Flushes cache line containing m8.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
M ModRM:r/m (w) NA NA NA
CLFLUSHFlush Cache Line
INSTRUCTION SET REFERENCE, A-M
3-112 Vol. 2A
If instruction prefix is 66H, F2H or F3H.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If any part of the operand lies outside the effective address space from 0 to FFFFH.
#UD If CPUID.01H:EDX.CLFSH[bit 19] = 0.
If the LOCK prefix is used.
If instruction prefix is 66H, F2H or F3H.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in real address mode.
#PF(fault-code) For a page fault.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) For a page fault.
#UD If CPUID.01H:EDX.CLFSH[bit 19] = 0.
If the LOCK prefix is used.
If instruction prefix is 66H, F2H or F3H.
CLI Clear Interrupt Flag
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-113
C LI C le a r I n t e rru p t F la g
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
If protected-mode virtual interrupts are not enabled, CLI clears the IF flag in the EFLAGS register. No other flags
are affected. Clearing the IF flag causes the processor to ignore maskable external interrupts. The IF flag and the
CLI and STI instruction have no affect on the generation of exceptions and NMI interrupts.
When protected-mode virtual interrupts are enabled, CPL is 3, and IOPL is less than 3; CLI clears the VIF flag in the
EFLAGS register, leaving IF unaffected. Table 3-6 indicates the action of the CLI instruction depending on the
processor operating mode and the CPL/IOPL of the running program or procedure.
CLI operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
IF PE =0
THEN
IF 0; (* Reset Interrupt Flag *)
ELSE
IF VM =0;
THEN
IF IOPL CPL
THEN
IF 0; (* Reset Interrupt Flag *)
ELSE
IF ((IOPL <CPL) and (CPL =3) and (PVI =1))
THEN
VIF 0; (* Reset Virtual Interrupt Flag *)
ELSE
O p co d e I n st ru ct io n O p /
E n
6 4 -b it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
FA CLI NP Valid Valid Clear interrupt flag; interrupts disabled when
interrupt flag cleared.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
Ta b le 3 -6 . D e cisio n Ta b le fo r C LI R e su lt s
P E V M I O P L C P L P VI VI P VME C LI R e su lt
0 X X X X X X I F = 0
1 0 CPL X X X X I F = 0
1 0 < CPL 3 1 X X V I F = 0
1 0 < CPL < 3 X X X G P Fa u lt
1 0 < CPL X 0 X X G P Fa u lt
1 1 3 X X X X I F = 0
1 1 < 3 X X X 1 V I F = 0
1 1 < 3 X X X 0 G P Fa u lt
N O T E S :
* X =This setting has no impact.
CLI Clear Interrupt Flag
INSTRUCTION SET REFERENCE, A-M
3-114 Vol. 2A
#GP(0);
FI;
FI;
ELSE (* VM =1 *)
IF IOPL =3
THEN
IF 0; (* Reset Interrupt Flag *)
ELSE
IF (IOPL <3) AND (VME =1)
THEN
VIF 0; (* Reset Virtual Interrupt Flag *)
ELSE
#GP(0);
FI;
FI;
FI;
FI;
F la g s Af fe ct e d
If protected-mode virtual interrupts are not enabled, IF is set to 0 if the CPL is equal to or less than the IOPL; other-
wise, it is not affected. The other flags in the EFLAGS register are unaffected.
When protected-mode virtual interrupts are enabled, CPL is 3, and IOPL is less than 3; CLI clears the VIF flag in the
EFLAGS register, leaving IF unaffected.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the CPL is greater (has less privilege) than the IOPL of the current program or procedure.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If the CPL is greater (has less privilege) than the IOPL of the current program or procedure.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#GP(0) If the CPL is greater (has less privilege) than the IOPL of the current program or procedure.
#UD If the LOCK prefix is used.
CLTSClear Task-Switched Flag in CR0
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-115
C LT S C le a r Ta sk -S w it ch e d F la g in C R 0
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Clears the task-switched (TS) flag in the CR0 register. This instruction is intended for use in operating-system
procedures. It is a privileged instruction that can only be executed at a CPL of 0. It is allowed to be executed in real-
address mode to allow initialization for protected mode.
The processor sets the TS flag every time a task switch occurs. The flag is used to synchronize the saving of FPU
context in multitasking applications. See the description of the TS flag in the section titled Control Registers in
Chapter 2 of the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3A, for more information
about this flag.
CLTS operation is the same in non-64-bit modes and 64-bit mode.
See Chapter 25, VMX Non-Root Operation, of the Intel 64 and IA-32 Architectures Software Developers
Manual, Volume 3C, for more information about the behavior of this instruction in VMX non-root operation.
O p e ra t io n
CR0.TS[bit 3] 0;
F la g s Af fe ct e d
The TS flag in CR0 register is cleared.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the current privilege level is not 0.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) CLTS is not recognized in virtual-8086 mode.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#GP(0) If the CPL is greater than 0.
#UD If the LOCK prefix is used.
O p co d e I n st ru ct io n O p /
E n
6 4 -b it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 06 CLTS NP Valid Valid Clears TS flag in CR0.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
CMCComplement Carry Flag
INSTRUCTION SET REFERENCE, A-M
3-116 Vol. 2A
C MC C o m p le m e n t C a rry F la g
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Complements the CF flag in the EFLAGS register. CMC operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
EFLAGS.CF[bit 0] NOT EFLAGS.CF[bit 0];
F la g s Af fe ct e d
The CF flag contains the complement of its original value. The OF, ZF, SF, AF, and PF flags are unaffected.
E x ce p t io n s (All O p e ra t in g Mo d e s)
#UD If the LOCK prefix is used.
O p co d e I n st ru ct io n O p /
E n
6 4 -b it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
F5 CMC NP Valid Valid Complement CF flag.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
CMOVccConditional Move
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-117
C MO VccC o n d it io n a l Mo v e
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 47 /r CMOVA r16, r/m16 RM Valid Valid Move if above (CF=0 and ZF=0).
0F 47 /r CMOVA r32, r/m32 RM Valid Valid Move if above (CF=0 and ZF=0).
REX.W +0F 47 /r CMOVA r64, r/m64 RM Valid N.E. Move if above (CF=0 and ZF=0).
0F 43 /r CMOVAE r16, r/m16 RM Valid Valid Move if above or equal (CF=0).
0F 43 /r CMOVAE r32, r/m32 RM Valid Valid Move if above or equal (CF=0).
REX.W +0F 43 /r CMOVAE r64, r/m64 RM Valid N.E. Move if above or equal (CF=0).
0F 42 /r CMOVB r16, r/m16 RM Valid Valid Move if below (CF=1).
0F 42 /r CMOVB r32, r/m32 RM Valid Valid Move if below (CF=1).
REX.W +0F 42 /r CMOVB r64, r/m64 RM Valid N.E. Move if below (CF=1).
0F 46 /r CMOVBE r16, r/m16 RM Valid Valid Move if below or equal (CF=1 or ZF=1).
0F 46 /r CMOVBE r32, r/m32 RM Valid Valid Move if below or equal (CF=1 or ZF=1).
REX.W +0F 46 /r CMOVBE r64, r/m64 RM Valid N.E. Move if below or equal (CF=1 or ZF=1).
0F 42 /r CMOVC r16, r/m16 RM Valid Valid Move if carry (CF=1).
0F 42 /r CMOVC r32, r/m32 RM Valid Valid Move if carry (CF=1).
REX.W +0F 42 /r CMOVC r64, r/m64 RM Valid N.E. Move if carry (CF=1).
0F 44 /r CMOVE r16, r/m16 RM Valid Valid Move if equal (ZF=1).
0F 44 /r CMOVE r32, r/m32 RM Valid Valid Move if equal (ZF=1).
REX.W +0F 44 /r CMOVE r64, r/m64 RM Valid N.E. Move if equal (ZF=1).
0F 4F /r CMOVG r16, r/m16 RM Valid Valid Move if greater (ZF=0 and SF=OF).
0F 4F /r CMOVG r32, r/m32 RM Valid Valid Move if greater (ZF=0 and SF=OF).
REX.W +0F 4F /r CMOVG r64, r/m64 RM V/N.E. NA Move if greater (ZF=0 and SF=OF).
0F 4D /r CMOVGE r16, r/m16 RM Valid Valid Move if greater or equal (SF=OF).
0F 4D /r CMOVGE r32, r/m32 RM Valid Valid Move if greater or equal (SF=OF).
REX.W +0F 4D /r CMOVGE r64, r/m64 RM Valid N.E. Move if greater or equal (SF=OF).
0F 4C /r CMOVL r16, r/m16 RM Valid Valid Move if less (SF OF).
0F 4C /r CMOVL r32, r/m32 RM Valid Valid Move if less (SF OF).
REX.W +0F 4C /r CMOVL r64, r/m64 RM Valid N.E. Move if less (SF OF).
0F 4E /r CMOVLE r16, r/m16 RM Valid Valid Move if less or equal (ZF=1 or SF OF).
0F 4E /r CMOVLE r32, r/m32 RM Valid Valid Move if less or equal (ZF=1 or SF OF).
REX.W +0F 4E /r CMOVLE r64, r/m64 RM Valid N.E. Move if less or equal (ZF=1 or SF OF).
0F 46 /r CMOVNA r16, r/m16 RM Valid Valid Move if not above (CF=1 or ZF=1).
0F 46 /r CMOVNA r32, r/m32 RM Valid Valid Move if not above (CF=1 or ZF=1).
REX.W +0F 46 /r CMOVNA r64, r/m64 RM Valid N.E. Move if not above (CF=1 or ZF=1).
0F 42 /r CMOVNAE r16, r/m16 RM Valid Valid Move if not above or equal (CF=1).
0F 42 /r CMOVNAE r32, r/m32 RM Valid Valid Move if not above or equal (CF=1).
REX.W +0F 42 /r CMOVNAE r64, r/m64 RM Valid N.E. Move if not above or equal (CF=1).
0F 43 /r CMOVNB r16, r/m16 RM Valid Valid Move if not below (CF=0).
0F 43 /r CMOVNB r32, r/m32 RM Valid Valid Move if not below (CF=0).
REX.W +0F 43 /r CMOVNB r64, r/m64 RM Valid N.E. Move if not below (CF=0).
0F 47 /r CMOVNBE r16, r/m16 RM Valid Valid Move if not below or equal (CF=0 and ZF=0).
CMOVccConditional Move
INSTRUCTION SET REFERENCE, A-M
3-118 Vol. 2A
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 47 /r CMOVNBE r32, r/m32 RM Valid Valid Move if not below or equal (CF=0 and ZF=0).
REX.W +0F 47 /r CMOVNBE r64, r/m64 RM Valid N.E. Move if not below or equal (CF=0 and ZF=0).
0F 43 /r CMOVNC r16, r/m16 RM Valid Valid Move if not carry (CF=0).
0F 43 /r CMOVNC r32, r/m32 RM Valid Valid Move if not carry (CF=0).
REX.W +0F 43 /r CMOVNC r64, r/m64 RM Valid N.E. Move if not carry (CF=0).
0F 45 /r CMOVNE r16, r/m16 RM Valid Valid Move if not equal (ZF=0).
0F 45 /r CMOVNE r32, r/m32 RM Valid Valid Move if not equal (ZF=0).
REX.W +0F 45 /r CMOVNE r64, r/m64 RM Valid N.E. Move if not equal (ZF=0).
0F 4E /r CMOVNG r16, r/m16 RM Valid Valid Move if not greater (ZF=1 or SF OF).
0F 4E /r CMOVNG r32, r/m32 RM Valid Valid Move if not greater (ZF=1 or SF OF).
REX.W +0F 4E /r CMOVNG r64, r/m64 RM Valid N.E. Move if not greater (ZF=1 or SF OF).
0F 4C /r CMOVNGE r16, r/m16 RM Valid Valid Move if not greater or equal (SF OF).
0F 4C /r CMOVNGE r32, r/m32 RM Valid Valid Move if not greater or equal (SF OF).
REX.W +0F 4C /r CMOVNGE r64, r/m64 RM Valid N.E. Move if not greater or equal (SF OF).
0F 4D /r CMOVNL r16, r/m16 RM Valid Valid Move if not less (SF=OF).
0F 4D /r CMOVNL r32, r/m32 RM Valid Valid Move if not less (SF=OF).
REX.W +0F 4D /r CMOVNL r64, r/m64 RM Valid N.E. Move if not less (SF=OF).
0F 4F /r CMOVNLE r16, r/m16 RM Valid Valid Move if not less or equal (ZF=0 and SF=OF).
0F 4F /r CMOVNLE r32, r/m32 RM Valid Valid Move if not less or equal (ZF=0 and SF=OF).
REX.W +0F 4F /r CMOVNLE r64, r/m64 RM Valid N.E. Move if not less or equal (ZF=0 and SF=OF).
0F 41 /r CMOVNO r16, r/m16 RM Valid Valid Move if not overflow (OF=0).
0F 41 /r CMOVNO r32, r/m32 RM Valid Valid Move if not overflow (OF=0).
REX.W +0F 41 /r CMOVNO r64, r/m64 RM Valid N.E. Move if not overflow (OF=0).
0F 4B /r CMOVNP r16, r/m16 RM Valid Valid Move if not parity (PF=0).
0F 4B /r CMOVNP r32, r/m32 RM Valid Valid Move if not parity (PF=0).
REX.W +0F 4B /r CMOVNP r64, r/m64 RM Valid N.E. Move if not parity (PF=0).
0F 49 /r CMOVNS r16, r/m16 RM Valid Valid Move if not sign (SF=0).
0F 49 /r CMOVNS r32, r/m32 RM Valid Valid Move if not sign (SF=0).
REX.W +0F 49 /r CMOVNS r64, r/m64 RM Valid N.E. Move if not sign (SF=0).
0F 45 /r CMOVNZ r16, r/m16 RM Valid Valid Move if not zero (ZF=0).
0F 45 /r CMOVNZ r32, r/m32 RM Valid Valid Move if not zero (ZF=0).
REX.W +0F 45 /r CMOVNZ r64, r/m64 RM Valid N.E. Move if not zero (ZF=0).
0F 40 /r CMOVO r16, r/m16 RM Valid Valid Move if overflow (OF=1).
0F 40 /r CMOVO r32, r/m32 RM Valid Valid Move if overflow (OF=1).
REX.W +0F 40 /r CMOVO r64, r/m64 RM Valid N.E. Move if overflow (OF=1).
0F 4A /r CMOVP r16, r/m16 RM Valid Valid Move if parity (PF=1).
0F 4A /r CMOVP r32, r/m32 RM Valid Valid Move if parity (PF=1).
REX.W +0F 4A /r CMOVP r64, r/m64 RM Valid N.E. Move if parity (PF=1).
0F 4A /r CMOVPE r16, r/m16 RM Valid Valid Move if parity even (PF=1).
0F 4A /r CMOVPE r32, r/m32 RM Valid Valid Move if parity even (PF=1).
REX.W +0F 4A /r CMOVPE r64, r/m64 RM Valid N.E. Move if parity even (PF=1).
CMOVccConditional Move
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-119
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
The CMOVcc instructions check the state of one or more of the status flags in the EFLAGS register (CF, OF, PF, SF,
and ZF) and perform a move operation if the flags are in a specified state (or condition). A condition code (cc) is
associated with each instruction to indicate the condition being tested for. If the condition is not satisfied, a move
is not performed and execution continues with the instruction following the CMOVcc instruction.
These instructions can move 16-bit, 32-bit or 64-bit values from memory to a general-purpose register or from one
general-purpose register to another. Conditional moves of 8-bit register operands are not supported.
The condition for each CMOVcc mnemonic is given in the description column of the above table. The terms less
and greater are used for comparisons of signed integers and the terms above and below are used for
unsigned integers.
Because a particular state of the status flags can sometimes be interpreted in two ways, two mnemonics are
defined for some opcodes. For example, the CMOVA (conditional move if above) instruction and the CMOVNBE
(conditional move if not below or equal) instruction are alternate mnemonics for the opcode 0F 47H.
The CMOVcc instructions were introduced in P6 family processors; however, these instructions may not be
supported by all IA-32 processors. Software can determine if the CMOVcc instructions are supported by checking
the processors feature information with the CPUID instruction (see CPUIDCPU Identification in this chapter).
In 64-bit mode, the instructions default operation size is 32 bits. Use of the REX.R prefix permits access to addi-
tional registers (R8-R15). Use of the REX.W prefix promotes operation to 64 bits. See the summary chart at the
beginning of this section for encoding data and limits.
O p e ra t io n
temp SRC
IF condition TRUE
THEN
DEST temp;
FI;
ELSE
IF (OperandSize =32 and IA-32e mode active)
THEN
DEST[63:32] 0;
FI;
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 4B /r CMOVPO r16, r/m16 RM Valid Valid Move if parity odd (PF=0).
0F 4B /r CMOVPO r32, r/m32 RM Valid Valid Move if parity odd (PF=0).
REX.W +0F 4B /r CMOVPO r64, r/m64 RM Valid N.E. Move if parity odd (PF=0).
0F 48 /r CMOVS r16, r/m16 RM Valid Valid Move if sign (SF=1).
0F 48 /r CMOVS r32, r/m32 RM Valid Valid Move if sign (SF=1).
REX.W +0F 48 /r CMOVS r64, r/m64 RM Valid N.E. Move if sign (SF=1).
0F 44 /r CMOVZ r16, r/m16 RM Valid Valid Move if zero (ZF=1).
0F 44 /r CMOVZ r32, r/m32 RM Valid Valid Move if zero (ZF=1).
REX.W +0F 44 /r CMOVZ r64, r/m64 RM Valid N.E. Move if zero (ZF=1).
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
CMOVccConditional Move
INSTRUCTION SET REFERENCE, A-M
3-120 Vol. 2A
FI;
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
CMPCompare Two Operands
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-121
C MP C o m p a re T w o O p e ra n d s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Compares the first source operand with the second source operand and sets the status flags in the EFLAGS register
according to the results. The comparison is performed by subtracting the second operand from the first operand
and then setting the status flags in the same manner as the SUB instruction. When an immediate value is used as
an operand, it is sign-extended to the length of the first operand.
The condition codes used by the Jcc, CMOVcc, and SETcc instructions are based on the results of a CMP instruction.
Appendix B, EFLAGS Condition Codes, in the Intel 64 and IA-32 Architectures Software Developers Manual,
Volume 1, shows the relationship of the status flags and the condition codes.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
3C ib CMP AL, imm8 I Valid Valid Compare imm8 with AL.
3D iw CMP AX, imm16 I Valid Valid Compare imm16 with AX.
3D id CMP EAX, imm32 I Valid Valid Compare imm32 with EAX.
REX.W +3D id CMP RAX, imm32 I Valid N.E. Compare imm32 sign-extended to 64-bits
with RAX.
80 /7 ib CMP r/m8, imm8 MI Valid Valid Compare imm8 with r/m8.
REX +80 /7 ib CMP r/m8
*
, imm8 MI Valid N.E. Compare imm8 with r/m8.
81 /7 iw CMP r/m16, imm16 MI Valid Valid Compare imm16 with r/m16.
81 /7 id CMP r/m32, imm32 MI Valid Valid Compare imm32 with r/m32.
REX.W +81 /7 id CMP r/m64, imm32 MI Valid N.E. Compare imm32 sign-extended to 64-bits
with r/m64.
83 /7 ib CMP r/m16, imm8 MI Valid Valid Compare imm8 with r/m16.
83 /7 ib CMP r/m32, imm8 MI Valid Valid Compare imm8 with r/m32.
REX.W +83 /7 ib CMP r/m64, imm8 MI Valid N.E. Compare imm8 with r/m64.
38 /r CMP r/m8, r8 MR Valid Valid Compare r8 with r/m8.
REX +38 /r CMP r/m8
*
, r8
*
MR Valid N.E. Compare r8 with r/m8.
39 /r CMP r/m16, r16 MR Valid Valid Compare r16 with r/m16.
39 /r CMP r/m32, r32 MR Valid Valid Compare r32 with r/m32.
REX.W +39 /r CMP r/m64,r64 MR Valid N.E. Compare r64 with r/m64.
3A /r CMP r8, r/m8 RM Valid Valid Compare r/m8 with r8.
REX +3A /r CMP r8
*
, r/m8
*
RM Valid N.E. Compare r/m8 with r8.
3B /r CMP r16, r/m16 RM Valid Valid Compare r/m16 with r16.
3B /r CMP r32, r/m32 RM Valid Valid Compare r/m32 with r32.
REX.W +3B /r CMP r64, r/m64 RM Valid N.E. Compare r/m64 with r64.
N O T E S :
* In 64-bit mode, r/m8 can not be encoded to access the following byte registers if a REX prefix is used: AH, BH, CH, DH.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
MR ModRM:r/m(r, w) ModRM:reg (w) NA NA
MI ModRM:r/m(r, w) imm8 NA NA
I AL/AX/EAX/RAX imm8 NA NA
CMPCompare Two Operands
INSTRUCTION SET REFERENCE, A-M
3-122 Vol. 2A
In 64-bit mode, the instructions default operation size is 32 bits. Use of the REX.R prefix permits access to addi-
tional registers (R8-R15). Use of the REX.W prefix promotes operation to 64 bits. See the summary chart at the
beginning of this section for encoding data and limits.
O p e ra t io n
temp SRC1 SignExtend(SRC2);
ModifyStatusFlags; (* Modify status flags in the same manner as the SUB instruction*)
F la g s Af fe ct e d
The CF, OF, SF, ZF, AF, and PF flags are set according to the result.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
CMPPDCompare Packed Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-123
C MP P D C o m p a re P a cke d D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a SIMD compare of the packed double-precision floating-point values in the source operand (second
operand) and the destination operand (first operand) and returns the results of the comparison to the destination
operand. The comparison predicate operand (third operand) specifies the type of comparison performed on each of
the pairs of packed values. The result of each comparison is a quadword mask of all 1s (comparison true) or all 0s
(comparison false). The sign of zero is ignored for comparisons, so that 0.0 is equal to +0.0.
128-bit Legacy SSE version: The first source and destination operand (first operand) is an XMM register. The
second source operand (second operand) can be an XMM register or 128-bit memory location. The comparison
predicate operand is an 8-bit immediate, bits 2:0 of the immediate define the type of comparison to be performed
(see Table 3-7). Bits 7:3 of the immediate is reserved. Bits (VLMAX-1:128) of the corresponding YMM destination
register remain unchanged. Two comparisons are performed with results written to bits 127:0 of the destination
operand.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -
b it Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F C2 /r ib
CMPPD xmm1, xmm2/m128, imm8
RMI V/V SSE2 Compare packed double-precision floating-
point values in xmm2/m128 and xmm1 using
imm8 as comparison predicate.
VEX.NDS.128.66.0F.WIG C2 /r ib
VCMPPD xmm1, xmm2, xmm3/m128, imm8
RVMI V/V AVX Compare packed double-precision floating-
point values in xmm3/m128 and xmm2 using
bits 4:0 of imm8 as a comparison predicate.
VEX.NDS.256.66.0F.WIG C2 /r ib
VCMPPD ymm1, ymm2, ymm3/m256, imm8
RVMI V/V AVX Compare packed double-precision floating-
point values in ymm3/m256 and ymm2 using
bits 4:0 of imm8 as a comparison predicate.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RMI ModRM:reg (r, w) ModRM:r/m (r) imm8 NA
RVMI ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) imm8
Ta b le 3 -7. C o m p a riso n P re d ica t e fo r C MP P D a n d C MP P S I n st ru ct io n s
P re d i-
ca t e
im m 8
E n co d in g
D e scrip t io n R e la t io n w h e re :
A I s 1 st O p e ra n d
B I s 2 n d O p e ra n d
E m u la t io n R e su lt if
N a N
O p e ra n d
Q N a N O p e r-a n d
S ig n a ls I n v a lid
EQ 000B Equal A = B False No
LT 001B Less-than A < B False Yes
LE 010B Less-than-or-equal A B False Yes
Greater than A > B Swap
Operands, Use
LT
False Yes
Greater-than-or-equal A B Swap
Operands, Use
LE
False Yes
UNORD 011B Unordered A, B = Unordered True No
NEQ 100B Not-equal A B True No
NLT 101B Not-less-than NOT(A < B) True Yes
CMPPDCompare Packed Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-124 Vol. 2A
The unordered relationship is true when at least one of the two source operands being compared is a NaN; the
ordered relationship is true when neither source operand is a NaN.
A subsequent computational instruction that uses the mask result in the destination operand as an input operand
will not generate an exception, because a mask of all 0s corresponds to a floating-point value of +0.0 and a mask
of all 1s corresponds to a QNaN.
Note that the processors with CPUID.1H:ECX.AVX =0 do not implement the greater-than, greater-than-or-equal,
not-greater-than, and not-greater-than-or-equal relations. These comparisons can be made either by using the
inverse relationship (that is, use the not-less-than-or-equal to make a greater-than comparison) or by using
software emulation. When using software emulation, the program must swap the operands (copying registers
when necessary to protect the data that will now be in the destination), and then perform the compare using a
different predicate. The predicate to be used for these emulations is listed in Table 3-7 under the heading Emula-
tion.
Compilers and assemblers may implement the following two-operand pseudo-ops in addition to the three-operand
CMPPD instruction, for processors with CPUID.1H:ECX.AVX =0. See Table 3-8. Compiler should treat reserved
Imm8 values as illegal syntax.
:
The greater-than relations that the processor does not implement, require more than one instruction to emulate in
software and therefore should not be implemented as pseudo-ops. (For these, the programmer should reverse the
operands of the corresponding less than relations and use move instructions to ensure that the mask is moved to
the correct destination register and that the source operand is left intact.)
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
Enhanced Comparison Predicate for VEX-Encoded VCMPPD
VEX.128 encoded version: The first source operand (second operand) is an XMM register. The second source
operand (third operand) can be an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the destina-
tion YMM register are zeroed. Two comparisons are performed with results written to bits 127:0 of the destination
operand.
NLE 110B Not-less-than-or-equal NOT(A B) True Yes
Not-greater-than NOT(A > B) Swap
Operands, Use
NLT
True Yes
Not-greater-than-or-equal NOT(A B) Swap
Operands, Use
NLE
True Yes
ORD 111B Ordered A , B = Ordered False No
Ta b le 3 -8 . P se u d o -O p a n d C MP P D I m p le m e n t a t io n
P se u d o -O p C MP P D I m p le m e n t a t io n
CMPEQPD xmm1, xmm2 CMPPD xmm1, xmm2, 0
CMPLTPD xmm1, xmm2 CMPPD xmm1, xmm2, 1
CMPLEPD xmm1, xmm2 CMPPD xmm1, xmm2, 2
CMPUNORDPD xmm1, xmm2 CMPPD xmm1, xmm2, 3
CMPNEQPD xmm1, xmm2 CMPPD xmm1, xmm2, 4
CMPNLTPD xmm1, xmm2 CMPPD xmm1, xmm2, 5
CMPNLEPD xmm1, xmm2 CMPPD xmm1, xmm2, 6
CMPORDPD xmm1, xmm2 CMPPD xmm1, xmm2, 7
Ta b le 3 -7. C o m p a riso n P re d ica t e fo r C MP P D a n d C MP P S I n st ru ct io n s (C o n t d .)
P re d i-
ca t e
im m 8
E n co d in g
D e scrip t io n R e la t io n w h e re :
A I s 1 st O p e ra n d
B I s 2 n d O p e ra n d
E m u la t io n R e su lt if
N a N
O p e ra n d
Q N a N O p e r-a n d
S ig n a ls I n v a lid
CMPPDCompare Packed Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-125
VEX.256 encoded version: The first source operand (second operand) is a YMM register. The second source
operand (third operand) can be a YMM register or a 256-bit memory location. The destination operand (first
operand) is a YMM register. Four comparisons are performed with results written to the destination operand.
The comparison predicate operand is an 8-bit immediate:
For instructions encoded using the VEX prefix, bits 4:0 define the type of comparison to be performed (see
Table 3-9). Bits 5 through 7 of the immediate are reserved.
Ta b le 3 -9 . C o m p a riso n P re d ica t e fo r VC MP P D a n d VC MP P S I n st ru ct io n s
P re d ica t e im m 8
Va lu e
D e scrip t io n R e su lt : A I s 1 st O p e ra n d , B I s 2 n d O p e ra n d S ig n a ls # I A
o n Q N AN
A > B A < B A = B U n o rd e re d
1
EQ_OQ (EQ) 0H Equal (ordered, non-signaling) False False True False No
LT_OS (LT) 1H Less-than (ordered, signaling) False True False False Yes
LE_OS (LE) 2H Less-than-or-equal (ordered,
signaling)
False True True False Yes
UNORD_Q
(UNORD)
3H Unordered (non-signaling) False False False True No
NEQ_UQ (NEQ) 4H Not-equal (unordered, non-
signaling)
True True False True No
NLT_US (NLT) 5H Not-less-than (unordered, signaling) True False True True Yes
NLE_US (NLE) 6H Not-less-than-or-equal (unordered,
signaling)
True False False True Yes
ORD_Q (ORD) 7H Ordered (non-signaling) True True True False No
EQ_UQ 8H Equal (unordered, non-signaling) False False True True No
NGE_US (NGE) 9H Not-greater-than-or-equal
(unordered, signaling)
False True False True Yes
NGT_US (NGT) AH Not-greater-than (unordered, sig-
naling)
False True True True Yes
FALSE_OQ(FALSE) BH False (ordered, non-signaling) False False False False No
NEQ_OQ CH Not-equal (ordered, non-signaling) True True False False No
GE_OS (GE) DH Greater-than-or-equal (ordered, sig-
naling)
True False True False Yes
GT_OS (GT) EH Greater-than (ordered, signaling) True False False False Yes
TRUE_UQ(TRUE) FH True (unordered, non-signaling) True True True True No
EQ_OS 10H Equal (ordered, signaling) False False True False Yes
LT_OQ 11H Less-than (ordered, nonsignaling) False True False False No
LE_OQ 12H Less-than-or-equal (ordered, non-
signaling)
False True True False No
UNORD_S 13H Unordered (signaling) False False False True Yes
NEQ_US 14H Not-equal (unordered, signaling) True True False True Yes
NLT_UQ 15H Not-less-than (unordered, nonsig-
naling)
True False True True No
NLE_UQ 16H Not-less-than-or-equal (unordered,
nonsignaling)
True False False True No
ORD_S 17H Ordered (signaling) True True True False Yes
EQ_US 18H Equal (unordered, signaling) False False True True Yes
CMPPDCompare Packed Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-126 Vol. 2A
Processors with CPUID.1H:ECX.AVX =1 implement the full complement of 32 predicates shown in Table 3-9, soft-
ware emulation is no longer needed. Compilers and assemblers may implement the following three-operand
pseudo-ops in addition to the four-operand VCMPPD instruction. See Table 3-10, where the notations of reg1 reg2,
and reg3 represent either XMM registers or YMM registers. Compiler should treat reserved Imm8 values as illegal
syntax. Alternately, intrinsics can map the pseudo-ops to pre-defined constants to support a simpler intrinsic inter-
face.
:
NGE_UQ 19H Not-greater-than-or-equal (unor-
dered, nonsignaling)
False True False True No
NGT_UQ 1AH Not-greater-than (unordered, non-
signaling)
False True True True No
FALSE_OS 1BH False (ordered, signaling) False False False False Yes
NEQ_OS 1CH Not-equal (ordered, signaling) True True False False Yes
GE_OQ 1DH Greater-than-or-equal (ordered,
nonsignaling)
True False True False No
GT_OQ 1EH Greater-than (ordered, nonsignal-
ing)
True False False False No
TRUE_US 1FH True (unordered, signaling) True True True True Yes
N O T E S :
1. If either operand A or B is a NAN.
Ta b le 3 -1 0 . P se u d o -O p a n d VC MP P D I m p le m e n t a t io n
P se u d o -O p C MP P D I m p le m e n t a t io n
VCMPEQPD reg1, reg2, reg3 VCMPPD reg1, reg2, reg3, 0
VCMPLTPD reg1, reg2, reg3 VCMPPD reg1, reg2, reg3, 1
VCMPLEPD reg1, reg2, reg3 VCMPPD reg1, reg2, reg3, 2
VCMPUNORDPD reg1, reg2, reg3 VCMPPD reg1, reg2, reg3, 3
VCMPNEQPD reg1, reg2, reg3 VCMPPD reg1, reg2, reg3, 4
VCMPNLTPD reg1, reg2, reg3 VCMPPD reg1, reg2, reg3, 5
VCMPNLEPD reg1, reg2, reg3 VCMPPD reg1, reg2, reg3, 6
VCMPORDPD reg1, reg2, reg3 VCMPPD reg1, reg2, reg3, 7
VCMPEQ_UQPD reg1, reg2, reg3 VCMPPD reg1, reg2, reg3, 8
VCMPNGEPD reg1, reg2, reg3 VCMPPD reg1, reg2, reg3, 9
VCMPNGTPD reg1, reg2, reg3 VCMPPD reg1, reg2, reg3, 0AH
VCMPFALSEPD reg1, reg2, reg3 VCMPPD reg1, reg2, reg3, 0BH
VCMPNEQ_OQPD reg1, reg2, reg3 VCMPPD reg1, reg2, reg3, 0CH
VCMPGEPD reg1, reg2, reg3 VCMPPD reg1, reg2, reg3, 0DH
VCMPGTPD reg1, reg2, reg3 VCMPPD reg1, reg2, reg3, 0EH
VCMPTRUEPD reg1, reg2, reg3 VCMPPD reg1, reg2, reg3, 0FH
VCMPEQ_OSPD reg1, reg2, reg3 VCMPPD reg1, reg2, reg3, 10H
VCMPLT_OQPD reg1, reg2, reg3 VCMPPD reg1, reg2, reg3, 11H
VCMPLE_OQPD reg1, reg2, reg3 VCMPPD reg1, reg2, reg3, 12H
Ta b le 3 -9 . C o m p a riso n P re d ica t e fo r VC MP P D a n d VC MP P S I n st ru ct io n s (C o n t d .)
P re d ica t e im m 8
Va lu e
D e scrip t io n R e su lt : A I s 1 st O p e ra n d , B I s 2 n d O p e ra n d S ig n a ls # I A
o n Q N AN
A > B A < B A = B U n o rd e re d
1
CMPPDCompare Packed Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-127
O p e ra t io n
CASE (COMPARISON PREDICATE) OF
0: OP3 EQ_OQ; OP5 EQ_OQ;
1: OP3 LT_OS; OP5 LT_OS;
2: OP3 LE_OS; OP5 LE_OS;
3: OP3 UNORD_Q; OP5 UNORD_Q;
4: OP3 NEQ_UQ; OP5 NEQ_UQ;
5: OP3 NLT_US; OP5 NLT_US;
6: OP3 NLE_US; OP5 NLE_US;
7: OP3 ORD_Q; OP5 ORD_Q;
8: OP5 EQ_UQ;
9: OP5 NGE_US;
10: OP5 NGT_US;
11: OP5 FALSE_OQ;
12: OP5 NEQ_OQ;
13: OP5 GE_OS;
14: OP5 GT_OS;
15: OP5 TRUE_UQ;
16: OP5 EQ_OS;
17: OP5 LT_OQ;
18: OP5 LE_OQ;
19: OP5 UNORD_S;
20: OP5 NEQ_US;
21: OP5 NLT_UQ;
22: OP5 NLE_UQ;
23: OP5 ORD_S;
24: OP5 EQ_US;
25: OP5 NGE_UQ;
26: OP5 NGT_UQ;
27: OP5 FALSE_OS;
28: OP5 NEQ_OS;
29: OP5 GE_OQ;
VCMPUNORD_SPD reg1, reg2, reg3 VCMPPD reg1, reg2, reg3, 13H
VCMPNEQ_USPD reg1, reg2, reg3 VCMPPD reg1, reg2, reg3, 14H
VCMPNLT_UQPD reg1, reg2, reg3 VCMPPD reg1, reg2, reg3, 15H
VCMPNLE_UQPD reg1, reg2, reg3 VCMPPD reg1, reg2, reg3, 16H
VCMPORD_SPD reg1, reg2, reg3 VCMPPD reg1, reg2, reg3, 17H
VCMPEQ_USPD reg1, reg2, reg3 VCMPPD reg1, reg2, reg3, 18H
VCMPNGE_UQPD reg1, reg2, reg3 VCMPPD reg1, reg2, reg3, 19H
VCMPNGT_UQPD reg1, reg2, reg3 VCMPPD reg1, reg2, reg3, 1AH
VCMPFALSE_OSPD reg1, reg2, reg3 VCMPPD reg1, reg2, reg3, 1BH
VCMPNEQ_OSPD reg1, reg2, reg3 VCMPPD reg1, reg2, reg3, 1CH
VCMPGE_OQPD reg1, reg2, reg3 VCMPPD reg1, reg2, reg3, 1DH
VCMPGT_OQPD reg1, reg2, reg3 VCMPPD reg1, reg2, reg3, 1EH
VCMPTRUE_USPD reg1, reg2, reg3 VCMPPD reg1, reg2, reg3, 1FH
Ta b le 3 -1 0 . P se u d o -O p a n d VC MP P D I m p le m e n t a t io n
P se u d o -O p C MP P D I m p le m e n t a t io n
CMPPDCompare Packed Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-128 Vol. 2A
30: OP5 GT_OQ;
31: OP5 TRUE_US;
DEFAULT: Reserved;
C MP P D (1 2 8 -b it Le g a cy S S E v e rsio n )
CMP0 SRC1[63:0] OP3 SRC2[63:0];
CMP1 SRC1[127:64] OP3 SRC2[127:64];
IF CMP0 =TRUE
THEN DEST[63:0] FFFFFFFFFFFFFFFFH;
ELSE DEST[63:0] 0000000000000000H; FI;
IF CMP1 =TRUE
THEN DEST[127:64] FFFFFFFFFFFFFFFFH;
ELSE DEST[127:64] 0000000000000000H; FI;
DEST[VLMAX-1:128] (Unmodified)
VC MP P D (V E X.1 2 8 e n co d e d v e rsio n )
CMP0 SRC1[63:0] OP5 SRC2[63:0];
CMP1 SRC1[127:64] OP5 SRC2[127:64];
IF CMP0 =TRUE
THEN DEST[63:0] FFFFFFFFFFFFFFFFH;
ELSE DEST[63:0] 0000000000000000H; FI;
IF CMP1 =TRUE
THEN DEST[127:64] FFFFFFFFFFFFFFFFH;
ELSE DEST[127:64] 0000000000000000H; FI;
DEST[VLMAX-1:128] 0
VC MP P D (V E X.2 5 6 e n co d e d v e rsio n )
CMP0 SRC1[63:0] OP5 SRC2[63:0];
CMP1 SRC1[127:64] OP5 SRC2[127:64];
CMP2 SRC1[191:128] OP5 SRC2[191:128];
CMP3 SRC1[255:192] OP5 SRC2[255:192];
IF CMP0 =TRUE
THEN DEST[63:0] FFFFFFFFFFFFFFFFH;
ELSE DEST[63:0] 0000000000000000H; FI;
IF CMP1 =TRUE
THEN DEST[127:64] FFFFFFFFFFFFFFFFH;
ELSE DEST[127:64] 0000000000000000H; FI;
IF CMP2 =TRUE
THEN DEST[191:128] FFFFFFFFFFFFFFFFH;
ELSE DEST[191:128] 0000000000000000H; FI;
IF CMP3 =TRUE
THEN DEST[255:192] FFFFFFFFFFFFFFFFH;
ELSE DEST[255:192] 0000000000000000H; FI;
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t s
CMPPD for equality: __m128d _mm_cmpeq_pd(__m128d a, __m128d b)
CMPPD for less-than: __m128d _mm_cmplt_pd(__m128d a, __m128d b)
CMPPD for less-than-or-equal:__m128d _mm_cmple_pd(__m128d a, __m128d b)
CMPPD for greater-than: __m128d _mm_cmpgt_pd(__m128d a, __m128d b)
CMPPD for greater-than-or-equal: __m128d _mm_cmpge_pd(__m128d a, __m128d b)
CMPPD for inequality: __m128d _mm_cmpneq_pd(__m128d a, __m128d b)
CMPPD for not-less-than: __m128d _mm_cmpnlt_pd(__m128d a, __m128d b)
CMPPDCompare Packed Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-129
CMPPD for not-greater-than: __m128d _mm_cmpngt_pd(__m128d a, __m128d b)
CMPPD for not-greater-than-or-equal: __m128d _mm_cmpnge_pd(__m128d a, __m128d b)
CMPPD for ordered: __m128d _mm_cmpord_pd(__m128d a, __m128d b)
CMPPD for unordered: __m128d _mm_cmpunord_pd(__m128d a, __m128d b)
CMPPD for not-less-than-or-equal: __m128d _mm_cmpnle_pd(__m128d a, __m128d b)
VCMPPD: __m256 _mm256_cmp_pd(__m256 a, __m256 b, const int imm)
VCMPPD: __m128 _mm_cmp_pd(__m128 a, __m128 b, const int imm)
S I MD F lo a t in g -P o in t E x ce p t io n s
Invalid if SNaN operand and invalid if QNaN and predicate as listed in above table, Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 2.
CMPPSCompare Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-130 Vol. 2A
C MP P S C o m p a re P a cke d S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a SIMD compare of the packed single-precision floating-point values in the source operand (second
operand) and the destination operand (first operand) and returns the results of the comparison to the destination
operand. The comparison predicate operand (third operand) specifies the type of comparison performed on each of
the pairs of packed values. The result of each comparison is a doubleword mask of all 1s (comparison true) or all
0s (comparison false). The sign of zero is ignored for comparisons, so that 0.0 is equal to +0.0.
128-bit Legacy SSE version: The first source and destination operand (first operand) is an XMM register. The
second source operand (second operand) can be an XMM register or 128-bit memory location. The comparison
predicate operand is an 8-bit immediate, bits 2:0 of the immediate define the type of comparison to be performed
(see Table 3-7). Bits 7:3 of the immediate is reserved. Bits (VLMAX-1:128) of the corresponding YMM destination
register remain unchanged. Four comparisons are performed with results written to bits 127:0 of the destination
operand.
The unordered relationship is true when at least one of the two source operands being compared is a NaN; the
ordered relationship is true when neither source operand is a NaN.
A subsequent computational instruction that uses the mask result in the destination operand as an input operand
will not generate a fault, because a mask of all 0s corresponds to a floating-point value of +0.0 and a mask of all 1s
corresponds to a QNaN.
Note that processors with CPUID.1H:ECX.AVX =0 do not implement the greater-than, greater-than-or-equal,
not-greater than, and not-greater-than-or-equal relations predicates. These comparisons can be made either
by using the inverse relationship (that is, use the not-less-than-or-equal to make a greater-than comparison)
or by using software emulation. When using software emulation, the program must swap the operands (copying
registers when necessary to protect the data that will now be in the destination), and then perform the compare
using a different predicate. The predicate to be used for these emulations is listed in Table 3-7 under the heading
Emulation.
Compilers and assemblers may implement the following two-operand pseudo-ops in addition to the three-operand
CMPPS instruction, for processors with CPUID.1H:ECX.AVX =0. See Table 3-11. Compiler should treat reserved
Imm8 values as illegal syntax.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -
b it Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F C2 /r ib
CMPPS xmm1, xmm2/m128, imm8
RMI V/V SSE Compare packed single-precision floating-
point values in xmm2/mem and xmm1 using
imm8 as comparison predicate.
VEX.NDS.128.0F.WIG C2 /r ib
VCMPPS xmm1, xmm2, xmm3/m128, imm8
RVMI V/V AVX Compare packed single-precision floating-
point values in xmm3/m128 and xmm2 using
bits 4:0 of imm8 as a comparison predicate.
VEX.NDS.256.0F.WIG C2 /r ib
VCMPPS ymm1, ymm2, ymm3/m256, imm8
RVMI V/V AVX Compare packed single-precision floating-
point values in ymm3/m256 and ymm2 using
bits 4:0 of imm8 as a comparison predicate.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RMI ModRM:reg (r, w) ModRM:r/m(r) imm8 NA
RVMI ModRM:reg (w) VEX.vvvv (r) ModRM:r/m(r) imm8
CMPPSCompare Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-131
The greater-than relations not implemented by processor require more than one instruction to emulate in software
and therefore should not be implemented as pseudo-ops. (For these, the programmer should reverse the operands
of the corresponding less than relations and use move instructions to ensure that the mask is moved to the correct
destination register and that the source operand is left intact.)
Enhanced Comparison Predicate for VEX-Encoded VCMPPS
VEX.128 encoded version: The first source operand (second operand) is an XMM register. The second source
operand (third operand) can be an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the destina-
tion YMM register are zeroed. Four comparisons are performed with results written to bits 127:0 of the destination
operand.
VEX.256 encoded version: The first source operand (second operand) is a YMM register. The second source
operand (third operand) can be a YMM register or a 256-bit memory location. The destination operand (first
operand) is a YMM register. Eight comparisons are performed with results written to the destination operand.
The comparison predicate operand is an 8-bit immediate:
For instructions encoded using the VEX prefix, bits 4:0 define the type of comparison to be performed (see
Table 3-9). Bits 5 through 7 of the immediate are reserved.
Processors with CPUID.1H:ECX.AVX =1 implement the full complement of 32 predicates shown in Table 3-9, soft-
ware emulation is no longer needed. Compilers and assemblers may implement the following three-operand
pseudo-ops in addition to the four-operand VCMPPS instruction. See Table 3-12, where the notation of reg1 and
reg2 represent either XMM registers or YMM registers. Compiler should treat reserved Imm8 values as illegal
syntax. Alternately, intrinsics can map the pseudo-ops to pre-defined constants to support a simpler intrinsic inter-
face.
:
Ta b le 3 -1 1 . P se u d o -O p s a n d C MP P S
P se u d o -O p I m p le m e n t a t io n
CMPEQPS xmm1, xmm2 CMPPS xmm1, xmm2, 0
CMPLTPS xmm1, xmm2 CMPPS xmm1, xmm2, 1
CMPLEPS xmm1, xmm2 CMPPS xmm1, xmm2, 2
CMPUNORDPS xmm1, xmm2 CMPPS xmm1, xmm2, 3
CMPNEQPS xmm1, xmm2 CMPPS xmm1, xmm2, 4
CMPNLTPS xmm1, xmm2 CMPPS xmm1, xmm2, 5
CMPNLEPS xmm1, xmm2 CMPPS xmm1, xmm2, 6
CMPORDPS xmm1, xmm2 CMPPS xmm1, xmm2, 7
Ta b le 3 -1 2 . P se u d o -O p a n d VC MP P S I m p le m e n t a t io n
P se u d o -O p C MP P S I m p le m e n t a t io n
VCMPEQPS reg1, reg2, reg3 VCMPPS reg1, reg2, reg3, 0
VCMPLTPS reg1, reg2, reg3 VCMPPS reg1, reg2, reg3, 1
VCMPLEPS reg1, reg2, reg3 VCMPPS reg1, reg2, reg3, 2
VCMPUNORDPS reg1, reg2, reg3 VCMPPS reg1, reg2, reg3, 3
VCMPNEQPS reg1, reg2, reg3 VCMPPS reg1, reg2, reg3, 4
VCMPNLTPS reg1, reg2, reg3 VCMPPS reg1, reg2, reg3, 5
VCMPNLEPS reg1, reg2, reg3 VCMPPS reg1, reg2, reg3, 6
VCMPORDPS reg1, reg2, reg3 VCMPPS reg1, reg2, reg3, 7
VCMPEQ_UQPS reg1, reg2, reg3 VCMPPS reg1, reg2, reg3, 8
VCMPNGEPS reg1, reg2, reg3 VCMPPS reg1, reg2, reg3, 9
VCMPNGTPS reg1, reg2, reg3 VCMPPS reg1, reg2, reg3, 0AH
VCMPFALSEPS reg1, reg2, reg3 VCMPPS reg1, reg2, reg3, 0BH
CMPPSCompare Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-132 Vol. 2A
O p e ra t io n
CASE (COMPARISON PREDICATE) OF
0: OP3 EQ_OQ; OP5 EQ_OQ;
1: OP3 LT_OS; OP5 LT_OS;
2: OP3 LE_OS; OP5 LE_OS;
3: OP3 UNORD_Q; OP5 UNORD_Q;
4: OP3 NEQ_UQ; OP5 NEQ_UQ;
5: OP3 NLT_US; OP5 NLT_US;
6: OP3 NLE_US; OP5 NLE_US;
7: OP3 ORD_Q; OP5 ORD_Q;
8: OP5 EQ_UQ;
9: OP5 NGE_US;
10: OP5 NGT_US;
11: OP5 FALSE_OQ;
12: OP5 NEQ_OQ;
13: OP5 GE_OS;
14: OP5 GT_OS;
15: OP5 TRUE_UQ;
16: OP5 EQ_OS;
17: OP5 LT_OQ;
18: OP5 LE_OQ;
19: OP5 UNORD_S;
20: OP5 NEQ_US;
VCMPNEQ_OQPS reg1, reg2, reg3 VCMPPS reg1, reg2, reg3, 0CH
VCMPGEPS reg1, reg2, reg3 VCMPPS reg1, reg2, reg3, 0DH
VCMPGTPS reg1, reg2, reg3 VCMPPS reg1, reg2, reg3, 0EH
VCMPTRUEPS reg1, reg2, reg3 VCMPPS reg1, reg2, reg3, 0FH
VCMPEQ_OSPS reg1, reg2, reg3 VCMPPS reg1, reg2, reg3, 10H
VCMPLT_OQPS reg1, reg2, reg3 VCMPPS reg1, reg2, reg3, 11H
VCMPLE_OQPS reg1, reg2, reg3 VCMPPS reg1, reg2, reg3, 12H
VCMPUNORD_SPS reg1, reg2, reg3 VCMPPS reg1, reg2, reg3, 13H
VCMPNEQ_USPS reg1, reg2, reg3 VCMPPS reg1, reg2, reg3, 14H
VCMPNLT_UQPS reg1, reg2, reg3 VCMPPS reg1, reg2, reg3, 15H
VCMPNLE_UQPS reg1, reg2, reg3 VCMPPS reg1, reg2, reg3, 16H
VCMPORD_SPS reg1, reg2, reg3 VCMPPS reg1, reg2, reg3, 17H
VCMPEQ_USPS reg1, reg2, reg3 VCMPPS reg1, reg2, reg3, 18H
VCMPNGE_UQPS reg1, reg2, reg3 VCMPPS reg1, reg2, reg3, 19H
VCMPNGT_UQPS reg1, reg2, reg3 VCMPPS reg1, reg2, reg3, 1AH
VCMPFALSE_OSPS reg1, reg2, reg3 VCMPPS reg1, reg2, reg3, 1BH
VCMPNEQ_OSPS reg1, reg2, reg3 VCMPPS reg1, reg2, reg3, 1CH
VCMPGE_OQPS reg1, reg2, reg3 VCMPPS reg1, reg2, reg3, 1DH
VCMPGT_OQPS reg1, reg2, reg3 VCMPPS reg1, reg2, reg3, 1EH
VCMPTRUE_USPS reg1, reg2, reg3 VCMPPS reg1, reg2, reg3, 1FH
Ta b le 3 -1 2 . P se u d o -O p a n d VC MP P S I m p le m e n t a t io n
P se u d o -O p C MP P S I m p le m e n t a t io n
CMPPSCompare Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-133
21: OP5 NLT_UQ;
22: OP5 NLE_UQ;
23: OP5 ORD_S;
24: OP5 EQ_US;
25: OP5 NGE_UQ;
26: OP5 NGT_UQ;
27: OP5 FALSE_OS;
28: OP5 NEQ_OS;
29: OP5 GE_OQ;
30: OP5 GT_OQ;
31: OP5 TRUE_US;
DEFAULT: Reserved
EASC;
C MP P S (1 2 8 -b it Le g a cy S S E v e rsio n )
CMP0 SRC1[31:0] OP3 SRC2[31:0];
CMP1 SRC1[63:32] OP3 SRC2[63:32];
CMP2 SRC1[95:64] OP3 SRC2[95:64];
CMP3 SRC1[127:96] OP3 SRC2[127:96];
IF CMP0 =TRUE
THEN DEST[31:0] FFFFFFFFH;
ELSE DEST[31:0] 000000000H; FI;
IF CMP1 =TRUE
THEN DEST[63:32] FFFFFFFFH;
ELSE DEST[63:32] 000000000H; FI;
IF CMP2 =TRUE
THEN DEST[95:64] FFFFFFFFH;
ELSE DEST[95:64] 000000000H; FI;
IF CMP3 =TRUE
THEN DEST[127:96] FFFFFFFFH;
ELSE DEST[127:96] 000000000H; FI;
DEST[VLMAX-1:128] (Unmodified)
VC MP P S (V E X.1 2 8 e n co d e d v e rsio n )
CMP0 SRC1[31:0] OP5 SRC2[31:0];
CMP1 SRC1[63:32] OP5 SRC2[63:32];
CMP2 SRC1[95:64] OP5 SRC2[95:64];
CMP3 SRC1[127:96] OP5 SRC2[127:96];
IF CMP0 =TRUE
THEN DEST[31:0] FFFFFFFFH;
ELSE DEST[31:0] 000000000H; FI;
IF CMP1 =TRUE
THEN DEST[63:32] FFFFFFFFH;
ELSE DEST[63:32] 000000000H; FI;
IF CMP2 =TRUE
THEN DEST[95:64] FFFFFFFFH;
ELSE DEST[95:64] 000000000H; FI;
IF CMP3 =TRUE
THEN DEST[127:96] FFFFFFFFH;
ELSE DEST[127:96] 000000000H; FI;
DEST[VLMAX-1:128] 0
CMPPSCompare Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-134 Vol. 2A
VC MP P S (VE X.2 5 6 e n co d e d v e rsio n )
CMP0 SRC1[31:0] OP5 SRC2[31:0];
CMP1 SRC1[63:32] OP5 SRC2[63:32];
CMP2 SRC1[95:64] OP5 SRC2[95:64];
CMP3 SRC1[127:96] OP5 SRC2[127:96];
CMP4 SRC1[159:128] OP5 SRC2[159:128];
CMP5 SRC1[191:160] OP5 SRC2[191:160];
CMP6 SRC1[223:192] OP5 SRC2[223:192];
CMP7 SRC1[255:224] OP5 SRC2[255:224];
IF CMP0 =TRUE
THEN DEST[31:0] FFFFFFFFH;
ELSE DEST[31:0] 000000000H; FI;
IF CMP1 =TRUE
THEN DEST[63:32] FFFFFFFFH;
ELSE DEST[63:32] 000000000H; FI;
IF CMP2 =TRUE
THEN DEST[95:64] FFFFFFFFH;
ELSE DEST[95:64] 000000000H; FI;
IF CMP3 =TRUE
THEN DEST[127:96] FFFFFFFFH;
ELSE DEST[127:96] 000000000H; FI;
IF CMP4 =TRUE
THEN DEST[159:128] FFFFFFFFH;
ELSE DEST[159:128] 000000000H; FI;
IF CMP5 =TRUE
THEN DEST[191:160] FFFFFFFFH;
ELSE DEST[191:160] 000000000H; FI;
IF CMP6 =TRUE
THEN DEST[223:192] FFFFFFFFH;
ELSE DEST[223:192] 000000000H; FI;
IF CMP7 =TRUE
THEN DEST[255:224] FFFFFFFFH;
ELSE DEST[255:224] 000000000H; FI;
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t s
CMPPS for equality: __m128 _mm_cmpeq_ps(__m128 a, __m128 b)
CMPPS for less-than: __m128 _mm_cmplt_ps(__m128 a, __m128 b)
CMPPS for less-than-or-equal: __m128 _mm_cmple_ps(__m128 a, __m128 b)
CMPPS for greater-than: __m128 _mm_cmpgt_ps(__m128 a, __m128 b)
CMPPS for greater-than-or-equal: __m128 _mm_cmpge_ps(__m128 a, __m128 b)
CMPPS for inequality: __m128 _mm_cmpneq_ps(__m128 a, __m128 b)
CMPPS for not-less-than: __m128 _mm_cmpnlt_ps(__m128 a, __m128 b)
CMPPS for not-greater-than: __m128 _mm_cmpngt_ps(__m128 a, __m128 b)
CMPPS for not-greater-than-or-equal: __m128 _mm_cmpnge_ps(__m128 a, __m128 b)
CMPPS for ordered: __m128 _mm_cmpord_ps(__m128 a, __m128 b)
CMPPS for unordered: __m128 _mm_cmpunord_ps(__m128 a, __m128 b)
CMPPS for not-less-than-or-equal: __m128 _mm_cmpnle_ps(__m128 a, __m128 b)
VCMPPS: __m256 _mm256_cmp_ps(__m256 a, __m256 b, const int imm)
VCMPPS: __m128 _mm_cmp_ps(__m128 a, __m128 b, const int imm)
CMPPSCompare Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-135
S I MD F lo a t in g -P o in t E x ce p t io n s
Invalid if SNaN operand and invalid if QNaN and predicate as listed in above table, Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 2.
CMPS/CMPSB/CMPSW/CMPSD/CMPSQCompare String Operands
INSTRUCTION SET REFERENCE, A-M
3-136 Vol. 2A
C MP S /C MP S B /C MP S W/C MP S D /C MP S Q C o m p a re S t rin g O p e ra n d s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Compares the byte, word, doubleword, or quadword specified with the first source operand with the byte, word,
doubleword, or quadword specified with the second source operand and sets the status flags in the EFLAGS register
according to the results.
Both source operands are located in memory. The address of the first source operand is read from DS:SI, DS:ESI
or RSI (depending on the address-size attribute of the instruction is 16, 32, or 64, respectively). The address of the
second source operand is read from ES:DI, ES:EDI or RDI (again depending on the address-size attribute of the
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
A6 CMPS m8, m8 NP Valid Valid For legacy mode, compare byte at address
DS:(E)SI with byte at address ES:(E)DI; For 64-
bit mode compare byte at address (R|E)SI to
byte at address (R|E)DI. The status flags are
set accordingly.
A7 CMPS m16, m16 NP Valid Valid For legacy mode, compare word at address
DS:(E)SI with word at address ES:(E)DI; For 64-
bit mode compare word at address (R|E)SI with
word at address (R|E)DI. The status flags are
set accordingly.
A7 CMPS m32, m32 NP Valid Valid For legacy mode, compare dword at address
DS:(E)SI at dword at address ES:(E)DI; For 64-
bit mode compare dword at address (R|E)SI at
dword at address (R|E)DI. The status flags are
set accordingly.
REX.W +A7 CMPS m64, m64 NP Valid N.E. Compares quadword at address (R|E)SI with
quadword at address (R|E)DI and sets the
status flags accordingly.
A6 CMPSB NP Valid Valid For legacy mode, compare byte at address
DS:(E)SI with byte at address ES:(E)DI; For 64-
bit mode compare byte at address (R|E)SI with
byte at address (R|E)DI. The status flags are
set accordingly.
A7 CMPSW NP Valid Valid For legacy mode, compare word at address
DS:(E)SI with word at address ES:(E)DI; For 64-
bit mode compare word at address (R|E)SI with
word at address (R|E)DI. The status flags are
set accordingly.
A7 CMPSD NP Valid Valid For legacy mode, compare dword at address
DS:(E)SI with dword at address ES:(E)DI; For
64-bit mode compare dword at address (R|E)SI
with dword at address (R|E)DI. The status flags
are set accordingly.
REX.W +A7 CMPSQ NP Valid N.E. Compares quadword at address (R|E)SI with
quadword at address (R|E)DI and sets the
status flags accordingly.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
CMPS/CMPSB/CMPSW/CMPSD/CMPSQCompare String Operands
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-137
instruction is 16, 32, or 64). The DS segment may be overridden with a segment override prefix, but the ES
segment cannot be overridden.
At the assembly-code level, two forms of this instruction are allowed: the explicit-operands form and the no-
operands form. The explicit-operands form (specified with the CMPS mnemonic) allows the two source operands
to be specified explicitly. Here, the source operands should be symbols that indicate the size and location of the
source values. This explicit-operand form is provided to allow documentation. However, note that the documenta-
tion provided by this form can be misleading. That is, the source operand symbols must specify the correct type
(size) of the operands (bytes, words, or doublewords, quadwords), but they do not have to specify the correct loca-
tion. Locations of the source operands are always specified by the DS:(E)SI (or RSI) and ES:(E)DI (or RDI) regis-
ters, which must be loaded correctly before the compare string instruction is executed.
The no-operands form provides short forms of the byte, word, and doubleword versions of the CMPS instructions.
Here also the DS:(E)SI (or RSI) and ES:(E)DI (or RDI) registers are assumed by the processor to specify the loca-
tion of the source operands. The size of the source operands is selected with the mnemonic: CMPSB (byte compar-
ison), CMPSW (word comparison), CMPSD (doubleword comparison), or CMPSQ (quadword comparison using
REX.W).
After the comparison, the (E/R)SI and (E/R)DI registers increment or decrement automatically according to the
setting of the DF flag in the EFLAGS register. (If the DF flag is 0, the (E/R)SI and (E/R)DI register increment; if the
DF flag is 1, the registers decrement.) The registers increment or decrement by 1 for byte operations, by 2 for word
operations, 4 for doubleword operations. If operand size is 64, RSI and RDI registers increment by 8 for quadword
operations.
The CMPS, CMPSB, CMPSW, CMPSD, and CMPSQ instructions can be preceded by the REP prefix for block compar-
isons. More often, however, these instructions will be used in a LOOP construct that takes some action based on the
setting of the status flags before the next comparison is made. See REP/REPE/REPZ /REPNE/REPNZRepeat
String Operation Prefix in Chapter 4 of the Intel 64 and IA-32 Architectures Software Developers Manual,
Volume 2B, for a description of the REP prefix.
In 64-bit mode, the instructions default address size is 64 bits, 32 bit address size is supported using the prefix
67H. Use of the REX.W prefix promotes doubleword operation to 64 bits (see CMPSQ). See the summary chart at
the beginning of this section for encoding data and limits.
O p e ra t io n
temp SRC1 - SRC2;
SetStatusFlags(temp);
IF (64-Bit Mode)
THEN
IF (Byte comparison)
THEN IF DF = 0
THEN
(R|E)SI (R|E)SI + 1;
(R|E)DI (R|E)DI + 1;
ELSE
(R|E)SI (R|E)SI 1;
(R|E)DI (R|E)DI 1;
FI;
ELSE IF (Word comparison)
THEN IF DF = 0
THEN
(R|E)SI (R|E)SI + 2;
(R|E)DI (R|E)DI + 2;
ELSE
(R|E)SI (R|E)SI 2;
(R|E)DI (R|E)DI 2;
FI;
ELSE IF (Doubleword comparison)
CMPS/CMPSB/CMPSW/CMPSD/CMPSQCompare String Operands
INSTRUCTION SET REFERENCE, A-M
3-138 Vol. 2A
THEN IF DF = 0
THEN
(R|E)SI (R|E)SI + 4;
(R|E)DI (R|E)DI + 4;
ELSE
(R|E)SI (R|E)SI 4;
(R|E)DI (R|E)DI 4;
FI;
ELSE (* Quadword comparison *)
THEN IF DF = 0
(R|E)SI (R|E)SI + 8;
(R|E)DI (R|E)DI + 8;
ELSE
(R|E)SI (R|E)SI 8;
(R|E)DI (R|E)DI 8;
FI;
FI;
ELSE (* Non-64-bit Mode *)
IF (byte comparison)
THEN IF DF = 0
THEN
(E)SI (E)SI + 1;
(E)DI (E)DI + 1;
ELSE
(E)SI (E)SI 1;
(E)DI (E)DI 1;
FI;
ELSE IF (Word comparison)
THEN IF DF = 0
(E)SI (E)SI + 2;
(E)DI (E)DI + 2;
ELSE
(E)SI (E)SI 2;
(E)DI (E)DI 2;
FI;
ELSE (* Doubleword comparison *)
THEN IF DF = 0
(E)SI (E)SI + 4;
(E)DI (E)DI + 4;
ELSE
(E)SI (E)SI 4;
(E)DI (E)DI 4;
FI;
FI;
FI;
F la g s Af fe ct e d
The CF, OF, SF, ZF, AF, and PF flags are set according to the temporary result of the comparison.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
CMPS/CMPSB/CMPSW/CMPSD/CMPSQCompare String Operands
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-139
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
CMPSDCompare Scalar Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-140 Vol. 2A
C MP S D C o m p a re S ca la r D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Compares the low double-precision floating-point values in the source operand (second operand) and the destina-
tion operand (first operand) and returns the results of the comparison to the destination operand. The comparison
predicate operand (third operand) specifies the type of comparison performed. The comparison result is a quad-
word mask of all 1s (comparison true) or all 0s (comparison false). The sign of zero is ignored for comparisons, so
that 0.0 is equal to +0.0.
128-bit Legacy SSE version: The first source and destination operand (first operand) is an XMM register. The
second source operand (second operand) can be an XMM register or 64-bit memory location. The comparison pred-
icate operand is an 8-bit immediate, bits 2:0 of the immediate define the type of comparison to be performed (see
Table 3-7). Bits 7:3 of the immediate is reserved. Bits (VLMAX-1:64) of the corresponding YMM destination register
remain unchanged.
The unordered relationship is true when at least one of the two source operands being compared is a NaN; the
ordered relationship is true when neither source operand is a NaN.
A subsequent computational instruction that uses the mask result in the destination operand as an input operand
will not generate a fault, because a mask of all 0s corresponds to a floating-point value of +0.0 and a mask of all 1s
corresponds to a QNaN.
Note that processors with CPUID.1H:ECX.AVX =0 do not implement the greater-than, greater-than-or-equal,
not-greater than, and not-greater-than-or-equal relations predicates. These comparisons can be made either
by using the inverse relationship (that is, use the not-less-than-or-equal to make a greater-than comparison)
or by using software emulation. When using software emulation, the program must swap the operands (copying
registers when necessary to protect the data that will now be in the destination operand), and then perform the
compare using a different predicate. The predicate to be used for these emulations is listed in Table 3-7 under the
heading Emulation.
Compilers and assemblers may implement the following two-operand pseudo-ops in addition to the three-operand
CMPSD instruction, for processors with CPUID.1H:ECX.AVX =0. See Table 3-13. Compiler should treat reserved
Imm8 values as illegal syntax.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
F2 0F C2 /r ib
CMPSD xmm1, xmm2/m64, imm8
RMI V/V SSE2 Compare low double-precision floating-point
value in xmm2/m64 and xmm1 using imm8 as
comparison predicate.
VEX.NDS.LIG.F2.0F.WIG C2 /r ib
VCMPSD xmm1, xmm2, xmm3/m64, imm8
RVMI V/V AVX Compare low double precision floating-point
value in xmm3/m64 and xmm2 using bits 4:0
of imm8 as comparison predicate.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RMI ModRM:reg (r, w) ModRM:r/m (r) imm8 NA
RVMI ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) imm8
CMPSDCompare Scalar Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-141
The greater-than relations not implemented in the processor require more than one instruction to emulate in soft-
ware and therefore should not be implemented as pseudo-ops. (For these, the programmer should reverse the
operands of the corresponding less than relations and use move instructions to ensure that the mask is moved to
the correct destination register and that the source operand is left intact.)
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
Enhanced Comparison Predicate for VEX-Encoded VCMPSD
VEX.128 encoded version: The first source operand (second operand) is an XMM register. The second source
operand (third operand) can be an XMM register or a 64-bit memory location. Bits (VLMAX-1:128) of the destina-
tion YMM register are zeroed. The comparison predicate operand is an 8-bit immediate:
For instructions encoded using the VEX prefix, bits 4:0 define the type of comparison to be performed (see
Table 3-9). Bits 5 through 7 of the immediate are reserved.
Processors with CPUID.1H:ECX.AVX =1 implement the full complement of 32 predicates shown in Table 3-9, soft-
ware emulation is no longer needed. Compilers and assemblers may implement the following three-operand
pseudo-ops in addition to the four-operand VCMPSD instruction. See Table 3-14, where the notations of reg1 reg2,
and reg3 represent either XMM registers or YMM registers. Compiler should treat reserved Imm8 values as illegal
syntax. Alternately, intrinsics can map the pseudo-ops to pre-defined constants to support a simpler intrinsic inter-
face.
:
Ta b le 3 -1 3 . P se u d o -O p s a n d C MP S D
P se u d o -O p I m p le m e n t a t io n
CMPEQSD xmm1, xmm2 CMPSD xmm1,xmm2, 0
CMPLTSD xmm1, xmm2 CMPSD xmm1,xmm2, 1
CMPLESD xmm1, xmm2 CMPSD xmm1,xmm2, 2
CMPUNORDSD xmm1, xmm2 CMPSD xmm1,xmm2, 3
CMPNEQSD xmm1, xmm2 CMPSD xmm1,xmm2, 4
CMPNLTSD xmm1, xmm2 CMPSD xmm1,xmm2, 5
CMPNLESD xmm1, xmm2 CMPSD xmm1,xmm2, 6
CMPORDSD xmm1, xmm2 CMPSD xmm1,xmm2, 7
Ta b le 3 -1 4 . P se u d o -O p a n d VC MP S D I m p le m e n t a t io n
P se u d o -O p C MP S D I m p le m e n t a t io n
VCMPEQSD reg1, reg2, reg3 VCMPSD reg1, reg2, reg3, 0
VCMPLTSD reg1, reg2, reg3 VCMPSD reg1, reg2, reg3, 1
VCMPLESD reg1, reg2, reg3 VCMPSD reg1, reg2, reg3, 2
VCMPUNORDSD reg1, reg2, reg3 VCMPSD reg1, reg2, reg3, 3
VCMPNEQSD reg1, reg2, reg3 VCMPSD reg1, reg2, reg3, 4
VCMPNLTSD reg1, reg2, reg3 VCMPSD reg1, reg2, reg3, 5
VCMPNLESD reg1, reg2, reg3 VCMPSD reg1, reg2, reg3, 6
VCMPORDSD reg1, reg2, reg3 VCMPSD reg1, reg2, reg3, 7
VCMPEQ_UQSD reg1, reg2, reg3 VCMPSD reg1, reg2, reg3, 8
VCMPNGESD reg1, reg2, reg3 VCMPSD reg1, reg2, reg3, 9
VCMPNGTSD reg1, reg2, reg3 VCMPSD reg1, reg2, reg3, 0AH
VCMPFALSESD reg1, reg2, reg3 VCMPSD reg1, reg2, reg3, 0BH
VCMPNEQ_OQSD reg1, reg2, reg3 VCMPSD reg1, reg2, reg3, 0CH
VCMPGESD reg1, reg2, reg3 VCMPSD reg1, reg2, reg3, 0DH
VCMPGTSD reg1, reg2, reg3 VCMPSD reg1, reg2, reg3, 0EH
CMPSDCompare Scalar Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-142 Vol. 2A
O p e ra t io n
CASE (COMPARISON PREDICATE) OF
0: OP3 EQ_OQ; OP5 EQ_OQ;
1: OP3 LT_OS; OP5 LT_OS;
2: OP3 LE_OS; OP5 LE_OS;
3: OP3 UNORD_Q; OP5 UNORD_Q;
4: OP3 NEQ_UQ; OP5 NEQ_UQ;
5: OP3 NLT_US; OP5 NLT_US;
6: OP3 NLE_US; OP5 NLE_US;
7: OP3 ORD_Q; OP5 ORD_Q;
8: OP5 EQ_UQ;
9: OP5 NGE_US;
10: OP5 NGT_US;
11: OP5 FALSE_OQ;
12: OP5 NEQ_OQ;
13: OP5 GE_OS;
14: OP5 GT_OS;
15: OP5 TRUE_UQ;
16: OP5 EQ_OS;
17: OP5 LT_OQ;
18: OP5 LE_OQ;
19: OP5 UNORD_S;
20: OP5 NEQ_US;
21: OP5 NLT_UQ;
22: OP5 NLE_UQ;
23: OP5 ORD_S;
24: OP5 EQ_US;
VCMPTRUESD reg1, reg2, reg3 VCMPSD reg1, reg2, reg3, 0FH
VCMPEQ_OSSD reg1, reg2, reg3 VCMPSD reg1, reg2, reg3, 10H
VCMPLT_OQSD reg1, reg2, reg3 VCMPSD reg1, reg2, reg3, 11H
VCMPLE_OQSD reg1, reg2, reg3 VCMPSD reg1, reg2, reg3, 12H
VCMPUNORD_SSD reg1, reg2, reg3 VCMPSD reg1, reg2, reg3, 13H
VCMPNEQ_USSD reg1, reg2, reg3 VCMPSD reg1, reg2, reg3, 14H
VCMPNLT_UQSD reg1, reg2, reg3 VCMPSD reg1, reg2, reg3, 15H
VCMPNLE_UQSD reg1, reg2, reg3 VCMPSD reg1, reg2, reg3, 16H
VCMPORD_SSD reg1, reg2, reg3 VCMPSD reg1, reg2, reg3, 17H
VCMPEQ_USSD reg1, reg2, reg3 VCMPSD reg1, reg2, reg3, 18H
VCMPNGE_UQSD reg1, reg2, reg3 VCMPSD reg1, reg2, reg3, 19H
VCMPNGT_UQSD reg1, reg2, reg3 VCMPSD reg1, reg2, reg3, 1AH
VCMPFALSE_OSSD reg1, reg2, reg3 VCMPSD reg1, reg2, reg3, 1BH
VCMPNEQ_OSSD reg1, reg2, reg3 VCMPSD reg1, reg2, reg3, 1CH
VCMPGE_OQSD reg1, reg2, reg3 VCMPSD reg1, reg2, reg3, 1DH
VCMPGT_OQSD reg1, reg2, reg3 VCMPSD reg1, reg2, reg3, 1EH
VCMPTRUE_USSD reg1, reg2, reg3 VCMPSD reg1, reg2, reg3, 1FH
Ta b le 3 -1 4 . P se u d o -O p a n d VC MP S D I m p le m e n t a t io n (C o n t d .)
P se u d o -O p C MP S D I m p le m e n t a t io n
CMPSDCompare Scalar Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-143
25: OP5 NGE_UQ;
26: OP5 NGT_UQ;
27: OP5 FALSE_OS;
28: OP5 NEQ_OS;
29: OP5 GE_OQ;
30: OP5 GT_OQ;
31: OP5 TRUE_US;
DEFAULT: Reserved
ESAC;
C MP S D (1 2 8 -b it Le g a cy S S E v e rsio n )
CMP0 DEST[63:0] OP3 SRC[63:0];
IF CMP0 =TRUE
THEN DEST[63:0] FFFFFFFFFFFFFFFFH;
ELSE DEST[63:0] 0000000000000000H; FI;
DEST[VLMAX-1:64] (Unmodified)
VC MP S D (V E X.1 2 8 e n co d e d v e rsio n )
CMP0 SRC1[63:0] OP5 SRC2[63:0];
IF CMP0 =TRUE
THEN DEST[63:0] FFFFFFFFFFFFFFFFH;
ELSE DEST[63:0] 0000000000000000H; FI;
DEST[127:64] SRC1[127:64]
DEST[VLMAX-1:128] 0
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t s
CMPSD for equality: __m128d _mm_cmpeq_sd(__m128d a, __m128d b)
CMPSD for less-than: __m128d _mm_cmplt_sd(__m128d a, __m128d b)
CMPSD for less-than-or-equal: __m128d _mm_cmple_sd(__m128d a, __m128d b)
CMPSD for greater-than: __m128d _mm_cmpgt_sd(__m128d a, __m128d b)
CMPSD for greater-than-or-equal: __m128d _mm_cmpge_sd(__m128d a, __m128d b)
CMPSD for inequality: __m128d _mm_cmpneq_sd(__m128d a, __m128d b)
CMPSD for not-less-than: __m128d _mm_cmpnlt_sd(__m128d a, __m128d b)
CMPSD for not-greater-than: __m128d _mm_cmpngt_sd(__m128d a, __m128d b)
CMPSD for not-greater-than-or-equal: __m128d _mm_cmpnge_sd(__m128d a, __m128d b)
CMPSD for ordered: __m128d _mm_cmpord_sd(__m128d a, __m128d b)
CMPSD for unordered: __m128d _mm_cmpunord_sd(__m128d a, __m128d b)
CMPSD for not-less-than-or-equal: __m128d _mm_cmpnle_sd(__m128d a, __m128d b)
VCMPSD: __m128 _mm_cmp_sd(__m128 a, __m128 b, const int imm)
S I MD F lo a t in g -P o in t E x ce p t io n s
Invalid if SNaN operand, Invalid if QNaN and predicate as listed in above table, Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 3.
CMPSSCompare Scalar Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-144 Vol. 2A
C MP S S C o m p a re S ca la r S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Compares the low single-precision floating-point values in the source operand (second operand) and the destina-
tion operand (first operand) and returns the results of the comparison to the destination operand. The comparison
predicate operand (third operand) specifies the type of comparison performed. The comparison result is a double-
word mask of all 1s (comparison true) or all 0s (comparison false). The sign of zero is ignored for comparisons, so
that 0.0 is equal to +0.0.
128-bit Legacy SSE version: The first source and destination operand (first operand) is an XMM register. The
second source operand (second operand) can be an XMM register or 64-bit memory location. The comparison pred-
icate operand is an 8-bit immediate, bits 2:0 of the immediate define the type of comparison to be performed (see
Table 3-7). Bits 7:3 of the immediate is reserved. Bits (VLMAX-1:32) of the corresponding YMM destination register
remain unchanged.
The unordered relationship is true when at least one of the two source operands being compared is a NaN; the
ordered relationship is true when neither source operand is a NaN
A subsequent computational instruction that uses the mask result in the destination operand as an input operand
will not generate a fault, since a mask of all 0s corresponds to a floating-point value of +0.0 and a mask of all 1s
corresponds to a QNaN.
Note that processors with CPUID.1H:ECX.AVX =0 do not implement the greater-than, greater-than-or-equal,
not-greater than, and not-greater-than-or-equal relations predicates. These comparisons can be made either
by using the inverse relationship (that is, use the not-less-than-or-equal to make a greater-than comparison)
or by using software emulation. When using software emulation, the program must swap the operands (copying
registers when necessary to protect the data that will now be in the destination operand), and then perform the
compare using a different predicate. The predicate to be used for these emulations is listed in Table 3-7 under the
heading Emulation.
Compilers and assemblers may implement the following two-operand pseudo-ops in addition to the three-operand
CMPSS instruction, for processors with CPUID.1H:ECX.AVX =0. See Table 3-15. Compiler should treat reserved
Imm8 values as illegal syntax.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
F3 0F C2 /r ib
CMPSS xmm1, xmm2/m32, imm8
RMI V/V SSE Compare low single-precision floating-point
value in xmm2/m32 and xmm1 using imm8 as
comparison predicate.
VEX.NDS.LIG.F3.0F.WIG C2 /r ib
VCMPSS xmm1, xmm2, xmm3/m32, imm8
RVMI V/V AVX Compare low single precision floating-point
value in xmm3/m32 and xmm2 using bits 4:0
of imm8 as comparison predicate.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RMI ModRM:reg (r, w) ModRM:r/m (r) imm8 NA
RVMI ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) imm8
CMPSSCompare Scalar Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-145
The greater-than relations not implemented in the processor require more than one instruction to emulate in soft-
ware and therefore should not be implemented as pseudo-ops. (For these, the programmer should reverse the
operands of the corresponding less than relations and use move instructions to ensure that the mask is moved to
the correct destination register and that the source operand is left intact.)
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
Enhanced Comparison Predicate for VEX-Encoded VCMPSD
VEX.128 encoded version: The first source operand (second operand) is an XMM register. The second source
operand (third operand) can be an XMM register or a 32-bit memory location. Bits (VLMAX-1:128) of the destina-
tion YMM register are zeroed. The comparison predicate operand is an 8-bit immediate:
For instructions encoded using the VEX prefix, bits 4:0 define the type of comparison to be performed (see
Table 3-9). Bits 5 through 7 of the immediate are reserved.
Processors with CPUID.1H:ECX.AVX =1 implement the full complement of 32 predicates shown in Table 3-9, soft-
ware emulation is no longer needed. Compilers and assemblers may implement the following three-operand
pseudo-ops in addition to the four-operand VCMPSS instruction. See Table 3-16, where the notations of reg1 reg2,
and reg3 represent either XMM registers or YMM registers. Compiler should treat reserved Imm8 values as illegal
syntax. Alternately, intrinsics can map the pseudo-ops to pre-defined constants to support a simpler intrinsic inter-
face.
:
Ta b le 3 -1 5 . P se u d o -O p s a n d C MP S S
P se u d o -O p C MP S S I m p le m e n t a t io n
CMPEQSS xmm1, xmm2 CMPSS xmm1, xmm2, 0
CMPLTSS xmm1, xmm2 CMPSS xmm1, xmm2, 1
CMPLESS xmm1, xmm2 CMPSS xmm1, xmm2, 2
CMPUNORDSS xmm1, xmm2 CMPSS xmm1, xmm2, 3
CMPNEQSS xmm1, xmm2 CMPSS xmm1, xmm2, 4
CMPNLTSS xmm1, xmm2 CMPSS xmm1, xmm2, 5
CMPNLESS xmm1, xmm2 CMPSS xmm1, xmm2, 6
CMPORDSS xmm1, xmm2 CMPSS xmm1, xmm2, 7
Ta b le 3 -1 6 . P se u d o -O p a n d VC MP S S I m p le m e n t a t io n
P se u d o -O p C MP S S I m p le m e n t a t io n
VCMPEQSS reg1, reg2, reg3 VCMPSS reg1, reg2, reg3, 0
VCMPLTSS reg1, reg2, reg3 VCMPSS reg1, reg2, reg3, 1
VCMPLESS reg1, reg2, reg3 VCMPSS reg1, reg2, reg3, 2
VCMPUNORDSS reg1, reg2, reg3 VCMPSS reg1, reg2, reg3, 3
VCMPNEQSS reg1, reg2, reg3 VCMPSS reg1, reg2, reg3, 4
VCMPNLTSS reg1, reg2, reg3 VCMPSS reg1, reg2, reg3, 5
VCMPNLESS reg1, reg2, reg3 VCMPSS reg1, reg2, reg3, 6
VCMPORDSS reg1, reg2, reg3 VCMPSS reg1, reg2, reg3, 7
VCMPEQ_UQSS reg1, reg2, reg3 VCMPSS reg1, reg2, reg3, 8
VCMPNGESS reg1, reg2, reg3 VCMPSS reg1, reg2, reg3, 9
VCMPNGTSS reg1, reg2, reg3 VCMPSS reg1, reg2, reg3, 0AH
VCMPFALSESS reg1, reg2, reg3 VCMPSS reg1, reg2, reg3, 0BH
VCMPNEQ_OQSS reg1, reg2, reg3 VCMPSS reg1, reg2, reg3, 0CH
VCMPGESS reg1, reg2, reg3 VCMPSS reg1, reg2, reg3, 0DH
VCMPGTSS reg1, reg2, reg3 VCMPSS reg1, reg2, reg3, 0EH
CMPSSCompare Scalar Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-146 Vol. 2A
O p e ra t io n
CASE (COMPARISON PREDICATE) OF
0: OP3 EQ_OQ; OP5 EQ_OQ;
1: OP3 LT_OS; OP5 LT_OS;
2: OP3 LE_OS; OP5 LE_OS;
3: OP3 UNORD_Q; OP5 UNORD_Q;
4: OP3 NEQ_UQ; OP5 NEQ_UQ;
5: OP3 NLT_US; OP5 NLT_US;
6: OP3 NLE_US; OP5 NLE_US;
7: OP3 ORD_Q; OP5 ORD_Q;
8: OP5 EQ_UQ;
9: OP5 NGE_US;
10: OP5 NGT_US;
11: OP5 FALSE_OQ;
12: OP5 NEQ_OQ;
13: OP5 GE_OS;
14: OP5 GT_OS;
15: OP5 TRUE_UQ;
16: OP5 EQ_OS;
17: OP5 LT_OQ;
18: OP5 LE_OQ;
19: OP5 UNORD_S;
20: OP5 NEQ_US;
21: OP5 NLT_UQ;
22: OP5 NLE_UQ;
23: OP5 ORD_S;
24: OP5 EQ_US;
VCMPTRUESS reg1, reg2, reg3 VCMPSS reg1, reg2, reg3, 0FH
VCMPEQ_OSSS reg1, reg2, reg3 VCMPSS reg1, reg2, reg3, 10H
VCMPLT_OQSS reg1, reg2, reg3 VCMPSS reg1, reg2, reg3, 11H
VCMPLE_OQSS reg1, reg2, reg3 VCMPSS reg1, reg2, reg3, 12H
VCMPUNORD_SSS reg1, reg2, reg3 VCMPSS reg1, reg2, reg3, 13H
VCMPNEQ_USSS reg1, reg2, reg3 VCMPSS reg1, reg2, reg3, 14H
VCMPNLT_UQSS reg1, reg2, reg3 VCMPSS reg1, reg2, reg3, 15H
VCMPNLE_UQSS reg1, reg2, reg3 VCMPSS reg1, reg2, reg3, 16H
VCMPORD_SSS reg1, reg2, reg3 VCMPSS reg1, reg2, reg3, 17H
VCMPEQ_USSS reg1, reg2, reg3 VCMPSS reg1, reg2, reg3, 18H
VCMPNGE_UQSS reg1, reg2, reg3 VCMPSS reg1, reg2, reg3, 19H
VCMPNGT_UQSS reg1, reg2, reg3 VCMPSS reg1, reg2, reg3, 1AH
VCMPFALSE_OSSS reg1, reg2, reg3 VCMPSS reg1, reg2, reg3, 1BH
VCMPNEQ_OSSS reg1, reg2, reg3 VCMPSS reg1, reg2, reg3, 1CH
VCMPGE_OQSS reg1, reg2, reg3 VCMPSS reg1, reg2, reg3, 1DH
VCMPGT_OQSS reg1, reg2, reg3 VCMPSS reg1, reg2, reg3, 1EH
VCMPTRUE_USSS reg1, reg2, reg3 VCMPSS reg1, reg2, reg3, 1FH
Ta b le 3 -1 6 . P se u d o -O p a n d VC MP S S I m p le m e n t a t io n (C o n t d .)
P se u d o -O p C MP S S I m p le m e n t a t io n
CMPSSCompare Scalar Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-147
25: OP5 NGE_UQ;
26: OP5 NGT_UQ;
27: OP5 FALSE_OS;
28: OP5 NEQ_OS;
29: OP5 GE_OQ;
30: OP5 GT_OQ;
31: OP5 TRUE_US;
DEFAULT: Reserved
ESAC;
C MP S S (1 2 8 -b it Le g a cy S S E v e rsio n )
CMP0 DEST[31:0] OP3 SRC[31:0];
IF CMP0 =TRUE
THEN DEST[31:0] FFFFFFFFH;
ELSE DEST[31:0] 00000000H; FI;
DEST[VLMAX-1:32] (Unmodified)
VC MP S S (VE X.1 2 8 e n co d e d v e rsio n )
CMP0 SRC1[31:0] OP5 SRC2[31:0];
IF CMP0 =TRUE
THEN DEST[31:0] FFFFFFFFH;
ELSE DEST[31:0] 00000000H; FI;
DEST[127:32] SRC1[127:32]
DEST[VLMAX-1:128] 0
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t s
CMPSS for equality: __m128 _mm_cmpeq_ss(__m128 a, __m128 b)
CMPSS for less-than: __m128 _mm_cmplt_ss(__m128 a, __m128 b)
CMPSS for less-than-or-equal: __m128 _mm_cmple_ss(__m128 a, __m128 b)
CMPSS for greater-than: __m128 _mm_cmpgt_ss(__m128 a, __m128 b)
CMPSS for greater-than-or-equal: __m128 _mm_cmpge_ss(__m128 a, __m128 b)
CMPSS for inequality: __m128 _mm_cmpneq_ss(__m128 a, __m128 b)
CMPSS for not-less-than: __m128 _mm_cmpnlt_ss(__m128 a, __m128 b)
CMPSS for not-greater-than: __m128 _mm_cmpngt_ss(__m128 a, __m128 b)
CMPSS for not-greater-than-or-equal: __m128 _mm_cmpnge_ss(__m128 a, __m128 b)
CMPSS for ordered: __m128 _mm_cmpord_ss(__m128 a, __m128 b)
CMPSS for unordered: __m128 _mm_cmpunord_ss(__m128 a, __m128 b)
CMPSS for not-less-than-or-equal: __m128 _mm_cmpnle_ss(__m128 a, __m128 b)
VCMPSS: __m128 _mm_cmp_ss(__m128 a, __m128 b, const int imm)
S I MD F lo a t in g -P o in t E x ce p t io n s
Invalid if SNaN operand, Invalid if QNaN and predicate as listed in above table, Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 3.
CMPXCHGCompare and Exchange
INSTRUCTION SET REFERENCE, A-M
3-148 Vol. 2A
C MP XC H G C o m p a re a n d E x ch a n g e
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Compares the value in the AL, AX, EAX, or RAX register with the first operand (destination operand). If the two
values are equal, the second operand (source operand) is loaded into the destination operand. Otherwise, the
destination operand is loaded into the AL, AX, EAX or RAX register. RAX register is available only in 64-bit mode.
This instruction can be used with a LOCK prefix to allow the instruction to be executed atomically. To simplify the
interface to the processors bus, the destination operand receives a write cycle without regard to the result of the
comparison. The destination operand is written back if the comparison fails; otherwise, the source operand is
written into the destination. (The processor never produces a locked read without also producing a locked write.)
In 64-bit mode, the instructions default operation size is 32 bits. Use of the REX.R prefix permits access to addi-
tional registers (R8-R15). Use of the REX.W prefix promotes operation to 64 bits. See the summary chart at the
beginning of this section for encoding data and limits.
I A-3 2 Arch it e ct u re C o m p a t ib ilit y
This instruction is not supported on Intel processors earlier than the Intel486 processors.
O p e ra t io n
(* Accumulator = AL, AX, EAX, or RAX depending on whether a byte, word, doubleword, or quadword comparison is being performed *)
IF accumulator = DEST
THEN
ZF 1;
DEST SRC;
ELSE
O p co d e /
I n st ru ct io n
O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F B0/r
CMPXCHG r/m8, r8
MR Valid Valid* Compare AL with r/m8. If equal, ZF is set and
r8 is loaded into r/m8. Else, clear ZF and load
r/m8 into AL.
REX +0F B0/r
CMPXCHG r/m8**,r8
MR Valid N.E. Compare AL with r/m8. If equal, ZF is set and
r8 is loaded into r/m8. Else, clear ZF and load
r/m8 into AL.
0F B1/r
CMPXCHG r/m16, r16
MR Valid Valid* Compare AX with r/m16. If equal, ZF is set and
r16 is loaded into r/m16. Else, clear ZF and
load r/m16 into AX.
0F B1/r
CMPXCHG r/m32, r32
MR Valid Valid* Compare EAX with r/m32. If equal, ZF is set
and r32 is loaded into r/m32. Else, clear ZF
and load r/m32 into EAX.
REX.W +0F B1/r
CMPXCHG r/m64, r64
MR Valid N.E. Compare RAX with r/m64. If equal, ZF is set
and r64 is loaded into r/m64. Else, clear ZF
and load r/m64 into RAX.
N O T E S :
* See the IA-32 Architecture Compatibility section below.
**In 64-bit mode, r/m8 can not be encoded to access the following byte registers if a REX prefix is used: AH, BH, CH, DH.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
MR ModRM:r/m(r, w) ModRM:reg (r) NA NA
CMPXCHGCompare and Exchange
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-149
ZF 0;
accumulator DEST;
FI;
F la g s Af fe ct e d
The ZF flag is set if the values in the destination operand and register AL, AX, or EAX are equal; otherwise it is
cleared. The CF, PF, AF, SF, and OF flags are set according to the results of the comparison operation.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the destination is located in a non-writable segment.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used but the destination is not a memory operand.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used but the destination is not a memory operand.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used but the destination is not a memory operand.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used but the destination is not a memory operand.
CMPXCHG8B/CMPXCHG16BCompare and Exchange Bytes
INSTRUCTION SET REFERENCE, A-M
3-150 Vol. 2A
C MP XC H G 8 B /C MP XC H G 1 6 B C o m p a re a n d E x ch a n g e B y t e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Compares the 64-bit value in EDX:EAX (or 128-bit value in RDX:RAX if operand size is 128 bits) with the operand
(destination operand). If the values are equal, the 64-bit value in ECX:EBX (or 128-bit value in RCX:RBX) is stored
in the destination operand. Otherwise, the value in the destination operand is loaded into EDX:EAX (or RDX:RAX).
The destination operand is an 8-byte memory location (or 16-byte memory location if operand size is 128 bits). For
the EDX:EAX and ECX:EBX register pairs, EDX and ECX contain the high-order 32 bits and EAX and EBX contain the
low-order 32 bits of a 64-bit value. For the RDX:RAX and RCX:RBX register pairs, RDX and RCX contain the high-
order 64 bits and RAX and RBX contain the low-order 64bits of a 128-bit value.
This instruction can be used with a LOCK prefix to allow the instruction to be executed atomically. To simplify the
interface to the processors bus, the destination operand receives a write cycle without regard to the result of the
comparison. The destination operand is written back if the comparison fails; otherwise, the source operand is
written into the destination. (The processor never produces a locked read without also producing a locked write.)
In 64-bit mode, default operation size is 64 bits. Use of the REX.W prefix promotes operation to 128 bits. Note that
CMPXCHG16B requires that the destination (memory) operand be 16-byte aligned. See the summary chart at the
beginning of this section for encoding data and limits. For information on the CPUID flag that indicates
CMPXCHG16B, see page 3-167.
I A-3 2 Arch it e ct u re C o m p a t ib ilit y
This instruction encoding is not supported on Intel processors earlier than the Pentium processors.
O p e ra t io n
IF (64-Bit Mode and OperandSize =64)
THEN
IF (RDX:RAX = DEST)
ZF 1;
DEST RCX:RBX;
ELSE
ZF 0;
RDX:RAX DEST;
FI
ELSE
IF (EDX:EAX = DEST)
ZF 1;
DEST ECX:EBX;
O p co d e /
I n st ru ct io n
O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F C7 /1 m64
CMPXCHG8B m64
M Valid Valid* Compare EDX:EAX with m64. If equal, set ZF
and load ECX:EBX into m64. Else, clear ZF and
load m64 into EDX:EAX.
REX.W +0F C7 /1 m128
CMPXCHG16B m128
M Valid N.E. Compare RDX:RAX with m128. If equal, set ZF
and load RCX:RBX into m128. Else, clear ZF
and load m128 into RDX:RAX.
N O T E S :
*See IA-32 Architecture Compatibility section below.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
M ModRM:r/m (r, w) NA NA NA
CMPXCHG8B/CMPXCHG16BCompare and Exchange Bytes
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-151
ELSE
ZF 0;
EDX:EAX DEST;
FI;
FI;
F la g s Af fe ct e d
The ZF flag is set if the destination operand and EDX:EAX are equal; otherwise it is cleared. The CF, PF, AF, SF, and
OF flags are unaffected.
P ro t e ct e d Mo d e E x ce p t io n s
#UD If the destination is not a memory operand.
#GP(0) If the destination is located in a non-writable segment.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#UD If the destination operand is not a memory location.
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#UD If the destination operand is not a memory location.
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
If memory operand for CMPXCHG16B is not aligned on a 16-byte boundary.
If CPUID.01H:ECX.CMPXCHG16B[bit 13] = 0.
#UD If the destination operand is not a memory location.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
COMISDCompare Scalar Ordered Double-Precision Floating-Point Values and Set EFLAGS
INSTRUCTION SET REFERENCE, A-M
3-152 Vol. 2A
C O MI S D C o m p a re S ca la r O rd e re d D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s a n d S e t E F LAG S
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Compares the double-precision floating-point values in the low quadwords of operand 1 (first operand) and
operand 2 (second operand), and sets the ZF, PF, and CF flags in the EFLAGS register according to the result (unor-
dered, greater than, less than, or equal). The OF, SF and AF flags in the EFLAGS register are set to 0. The unor-
dered result is returned if either source operand is a NaN (QNaN or SNaN).The sign of zero is ignored for
comparisons, so that 0.0 is equal to +0.0.
Operand 1 is an XMM register; operand 2 can be an XMM register or a 64 bit memory location.
The COMISD instruction differs from the UCOMISD instruction in that it signals a SIMD floating-point invalid oper-
ation exception (#I) when a source operand is either a QNaN or SNaN. The UCOMISD instruction signals an invalid
numeric exception only if a source operand is an SNaN.
The EFLAGS register is not updated if an unmasked SIMD floating-point exception is generated.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b, otherwise instructions will #UD.
O p e ra t io n
RESULT OrderedCompare(DEST[63:0] <> SRC[63:0]) {
(* Set EFLAGS *) CASE (RESULT) OF
UNORDERED: ZF,PF,CF 111;
GREATER_THAN: ZF,PF,CF 000;
LESS_THAN: ZF,PF,CF 001;
EQUAL: ZF,PF,CF 100;
ESAC;
OF, AF, SF 0; }
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t s
int _mm_comieq_sd (__m128d a, __m128d b)
int _mm_comilt_sd (__m128d a, __m128d b)
int _mm_comile_sd (__m128d a, __m128d b)
int _mm_comigt_sd (__m128d a, __m128d b)
int _mm_comige_sd (__m128d a, __m128d b)
int _mm_comineq_sd (__m128d a, __m128d b)
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 2F /r
COMISD xmm1, xmm2/m64
RM V/V SSE2 Compare low double-precision floating-point
values in xmm1 and xmm2/mem64 and set
the EFLAGS flags accordingly.
VEX.LIG.66.0F.WIG 2F /r
VCOMISD xmm1, xmm2/m64
RM V/V AVX Compare low double precision floating-point
values in xmm1 and xmm2/mem64 and set
the EFLAGS flags accordingly.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r) ModRM:r/m(r) NA NA
COMISDCompare Scalar Ordered Double-Precision Floating-Point Values and Set EFLAGS
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-153
S I MD F lo a t in g -P o in t E x ce p t io n s
Invalid (if SNaN or QNaN operands), Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 3; additionally
#UD If VEX.vvvv != 1111B.
COMISSCompare Scalar Ordered Single-Precision Floating-Point Values and Set EFLAGS
INSTRUCTION SET REFERENCE, A-M
3-154 Vol. 2A
C O MI S S C o m p a re S ca la r O rd e re d S in g le -P re cisio n F lo a t in g -P o in t Va lu e s a n d S e t E F LAG S
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Compares the single-precision floating-point values in the low doublewords of operand 1 (first operand) and
operand 2 (second operand), and sets the ZF, PF, and CF flags in the EFLAGS register according to the result (unor-
dered, greater than, less than, or equal). The OF, SF, and AF flags in the EFLAGS register are set to 0. The unor-
dered result is returned if either source operand is a NaN (QNaN or SNaN). The sign of zero is ignored for
comparisons, so that 0.0 is equal to +0.0.
Operand 1 is an XMM register; Operand 2 can be an XMM register or a 32 bit memory location.
The COMISS instruction differs from the UCOMISS instruction in that it signals a SIMD floating-point invalid opera-
tion exception (#I) when a source operand is either a QNaN or SNaN. The UCOMISS instruction signals an invalid
numeric exception only if a source operand is an SNaN.
The EFLAGS register is not updated if an unmasked SIMD floating-point exception is generated.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b, otherwise instructions will #UD.
O p e ra t io n
RESULT OrderedCompare(SRC1[31:0] <> SRC2[31:0]) {
(* Set EFLAGS *) CASE (RESULT) OF
UNORDERED: ZF,PF,CF 111;
GREATER_THAN: ZF,PF,CF 000;
LESS_THAN: ZF,PF,CF 001;
EQUAL: ZF,PF,CF 100;
ESAC;
OF,AF,SF 0; }
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t s
int _mm_comieq_ss (__m128 a, __m128 b)
int _mm_comilt_ss (__m128 a, __m128 b)
int _mm_comile_ss (__m128 a, __m128 b)
int _mm_comigt_ss (__m128 a, __m128 b)
int _mm_comige_ss (__m128 a, __m128 b)
int _mm_comineq_ss (__m128 a, __m128 b)
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 2F /r
COMISS xmm1, xmm2/m32
RM V/V SSE Compare low single-precision floating-point
values in xmm1 and xmm2/mem32 and set
the EFLAGS flags accordingly.
VEX.LIG.0F.WIG 2F /r
VCOMISS xmm1, xmm2/m32
RM V/V AVX Compare low single precision floating-point
values in xmm1 and xmm2/mem32 and set
the EFLAGS flags accordingly.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r) ModRM:r/m (r) NA NA
COMISSCompare Scalar Ordered Single-Precision Floating-Point Values and Set EFLAGS
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-155
S I MD F lo a t in g -P o in t E x ce p t io n s
Invalid (if SNaN or QNaN operands), Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 3; additionally
#UD If VEX.vvvv != 1111B.
CPUIDCPU Identification
INSTRUCTION SET REFERENCE, A-M
3-156 Vol. 2A
C P U I D C P U I d e n t ifica t io n
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
The ID flag (bit 21) in the EFLAGS register indicates support for the CPUID instruction. If a software procedure can
set and clear this flag, the processor executing the procedure supports the CPUID instruction. This instruction oper-
ates the same in non-64-bit modes and 64-bit mode.
CPUID returns processor identification and feature information in the EAX, EBX, ECX, and EDX registers.
1
The
instructions output is dependent on the contents of the EAX register upon execution (in some cases, ECX as well).
For example, the following pseudocode loads EAX with 00H and causes CPUID to return a Maximum Return Value
and the Vendor Identification String in the appropriate registers:
MOV EAX, 00H
CPUID
Table 3-17 shows information returned, depending on the initial value loaded into the EAX register. Table 3-18
shows the maximum CPUID input value recognized for each family of IA-32 processors on which CPUID is imple-
mented.
Two types of information are returned: basic and extended function information. If a value entered for CPUID.EAX
is higher than the maximum input value for basic or extended function for that processor then the data for the
highest basic information leaf is returned. For example, using the Intel Core i7 processor, the following is true:
CPUID.EAX =05H (* Returns MONITOR/MWAIT leaf. *)
CPUID.EAX =0AH (* Returns Architectural Performance Monitoring leaf. *)
CPUID.EAX =0BH (* Returns Extended Topology Enumeration leaf. *)
CPUID.EAX =0CH (* INVALID: Returns the same information as CPUID.EAX =0BH. *)
CPUID.EAX =80000008H (* Returns linear/physical address size data. *)
CPUID.EAX =8000000AH (* INVALID: Returns same information as CPUID.EAX =0BH. *)
If a value entered for CPUID.EAX is less than or equal to the maximum input value and the leaf is not supported on
that processor then 0 is returned in all the registers. For example, using the Intel Core i7 processor, the following
is true:
CPUID.EAX =07H (*Returns EAX=EBX=ECX=EDX=0. *)
When CPUID returns the highest basic leaf information as a result of an invalid input EAX value, any dependence
on input ECX value in the basic leaf is honored.
CPUID can be executed at any privilege level to serialize instruction execution. Serializing instruction execution
guarantees that any modifications to flags, registers, and memory for previous instructions are completed before
the next instruction is fetched and executed.
See also:
Serializing Instructions in Chapter 8, Multiple-Processor Management, in the Intel 64 and IA-32 Architectures
Software Developers Manual, Volume 3A.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F A2 CPUID NP Valid Valid Returns processor identification and feature
information to the EAX, EBX, ECX, and EDX
registers, as determined by input entered in
EAX (in some cases, ECX as well).
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
1. On Intel 64 processors, CPUID clears the high 32 bits of the RAX/RBX/RCX/RDX registers in all modes.
CPUIDCPU Identification
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-157
Caching Translation Information in Chapter 4, Paging, in the Intel 64 and IA-32 Architectures Software Devel-
opers Manual, Volume 3A.
Ta b le 3 -1 7. I n fo rm a t io n R e t u rn e d b y C P U I D I n st ru ct io n
I n it ia l E AX
V a lu e I n f o rm a t io n P ro v id e d a b o u t t h e P ro ce sso r
Basic CPUID Information
0H EAX
EBX
ECX
EDX
Maximum Input Value for Basic CPUID Information (see Table 3-18)
Genu
ntel
ineI
01H EAX
EBX
ECX
EDX
Version Information: Type, Family, Model, and Stepping ID (see Figure3-5)
Bits 07-00: Brand Index
Bits 15-08: CLFLUSH line size (Value 8 = cache line size in bytes)
Bits 23-16: Maximum number of addressable IDs for logical processors in this physical package*.
Bits 31-24: Initial APIC ID
Feature Information (see Figure3-6 and Table 3-20)
Feature Information (see Figure3-7 and Table 3-21)
N O T E S :
* The nearest power-of-2 integer that is not smaller than EBX[23:16] is the number of unique initial APIC
IDs reserved for addressing different logical processors in a physical package. This field is only valid if
CPUID.1.EDX.HTT[bit 28]=1.
02H EAX
EBX
ECX
EDX
Cache and TLB Information (see Table 3-22)
Cache and TLB Information
Cache and TLB Information
Cache and TLB Information
03H EAX
EBX
ECX
EDX
Reserved.
Reserved.
Bits 00-31 of 96 bit processor serial number. (Available in Pentium III processor only; otherwise, the value
in this register is reserved.)
Bits 32-63 of 96 bit processor serial number. (Available in Pentium III processor only; otherwise, the value
in this register is reserved.)
N O T E S :
Processor serial number (PSN) is not supported in the Pentium 4 processor or later. On all models, use
the PSN flag (returned using CPUID) to check for PSN support before accessing the feature.
See AP-485, Intel Processor Identification and the CPUID Instruction (Order Number 241618) for more
information on PSN.
CPUID leaves >3 <80000000 are visible only when IA32_MISC_ENABLE.BOOT_NT4[bit 22] =0 (default).
Deterministic Cache Parameters Leaf
04H N O T E S :
Leaf 04H output depends on the initial value in ECX.*
See also: INPUT EAX =4: Returns Deterministic Cache Parameters for each level on page 3-175.
EAX Bits 04-00: Cache Type Field
0 =Null - No more caches
1 =Data Cache
2 =Instruction Cache
3 =Unified Cache
4-31 =Reserved
CPUIDCPU Identification
INSTRUCTION SET REFERENCE, A-M
3-158 Vol. 2A
Bits 07-05: Cache Level (starts at 1)
Bit 08: Self Initializing cache level (does not need SW initialization)
Bit 09: Fully Associative cache
Bits 13-10: Reserved
Bits 25-14: Maximum number of addressable IDs for logical processors sharing this cache**, ***
Bits 31-26: Maximum number of addressable IDs for processor cores in the physical
package**, ****, *****
EBX Bits 11-00: L =SystemCoherency Line Size**
Bits 21-12: P =Physical Line partitions**
Bits 31-22: W =Ways of associativity**
ECX Bits 31-00: S =Number of Sets**
EDX Bit 0: Write-Back Invalidate/Invalidate
0 =WBINVD/INVD fromthreads sharing this cache acts upon lower level caches for threads sharing this
cache.
1 =WBINVD/INVD is not guaranteed to act upon lower level caches of non-originating threads sharing
this cache.
Bit 1: Cache Inclusiveness
0 =Cache is not inclusive of lower cache levels.
1 =Cache is inclusive of lower cache levels.
Bit 2: Complex Cache Indexing
0 =Direct mapped cache.
1 =A complex function is used to index the cache, potentially using all address bits.
Bits 31-03: Reserved =0
N O T E S :
* If ECX contains an invalid sub leaf index, EAX/EBX/ECX/EDX return 0. Invalid sub-leaves of EAX =04H:
ECX =n, n >3.
**Add one to the return value to get the result.
***The nearest power-of-2 integer that is not smaller than (1 +EAX[25:14]) is the number of unique ini-
tial APIC IDs reserved for addressing different logical processors sharing this cache
**** The nearest power-of-2 integer that is not smaller than (1 +EAX[31:26]) is the number of unique
Core_IDs reserved for addressing different processor cores in a physical package. Core ID is a subset of
bits of the initial APIC ID.
***** The returned value is constant for valid initial values in ECX. Valid ECX values start from 0.
MONITOR/MWAIT Leaf
05H EAX Bits 15-00: Smallest monitor-line size in bytes (default is processor's monitor granularity)
Bits 31-16: Reserved =0
EBX Bits 15-00: Largest monitor-line size in bytes (default is processor's monitor granularity)
Bits 31-16: Reserved =0
ECX Bit 00: Enumeration of Monitor-Mwait extensions (beyond EAX and EBX registers) supported
Bit 01: Supports treating interrupts as break-event for MWAIT, even when interrupts disabled
Bits 31 - 02: Reserved
Ta b le 3 -1 7. I n fo rm a t io n R e t u rn e d b y C P U I D I n st ru ct io n (C o n t d .)
I n it ia l E AX
Va lu e I n fo rm a t io n P ro v id e d a b o u t t h e P ro ce sso r
CPUIDCPU Identification
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-159
EDX Bits 03 - 00: Number of C0* sub C-states supported using MWAIT
Bits 07 - 04: Number of C1* sub C-states supported using MWAIT
Bits 11 - 08: Number of C2* sub C-states supported using MWAIT
Bits 15 - 12: Number of C3* sub C-states supported using MWAIT
Bits 19 - 16: Number of C4* sub C-states supported using MWAIT
Bits 31 - 20: Reserved =0
N O T E :
* The definition of C0 through C4 states for MWAIT extension are processor-specific C-states, not ACPI C-
states.
Thermal and Power Management Leaf
06H EAX
EBX
Bit 00: Digital temperature sensor is supported if set
Bit 01: Intel Turbo Boost Technology Available (see description of IA32_MISC_ENABLE[38]).
Bit 02: ARAT. APIC-Timer-always-running feature is supported if set.
Bit 03: Reserved
Bit 04: PLN. Power limit notification controls are supported if set.
Bit 05: ECMD. Clock modulation duty cycle extension is supported if set.
Bit 06: PTM. Package thermal management is supported if set.
Bits 31 - 07: Reserved
Bits 03 - 00: Number of Interrupt Thresholds in Digital Thermal Sensor
Bits 31 - 04: Reserved
ECX Bit 00: Hardware Coordination Feedback Capability (Presence of IA32_MPERF and IA32_APERF). The
capability to provide a measure of delivered processor performance (since last reset of the counters), as
a percentage of expected processor performance at frequency specified in CPUID Brand String
Bits 02 - 01: Reserved =0
Bit 03: The processor supports performance-energy bias preference if CPUID.06H:ECX.SETBH[bit 3] is set
and it also implies the presence of a new architectural MSR called IA32_ENERGY_PERF_BIAS (1B0H)
Bits 31 - 04: Reserved =0
EDX Reserved =0
Structured Extended Feature Flags Enumeration Leaf (Output depends on ECX input value)
07H Sub-leaf 0 (Input ECX =0). *
EAX Bits 31-00: Reports the maximum input value for supported leaf 7 sub-leaves.
EBX Bit 00: FSGSBASE. Supports RDFSBASE/RDGSBASE/WRFSBASE/WRGSBASE if 1.
Bit 01: IA32_TSC_ADJUST MSR is supported if 1.
Bit 02: Reserved
Bit 03: BMI1
Bit 04: HLE
Bit 05: AVX2
Bit 06: Reserved
Bit 07: SMEP. Supports Supervisor Mode Execution Protection if 1.
Bit 08: BMI2
Bit 09: Supports Enhanced REP MOVSB/STOSB if 1.
Bit 10: INVPCID. If 1, supports INVPCID instruction for system software that manages process-context
identifiers.
Bit 11: RTM
Bit 12: Supports Quality of Service Monitoring (QM) capability if 1.
Bit 13: Deprecates FPU CS and FPU DS values if 1.
Bits 31:14: Reserved
ECX Reserved
Ta b le 3 -1 7. I n fo rm a t io n R e t u rn e d b y C P U I D I n st ru ct io n (C o n t d .)
I n it ia l E AX
V a lu e I n f o rm a t io n P ro v id e d a b o u t t h e P ro ce sso r
CPUIDCPU Identification
INSTRUCTION SET REFERENCE, A-M
3-160 Vol. 2A
EDX Reserved
N O T E :
* If ECX contains an invalid sub-leaf index, EAX/EBX/ECX/EDX return 0. Invalid sub-leaves of EAX =07H:
ECX =n, n >0.
Direct Cache Access Information Leaf
09H EAX
EBX
ECX
EDX
Value of bits [31:0] of IA32_PLATFORM_DCA_CAP MSR (address 1F8H)
Reserved
Reserved
Reserved
Architectural Performance Monitoring Leaf
0AH EAX Bits 07 - 00: Version ID of architectural performance monitoring
Bits 15- 08: Number of general-purpose performance monitoring counter per logical processor
Bits 23 - 16: Bit width of general-purpose, performance monitoring counter
Bits 31 - 24: Length of EBX bit vector to enumerate architectural performance monitoring events
EBX Bit 00: Core cycle event not available if 1
Bit 01: Instruction retired event not available if 1
Bit 02: Reference cycles event not available if 1
Bit 03: Last-level cache reference event not available if 1
Bit 04: Last-level cache misses event not available if 1
Bit 05: Branch instruction retired event not available if 1
Bit 06: Branch mispredict retired event not available if 1
Bits 31- 07: Reserved =0
ECX Reserved =0
EDX Bits 04 - 00: Number of fixed-function performance counters (if Version ID >1)
Bits 12- 05: Bit width of fixed-function performance counters (if Version ID >1)
Reserved =0
Extended Topology Enumeration Leaf
0BH N O T E S :
Most of Leaf 0BH output depends on the initial value in ECX.
The EDX output of leaf 0BH is always valid and does not vary with input value in ECX.
Output value in ECX[7:0] always equals input value in ECX[7:0].
For sub-leaves that return an invalid level-type of 0 in ECX[15:8]; EAX and EBX will return 0.
If an input value n in ECX returns the invalid level-type of 0 in ECX[15:8], other input values with ECX >
n also return 0 in ECX[15:8].
EAX Bits 04-00: Number of bits to shift right on x2APIC ID to get a unique topology ID of the next level type*.
All logical processors with the same next level ID share current level.
Bits 31-05: Reserved.
EBX Bits 15 - 00: Number of logical processors at this level type. The number reflects configuration as shipped
by Intel**.
Bits 31- 16: Reserved.
ECX Bits 07 - 00: Level number. Same value in ECX input
Bits 15 - 08: Level type***.
Bits 31 - 16:: Reserved.
EDX Bits 31- 00: x2APIC ID the current logical processor.
Ta b le 3 -1 7. I n fo rm a t io n R e t u rn e d b y C P U I D I n st ru ct io n (C o n t d .)
I n it ia l E AX
Va lu e I n fo rm a t io n P ro v id e d a b o u t t h e P ro ce sso r
CPUIDCPU Identification
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-161
N O T E S :
* Software should use this field (EAX[4:0]) to enumerate processor topology of the system.
** Software must not use EBX[15:0] to enumerate processor topology of the system. This value in this
field (EBX[15:0]) is only intended for display/diagnostic purposes. The actual number of logical processors
available to BIOS/OS/Applications may be different fromthe value of EBX[15:0], depending on software
and platform hardware configurations.
*** The value of the level type field is not related to level numbers in any way, higher level type val-
ues do not mean higher levels. Level type field has the following encoding:
0 : invalid
1 : SMT
2 : Core
3-255 : Reserved
Processor Extended State Enumeration Main Leaf (EAX =0DH, ECX =0)
0DH N O T E S :
Leaf 0DH main leaf (ECX =0).
EAX Bits 31-00: Reports the valid bit fields of the lower 32 bits of XCR0. If a bit is 0, the corresponding bit
field in XCR0 is reserved.
Bit 00: legacy x87
Bit 01: 128-bit SSE
Bit 02: 256-bit AVX
Bits 31- 03: Reserved
EBX Bits 31-00: Maximum size (bytes, from the beginning of the XSAVE/XRSTOR save area) required by
enabled features in XCR0. May be different than ECX if some features at the end of the XSAVE save area
are not enabled.
ECX Bit 31-00: Maximum size (bytes, from the beginning of the XSAVE/XRSTOR save area) of the
XSAVE/XRSTOR save area required by all supported features in the processor, i.e all the valid bit fields in
XCR0.
EDX Bit 31-00: Reports the valid bit fields of the upper 32 bits of XCR0. If a bit is 0, the corresponding bit field
in XCR0 is reserved.
Processor Extended State Enumeration Sub-leaf (EAX =0DH, ECX =1)
0DH EAX
EBX
ECX
EDX
Bits 31-01: Reserved
Bit 00: XSAVEOPT is available;
Reserved
Reserved
Reserved
Processor Extended State Enumeration Sub-leaves (EAX =0DH, ECX =n, n >1)
0DH N O T E S :
Leaf 0DH output depends on the initial value in ECX.
Each valid sub-leaf index maps to a valid bit in the XCR0 register starting at bit position 2
* If ECX contains an invalid sub-leaf index, EAX/EBX/ECX/EDX return 0. Invalid sub-leaves of EAX =0DH:
ECX =n, n >2.
EAX Bits 31-0: The size in bytes (from the offset specified in EBX) of the save area for an extended state fea-
ture associated with a valid sub-leaf index, n. This field reports 0 if the sub-leaf index, n, is invalid*.
EBX Bits 31-0: The offset in bytes of this extended state components save area from the beginning of the
XSAVE/XRSTOR area.
This field reports 0 if the sub-leaf index, n, is invalid*.
Ta b le 3 -1 7. I n fo rm a t io n R e t u rn e d b y C P U I D I n st ru ct io n (C o n t d .)
I n it ia l E AX
V a lu e I n f o rm a t io n P ro v id e d a b o u t t h e P ro ce sso r
CPUIDCPU Identification
INSTRUCTION SET REFERENCE, A-M
3-162 Vol. 2A
ECX This field reports 0 if the sub-leaf index, n, is invalid*; otherwise it is reserved.
EDX This field reports 0 if the sub-leaf index, n, is invalid*; otherwise it is reserved.
Quality of Service Resource Type Enumeration Sub-leaf (EAX =0FH, ECX =0)
0FH N O T E S :
Leaf 0FH output depends on the initial value in ECX.
Sub-leaf index 0 reports valid resource type starting at bit position 1 of EDX
EAX Reserved.
EBX Bits 31-0: Maximumrange (zero-based) of RMID within this physical processor of all types.
ECX Reserved.
EDX Bit 00: Reserved.
Bit 01: Supports L3 Cache QoS if 1.
Bits 31:02: Reserved
L3 Cache QoS Capability Enumeration Sub-leaf (EAX =0FH, ECX =1)
0FH N O T E S :
Leaf 0FH output depends on the initial value in ECX.
EAX Reserved.
EBX Bits 31-0: Conversion factor fromreported IA32_QM_CTR value to occupancy metric (bytes).
ECX Maximum range (zero-based) of RMID of this resource type.
EDX Bit 00: Supports L3 occupancy monitoring if 1.
Bits 31:01: Reserved
Unimplemented CPUID Leaf Functions
40000000H
-
4FFFFFFFH
Invalid. No existing or future CPU will return processor identification or feature information if the initial
EAX value is in the range 40000000H to 4FFFFFFFH.
Extended Function CPUID Information
80000000H EAX Maximum Input Value for Extended Function CPUID Information (see
Table 3-18).
EBX
ECX
EDX
Reserved
Reserved
Reserved
80000001H EAX
EBX
ECX
Extended Processor Signature and Feature Bits.
Reserved
Bit 00: LAHF/SAHF available in 64-bit mode
Bits 31-01 Reserved
Ta b le 3 -1 7. I n fo rm a t io n R e t u rn e d b y C P U I D I n st ru ct io n (C o n t d .)
I n it ia l E AX
Va lu e I n fo rm a t io n P ro v id e d a b o u t t h e P ro ce sso r
CPUIDCPU Identification
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-163
EDX Bits 10-00: Reserved
Bit 11: SYSCALL/SYSRET available in 64-bit mode
Bits 19-12: Reserved =0
Bit 20: Execute Disable Bit available
Bits 25-21: Reserved =0
Bit 26: 1-GByte pages are available if 1
Bit 27: RDTSCP and IA32_TSC_AUX are available if 1
Bits 28: Reserved =0
Bit 29: Intel

64 Architecture available if 1
Bits 31-30: Reserved =0
80000002H EAX
EBX
ECX
EDX
Processor Brand String
Processor Brand String Continued
Processor Brand String Continued
Processor Brand String Continued
80000003H EAX
EBX
ECX
EDX
Processor Brand String Continued
Processor Brand String Continued
Processor Brand String Continued
Processor Brand String Continued
80000004H EAX
EBX
ECX
EDX
Processor Brand String Continued
Processor Brand String Continued
Processor Brand String Continued
Processor Brand String Continued
80000005H EAX
EBX
ECX
EDX
Reserved =0
Reserved =0
Reserved =0
Reserved =0
80000006H EAX
EBX
Reserved =0
Reserved =0
ECX
EDX
Bits 07-00: Cache Line size in bytes
Bits 11-08: Reserved
Bits 15-12: L2 Associativity field *
Bits 31-16: Cache size in 1K units
Reserved =0
N O T E S :
* L2 associativity field encodings:
00H - Disabled
01H - Direct mapped
02H - 2-way
04H - 4-way
06H - 8-way
08H - 16-way
0FH - Fully associative
80000007H EAX
EBX
ECX
EDX
Reserved =0
Reserved =0
Reserved =0
Bits 07-00: Reserved =0
Bit 08: Invariant TSC available if 1
Bits 31-09: Reserved =0
Ta b le 3 -1 7. I n fo rm a t io n R e t u rn e d b y C P U I D I n st ru ct io n (C o n t d .)
I n it ia l E AX
V a lu e I n f o rm a t io n P ro v id e d a b o u t t h e P ro ce sso r
CPUIDCPU Identification
INSTRUCTION SET REFERENCE, A-M
3-164 Vol. 2A
I N P U T E AX = 0 : R e t u rn s C P U I D s H ig h e st Va lu e fo r B a sic P ro ce sso r I n fo rm a t io n a n d t h e Ve n d o r I d e n t if ica t io n S t rin g
When CPUID executes with EAX set to 0, the processor returns the highest value the CPUID recognizes for
returning basic processor information. The value is returned in the EAX register (see Table 3-18) and is processor
specific.
A vendor identification string is also returned in EBX, EDX, and ECX. For Intel processors, the string is Genu-
ineIntel and is expressed:
EBX 756e6547h (* "Genu", with G in the low eight bits of BL *)
EDX 49656e69h (* "ineI", with i in the low eight bits of DL *)
ECX 6c65746eh (* "ntel", with n in the low eight bits of CL *)
I N P U T E AX = 8 0 0 0 0 0 0 0 H : R e t u rn s C P U I D s H ig h e st Va lu e fo r E x t e n d e d P ro ce sso r I n fo rm a t io n
When CPUID executes with EAX set to 80000000H, the processor returns the highest value the processor recog-
nizes for returning extended processor information. The value is returned in the EAX register (see Table 3-18) and
is processor specific.
80000008H EAX Linear/Physical Address size
Bits 07-00: #Physical Address Bits*
Bits 15-8: #Linear Address Bits
Bits 31-16: Reserved =0
EBX
ECX
EDX
Reserved =0
Reserved =0
Reserved =0
N O T E S :
* If CPUID.80000008H:EAX[7:0] is supported, the maximum physical address number supported should
come from this field.
Ta b le 3 -1 8 . H ig h e st C P U I D S o u rce O p e ra n d fo r I n t e l 6 4 a n d I A-3 2 P ro ce sso rs
I n t e l 6 4 o r I A-3 2 P ro ce sso rs
H ig h e st Va lu e in E AX
B a sic I n fo rm a t io n E x t e n d e d F u n ct io n I n fo rm a t io n
Earlier Intel486 Processors CPUID Not Implemented CPUID Not Implemented
Later Intel486 Processors and Pentium Processors 01H Not Implemented
Pentium Pro and Pentium II Processors, Intel

Celeron

Processors
02H Not Implemented
Pentium III Processors 03H Not Implemented
Pentium 4 Processors 02H 80000004H
Intel Xeon Processors 02H 80000004H
Pentium M Processor 02H 80000004H
Pentium 4 Processor supporting Hyper-Threading
Technology
05H 80000008H
Pentium D Processor (8xx) 05H 80000008H
Pentium D Processor (9xx) 06H 80000008H
Intel Core Duo Processor 0AH 80000008H
Intel Core 2 Duo Processor 0AH 80000008H
Ta b le 3 -1 7. I n fo rm a t io n R e t u rn e d b y C P U I D I n st ru ct io n (C o n t d .)
I n it ia l E AX
Va lu e I n fo rm a t io n P ro v id e d a b o u t t h e P ro ce sso r
CPUIDCPU Identification
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-165
I A3 2 _B I O S _S I G N _I D R e t u rn s Micro co d e U p d a t e S ig n a t u re
For processors that support the microcode update facility, the IA32_BIOS_SIGN_ID MSR is loaded with the update
signature whenever CPUID executes. The signature is returned in the upper DWORD. For details, see Chapter 9 in
the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3A.
I N P U T E AX = 1 : R e t u rn s Mo d e l, F a m ily, S t e p p in g I n fo rm a t io n
When CPUID executes with EAX set to 1, version information is returned in EAX (see Figure 3-5). For example:
model, family, and processor type for the Intel Xeon processor 5100 series is as follows:
Model 1111B
Family 0101B
Processor Type 00B
See Table 3-19 for available processor type values. Stepping IDs are provided as needed.
Intel Xeon Processor 3000, 5100, 5200, 5300, 5400
Series
0AH 80000008H
Intel Core 2 Duo Processor 8000 Series 0DH 80000008H
Intel Xeon Processor 5200, 5400 Series 0AH 80000008H
Intel Atom Processor 0AH 80000008H
Intel Core i7 Processor 0BH 80000008H
F ig u re 3 -5 . Ve rsio n I n fo rm a t io n R e t u rn e d b y C P U I D in E AX
Ta b le 3 -1 8 . H ig h e st C P U I D S o u rce O p e ra n d fo r I n t e l 6 4 a n d I A-3 2 P ro ce sso rs (C o n t d .)
I n t e l 6 4 o r I A-3 2 P ro ce sso rs
H ig h e st Va lu e in E AX
B a sic I n fo rm a t io n E x t e n d e d Fu n ct io n I n fo rm a t io n
OM16525
Processor Type
0 3 4 7 8 11 12 13 14 15 16 19 20 27 28 31
EAX
Family (0FH for the Pentium 4 Processor Family)
Model
Extended
Family ID
Extended
Model ID
Family
ID
Model
Stepping
ID
Extended Family ID (0)
Extended Model ID (0)
Reserved
CPUIDCPU Identification
INSTRUCTION SET REFERENCE, A-M
3-166 Vol. 2A
NOTE
See Chapter 16 in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1,
for information on identifying earlier IA-32 processors.
The Extended Family ID needs to be examined only when the Family ID is 0FH. Integrate the fields into a display
using the following rule:
IF Family_ID 0FH
THEN DisplayFamily =Family_ID;
ELSE DisplayFamily =Extended_Family_ID +Family_ID;
(* Right justify and zero-extend 4-bit field. *)
FI;
(* Show DisplayFamily as HEX field. *)
The Extended Model ID needs to be examined only when the Family ID is 06H or 0FH. Integrate the field into a
display using the following rule:
IF (Family_ID =06H or Family_ID =0FH)
THEN DisplayModel =(Extended_Model_ID 4) +Model_ID;
(* Right justify and zero-extend 4-bit field; display Model_ID as HEX field.*)
ELSE DisplayModel =Model_ID;
FI;
(* Show DisplayModel as HEX field. *)
I N P U T E AX = 1 : R e t u rn s Ad d it io n a l I n fo rm a t io n in E B X
When CPUID executes with EAX set to 1, additional information is returned to the EBX register:
Brand index (low byte of EBX) this number provides an entry into a brand string table that contains brand
strings for IA-32 processors. More information about this field is provided later in this section.
CLFLUSH instruction cache line size (second byte of EBX) this number indicates the size of the cache line
flushed with CLFLUSH instruction in 8-byte increments. This field was introduced in the Pentium 4 processor.
Local APIC ID (high byte of EBX) this number is the 8-bit ID that is assigned to the local APIC on the
processor during power up. This field was introduced in the Pentium 4 processor.
I N P U T E AX = 1 : R e t u rn s Fe a t u re I n fo rm a t io n in E C X a n d E D X
When CPUID executes with EAX set to 1, feature information is returned in ECX and EDX.
Figure 3-6 and Table 3-20 show encodings for ECX.
Figure 3-7 and Table 3-21 show encodings for EDX.
For all feature flags, a 1 indicates that the feature is supported. Use Intel to properly interpret feature flags.
N O T E
Software must confirm that a processor feature is present using feature flags returned by CPUID
prior to using the feature. Software should not depend on future offerings retaining all features.
Ta b le 3 -1 9 . P ro ce sso r T y p e F ie ld
T y p e E n co d in g
Original OEM Processor 00B
Intel OverDrive

Processor 01B
Dual processor (not applicable to Intel486 processors) 10B
Intel reserved 11B
CPUIDCPU Identification
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-167
F ig u re 3 -6 . Fe a t u re I n fo rm a t io n R e t u rn e d in t h e E C X R e g ist e r
Ta b le 3 -2 0 . F e a t u re I n fo rm a t io n R e t u rn e d in t h e E C X R e g ist e r
B it # Mn e m o n ic D e scrip t io n
0 SSE3 S t re a m in g S I MD E x t e n sio n s 3 (S S E 3 ). A value of 1 indicates the processor supports this
technology.
1 PCLMULQDQ P C LMU LQ D Q . A value of 1 indicates the processor supports the PCLMULQDQ instruction
2 DTES64 6 4 -b it D S Are a . A value of 1 indicates the processor supports DS area using 64-bit layout
3 MONITOR MO N I T O R /MWAI T . A value of 1 indicates the processor supports this feature.
4 DS-CPL C P L Q u a lif ie d D e b u g S t o re . A value of 1 indicates the processor supports the extensions to the
Debug Store feature to allow for branch message storage qualified by CPL.
5 VMX Virt u a l Ma ch in e E x t e n sio n s. A value of 1 indicates that the processor supports this technology
6 SMX S a fe r Mo d e E x t e n sio n s. A value of 1 indicates that the processor supports this technology. See
Chapter 5, Safer Mode Extensions Reference.
7 EIST E n h a n ce d I n t e l S p e e d S t e p t e ch n o lo g y . A value of 1 indicates that the processor supports this
technology.
8 TM2 T h e rm a l Mo n it o r 2 . A value of 1 indicates whether the processor supports this technology.
9 SSSE3 A value of 1 indicates the presence of the Supplemental Streaming SIMD Extensions 3 (SSSE3). A
value of 0 indicates the instruction extensions are not present in the processor
OM16524b
CNXT-ID L1 Context ID
0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
ECX
TM2 Thermal Monitor 2
EST Enhanced Intel SpeedStep Technology
DS-CPL CPL Qualified Debug Store
MONITOR MONITOR/MWAIT
PCLMULQDQ Carryless Multiplication
Reserved
CMPXCHG16B
SMX Safer Mode Extensions
xTPR Update Control
SSSE3 SSSE3 Extensions
PDCM Perf/Debug Capability MSR
VMX Virtual Machine Extensions
SSE4_1 SSE4.1
OSXSAVE
SSE4_2 SSE4.2
DCA Direct Cache Access
x2APIC
POPCNT
XSAVE
AVX
AES
FMA Fused Multiply Add
SSE3 SSE3 Extensions
PCID Process-context Identifiers
0
DTES64 64-bit DS Area
MOVBE
TSC-Deadline
F16C
RDRAND
CPUIDCPU Identification
INSTRUCTION SET REFERENCE, A-M
3-168 Vol. 2A
10 CNXT-ID L1 C o n t e x t I D . A value of 1 indicates the L1 data cache mode can be set to either adaptive mode
or shared mode. A value of 0 indicates this feature is not supported. See definition of the
IA32_MISC_ENABLE MSR Bit 24 (L1 Data Cache Context Mode) for details.
11 Reserved Reserved
12 FMA A value of 1 indicates the processor supports FMA extensions using YMM state.
13 CMPXCHG16B C MP XC H G 1 6 B Av a ila b le . A value of 1 indicates that the feature is available. See the
CMPXCHG8B/CMPXCHG16BCompare and Exchange Bytes section in this chapter for a
description.
14 xTPR Update
Control
x T P R U p d a t e C o n t ro l. A value of 1 indicates that the processor supports changing
IA32_MISC_ENABLE[bit 23].
15 PDCM P e rfm o n a n d D e b u g C a p a b ilit y : A value of 1 indicates the processor supports the performance
and debug feature indication MSR IA32_PERF_CAPABILITIES.
16 Reserved Reserved
17 PCID P ro ce ss-co n t e x t id e n t ifie rs. A value of 1 indicates that the processor supports PCIDs and that
software may set CR4.PCIDE to 1.
18 DCA A value of 1 indicates the processor supports the ability to prefetch data from a memory mapped
device.
19 SSE4.1 A value of 1 indicates that the processor supports SSE4.1.
20 SSE4.2 A value of 1 indicates that the processor supports SSE4.2.
21 x2APIC A value of 1 indicates that the processor supports x2APIC feature.
22 MOVBE A value of 1 indicates that the processor supports MOVBE instruction.
23 POPCNT A value of 1 indicates that the processor supports the POPCNT instruction.
24 TSC-Deadline A value of 1 indicates that the processors local APIC timer supports one-shot operation using a
TSC deadline value.
25 AESNI A value of 1 indicates that the processor supports the AESNI instruction extensions.
26 XSAVE A value of 1 indicates that the processor supports the XSAVE/XRSTOR processor extended states
feature, the XSETBV/XGETBV instructions, and XCR0.
27 OSXSAVE A value of 1 indicates that the OS has enabled XSETBV/XGETBV instructions to access XCR0, and
support for processor extended state management using XSAVE/XRSTOR.
28 AVX A value of 1 indicates the processor supports the AVX instruction extensions.
29 F16C A value of 1 indicates that processor supports 16-bit floating-point conversion instructions.
30 RDRAND A value of 1 indicates that processor supports RDRAND instruction.
31 Not Used Always returns 0.
Ta b le 3 -2 0 . F e a t u re I n fo rm a t io n R e t u rn e d in t h e E C X R e g ist e r (C o n t d .)
B it # Mn e m o n ic D e scrip t io n
CPUIDCPU Identification
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-169

F ig u re 3 -7. Fe a t u re I n fo rm a t io n R e t u rn e d in t h e E D X R e g ist e r
OM16523
PBEPend. Brk. EN.
0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
EDX
TMTherm. Monitor
HTTMulti-threading
SSSelf Snoop
SSE2SSE2 Extensions
SSESSE Extensions
FXSRFXSAVE/FXRSTOR
MMXMMX Technology
ACPThermal Monitor and Clock Ctrl
DSDebug Store
CLFSHCFLUSH instruction
PSNProcessor Serial Number
PSE-36 Page Size Extension
PATPage Attribute Table
CMOVConditional Move/Compare nstruction
MCAMachine Check Architecture
PGEPTE Global Bit
MTRRMemory Type Range Registers
SEPSYSENTER and SYSEXT
APCAPC on Chip
CX8CMPXCHG8B nst.
MCEMachine Check Exception
PAEPhysical Address Extensions
MSRRDMSR and WRMSR Support
TSCTime Stamp Counter
PSEPage Size Extensions
DEDebugging Extensions
VMEVirtual-8086 Mode Enhancement
FPUx87 FPU on Chip
Reserved
CPUIDCPU Identification
INSTRUCTION SET REFERENCE, A-M
3-170 Vol. 2A
Ta b le 3 -2 1 . Mo re o n Fe a t u re I n fo rm a t io n R e t u rn e d in t h e E D X R e g ist e r
B it # Mn e m o n ic D e scrip t io n
0 FPU F lo a t in g P o in t U n it O n -C h ip . The processor contains an x87 FPU.
1 VME V irt u a l 8 0 8 6 Mo d e E n h a n ce m e n t s. Virtual 8086 mode enhancements, including CR4.VME for controlling the
feature, CR4.PVI for protected mode virtual interrupts, software interrupt indirection, expansion of the TSS
with the software indirection bitmap, and EFLAGS.VIF and EFLAGS.VIP flags.
2 DE D e b u g g in g E x t e n sio n s. Support for I/O breakpoints, including CR4.DE for controlling the feature, and optional
trapping of accesses to DR4 and DR5.
3 PSE P a g e S ize E x t e n sio n . Large pages of size 4 MByte are supported, including CR4.PSE for controlling the
feature, the defined dirty bit in PDE (Page Directory Entries), optional reserved bit trapping in CR3, PDEs, and
PTEs.
4 TSC T im e S t a m p C o u n t e r. The RDTSC instruction is supported, including CR4.TSD for controlling privilege.
5 MSR Mo d e l S p e cific R e g ist e rs R D MS R a n d WR MS R I n st ru ct io n s. The RDMSR and WRMSR instructions are
supported. Some of the MSRs are implementation dependent.
6 PAE P h y sica l Ad d re ss E x t e n sio n . Physical addresses greater than 32 bits are supported: extended page table
entry formats, an extra level in the page translation tables is defined, 2-MByte pages are supported instead of
4 Mbyte pages if PAE bit is 1.
7 MCE Ma ch in e C h e ck E x ce p t io n . Exception 18 is defined for Machine Checks, including CR4.MCE for controlling the
feature. This feature does not define the model-specific implementations of machine-check error logging,
reporting, and processor shutdowns. Machine Check exception handlers may have to depend on processor
version to do model specific processing of the exception, or test for the presence of the Machine Check feature.
8 CX8 C MP XC H G 8 B I n st ru ct io n . The compare-and-exchange 8 bytes (64 bits) instruction is supported (implicitly
locked and atomic).
9 APIC AP I C O n -C h ip . The processor contains an Advanced Programmable Interrupt Controller (APIC), responding to
memory mapped commands in the physical address range FFFE0000H to FFFE0FFFH (by default - some
processors permit the APIC to be relocated).
10 Reserved Reserved
11 SEP S YS E N T E R a n d S YS E XI T I n st ru ct io n s. The SYSENTER and SYSEXIT and associated MSRs are supported.
12 MTRR Me m o ry T y p e R a n g e R e g ist e rs. MTRRs are supported. The MTRRcap MSR contains feature bits that describe
what memory types are supported, how many variable MTRRs are supported, and whether fixed MTRRs are
supported.
13 PGE P a g e G lo b a l B it . The global bit is supported in paging-structure entries that map a page, indicating TLB entries
that are common to different processes and need not be flushed. The CR4.PGE bit controls this feature.
14 MCA Ma ch in e C h e ck Arch it e ct u re . The Machine Check Architecture, which provides a compatible mechanism for
error reporting in P6 family, Pentium 4, Intel Xeon processors, and future processors, is supported. The
MCG_CAP MSR contains feature bits describing how many banks of error reporting MSRs are supported.
15 CMOV C o n d it io n a l Mo v e I n st ru ct io n s. The conditional move instruction CMOV is supported. In addition, if x87 FPU is
present as indicated by the CPUID.FPU feature bit, then the FCOMI and FCMOV instructions are supported
16 PAT P a g e At t rib u t e T a b le . Page Attribute Table is supported. This feature augments the Memory Type Range
Registers (MTRRs), allowing an operating systemto specify attributes of memory accessed through a linear
address on a 4KB granularity.
17 PSE-36 3 6 -B it P a g e S ize E x t e n sio n . 4-MByte pages addressing physical memory beyond 4 GBytes are supported with
32-bit paging. This feature indicates that upper bits of the physical address of a 4-MByte page are encoded in
bits 20:13 of the page-directory entry. Such physical addresses are limited by MAXPHYADDR and may be up to
40 bits in size.
18 PSN P ro ce sso r S e ria l N u m b e r. The processor supports the 96-bit processor identification number feature and the
feature is enabled.
19 CLFSH C LF LU S H I n st ru ct io n . CLFLUSH Instruction is supported.
20 Reserved Reserved
CPUIDCPU Identification
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-171
I N P U T E AX = 2 : T LB /C a ch e /P re fe t ch I n fo rm a t io n R e t u rn e d in E AX, E B X, E C X, E D X
When CPUID executes with EAX set to 2, the processor returns information about the processors internal TLBs,
cache and prefetch hardware in the EAX, EBX, ECX, and EDX registers. The information is reported in encoded form
and fall into the following categories:
The least-significant byte in register EAX (register AL) indicates the number of times the CPUID instruction
must be executed with an input value of 2 to get a complete description of the processors TLB/Cache/Prefetch
hardware. The Intel Xeon processor 7400 series will return a 1.
The most significant bit (bit 31) of each register indicates whether the register contains valid information (set
to 0) or is reserved (set to 1).
If a register contains valid information, the information is contained in 1 byte descriptors. There are four types
of encoding values for the byte descriptor, the encoding type is noted in the second column of Table 3-22. Table
3-22 lists the encoding of these descriptors. Note that the order of descriptors in the EAX, EBX, ECX, and EDX
registers is not defined; that is, specific bytes are not designated to contain descriptors for specific cache,
prefetch, or TLB types. The descriptors may appear in any order. Note also a processor may report a general
descriptor type (FFH) and not report any byte descriptor of cache type via CPUID leaf 2.
21 DS D e b u g S t o re . The processor supports the ability to write debug information into a memory resident buffer.
This feature is used by the branch trace store (BTS) and precise event-based sampling (PEBS) facilities (see
Chapter 23, Introduction to Virtual-Machine Extensions, in the Intel64 and IA-32 Architectures Software
Developers Manual, Volume 3C).
22 ACPI T h e rm a l Mo n it o r a n d S o ft w a re C o n t ro lle d C lo ck F a cilit ie s. The processor implements internal MSRs that
allow processor temperature to be monitored and processor performance to be modulated in predefined duty
cycles under software control.
23 MMX I n t e l MMX T e ch n o lo g y . The processor supports the Intel MMX technology.
24 FXSR F XS AV E a n d F XR S T O R I n st ru ct io n s. The FXSAVE and FXRSTOR instructions are supported for fast save and
restore of the floating point context. Presence of this bit also indicates that CR4.OSFXSR is available for an
operating system to indicate that it supports the FXSAVE and FXRSTOR instructions.
25 SSE S S E . The processor supports the SSE extensions.
26 SSE2 S S E 2 . The processor supports the SSE2 extensions.
27 SS S e lf S n o o p . The processor supports the management of conflicting memory types by performing a snoop of its
own cache structure for transactions issued to the bus.
28 HTT Ma x AP I C I D s re se rv e d fie ld is Va lid . A value of 0 for HTT indicates there is only a single logical processor in
the package and software should assume only a single APIC ID is reserved. A value of 1 for HTT indicates the
value in CPUID.1.EBX[23:16] (the Maximumnumber of addressable IDs for logical processors in this package) is
valid for the package.
29 TM T h e rm a l Mo n it o r. The processor implements the thermal monitor automatic thermal control circuitry (TCC).
30 Reserved Reserved
31 PBE P e n d in g B re a k E n a b le . The processor supports the use of the FERR#/PBE# pin when the processor is in the
stop-clock state (STPCLK# is asserted) to signal the processor that an interrupt is pending and that the
processor should return to normal operation to handle the interrupt. Bit 10 (PBE enable) in the
IA32_MISC_ENABLE MSR enables this capability.
Ta b le 3 -2 1 . Mo re o n Fe a t u re I n fo rm a t io n R e t u rn e d in t h e E D X R e g ist e r (C o n t d .)
B it # Mn e m o n ic D e scrip t io n
CPUIDCPU Identification
INSTRUCTION SET REFERENCE, A-M
3-172 Vol. 2A
Ta b le 3 -2 2 . E n co d in g o f C P U I D Le a f 2 D e scrip t o rs
Va lu e T y p e D e scrip t io n
00H General Null descriptor, this byte contains no information
01H TLB Instruction TLB: 4 KByte pages, 4-way set associative, 32 entries
02H TLB Instruction TLB: 4 MByte pages, fully associative, 2 entries
03H TLB Data TLB: 4 KByte pages, 4-way set associative, 64 entries
04H TLB Data TLB: 4 MByte pages, 4-way set associative, 8 entries
05H TLB Data TLB1: 4 MByte pages, 4-way set associative, 32 entries
06H Cache 1st-level instruction cache: 8 KBytes, 4-way set associative, 32 byte line size
08H Cache 1st-level instruction cache: 16 KBytes, 4-way set associative, 32 byte line size
09H Cache 1st-level instruction cache: 32KBytes, 4-way set associative, 64 byte line size
0AH Cache 1st-level data cache: 8 KBytes, 2-way set associative, 32 byte line size
0BH TLB Instruction TLB: 4 MByte pages, 4-way set associative, 4 entries
0CH Cache 1st-level data cache: 16 KBytes, 4-way set associative, 32 byte line size
0DH Cache 1st-level data cache: 16 KBytes, 4-way set associative, 64 byte line size
0EH Cache 1st-level data cache: 24 KBytes, 6-way set associative, 64 byte line size
21H Cache 2nd-level cache: 256 KBytes, 8-way set associative, 64 byte line size
22H Cache 3rd-level cache: 512 KBytes, 4-way set associative, 64 byte line size, 2 lines per sector
23H Cache 3rd-level cache: 1 MBytes, 8-way set associative, 64 byte line size, 2 lines per sector
25H Cache 3rd-level cache: 2 MBytes, 8-way set associative, 64 byte line size, 2 lines per sector
29H Cache 3rd-level cache: 4 MBytes, 8-way set associative, 64 byte line size, 2 lines per sector
2CH Cache 1st-level data cache: 32 KBytes, 8-way set associative, 64 byte line size
30H Cache 1st-level instruction cache: 32 KBytes, 8-way set associative, 64 byte line size
40H Cache No 2nd-level cache or, if processor contains a valid 2nd-level cache, no 3rd-level cache
41H Cache 2nd-level cache: 128 KBytes, 4-way set associative, 32 byte line size
42H Cache 2nd-level cache: 256 KBytes, 4-way set associative, 32 byte line size
43H Cache 2nd-level cache: 512 KBytes, 4-way set associative, 32 byte line size
44H Cache 2nd-level cache: 1 MByte, 4-way set associative, 32 byte line size
45H Cache 2nd-level cache: 2 MByte, 4-way set associative, 32 byte line size
46H Cache 3rd-level cache: 4 MByte, 4-way set associative, 64 byte line size
47H Cache 3rd-level cache: 8 MByte, 8-way set associative, 64 byte line size
48H Cache 2nd-level cache: 3MByte, 12-way set associative, 64 byte line size
49H Cache 3rd-level cache: 4MB, 16-way set associative, 64-byte line size (Intel Xeon processor MP, Family 0FH, Model
06H);
2nd-level cache: 4 MByte, 16-way set associative, 64 byte line size
4AH Cache 3rd-level cache: 6MByte, 12-way set associative, 64 byte line size
4BH Cache 3rd-level cache: 8MByte, 16-way set associative, 64 byte line size
4CH Cache 3rd-level cache: 12MByte, 12-way set associative, 64 byte line size
4DH Cache 3rd-level cache: 16MByte, 16-way set associative, 64 byte line size
4EH Cache 2nd-level cache: 6MByte, 24-way set associative, 64 byte line size
4FH TLB Instruction TLB: 4 KByte pages, 32 entries
50H TLB Instruction TLB: 4 KByte and 2-MByte or 4-MByte pages, 64 entries
51H TLB Instruction TLB: 4 KByte and 2-MByte or 4-MByte pages, 128 entries
CPUIDCPU Identification
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-173
52H TLB Instruction TLB: 4 KByte and 2-MByte or 4-MByte pages, 256 entries
55H TLB Instruction TLB: 2-MByte or 4-MByte pages, fully associative, 7 entries
56H TLB Data TLB0: 4 MByte pages, 4-way set associative, 16 entries
57H TLB Data TLB0: 4 KByte pages, 4-way associative, 16 entries
59H TLB Data TLB0: 4 KByte pages, fully associative, 16 entries
5AH TLB Data TLB0: 2-MByte or 4 MByte pages, 4-way set associative, 32 entries
5BH TLB Data TLB: 4 KByte and 4 MByte pages, 64 entries
5CH TLB Data TLB: 4 KByte and 4 MByte pages,128 entries
5DH TLB Data TLB: 4 KByte and 4 MByte pages,256 entries
60H Cache 1st-level data cache: 16 KByte, 8-way set associative, 64 byte line size
63H TLB Data TLB: 1 GByte pages, 4-way set associative, 4 entries
66H Cache 1st-level data cache: 8 KByte, 4-way set associative, 64 byte line size
67H Cache 1st-level data cache: 16 KByte, 4-way set associative, 64 byte line size
68H Cache 1st-level data cache: 32 KByte, 4-way set associative, 64 byte line size
70H Cache Trace cache: 12 K-op, 8-way set associative
71H Cache Trace cache: 16 K-op, 8-way set associative
72H Cache Trace cache: 32 K-op, 8-way set associative
76H TLB Instruction TLB: 2M/4M pages, fully associative, 8 entries
78H Cache 2nd-level cache: 1 MByte, 4-way set associative, 64byte line size
79H Cache 2nd-level cache: 128 KByte, 8-way set associative, 64 byte line size, 2 lines per sector
7AH Cache 2nd-level cache: 256 KByte, 8-way set associative, 64 byte line size, 2 lines per sector
7BH Cache 2nd-level cache: 512 KByte, 8-way set associative, 64 byte line size, 2 lines per sector
7CH Cache 2nd-level cache: 1 MByte, 8-way set associative, 64 byte line size, 2 lines per sector
7DH Cache 2nd-level cache: 2 MByte, 8-way set associative, 64byte line size
7FH Cache 2nd-level cache: 512 KByte, 2-way set associative, 64-byte line size
80H Cache 2nd-level cache: 512 KByte, 8-way set associative, 64-byte line size
82H Cache 2nd-level cache: 256 KByte, 8-way set associative, 32 byte line size
83H Cache 2nd-level cache: 512 KByte, 8-way set associative, 32 byte line size
84H Cache 2nd-level cache: 1 MByte, 8-way set associative, 32 byte line size
85H Cache 2nd-level cache: 2 MByte, 8-way set associative, 32 byte line size
86H Cache 2nd-level cache: 512 KByte, 4-way set associative, 64 byte line size
87H Cache 2nd-level cache: 1 MByte, 8-way set associative, 64 byte line size
B0H TLB Instruction TLB: 4 KByte pages, 4-way set associative, 128 entries
B1H TLB Instruction TLB: 2M pages, 4-way, 8 entries or 4M pages, 4-way, 4 entries
B2H TLB Instruction TLB: 4KByte pages, 4-way set associative, 64 entries
B3H TLB Data TLB: 4 KByte pages, 4-way set associative, 128 entries
B4H TLB Data TLB1: 4 KByte pages, 4-way associative, 256 entries
BAH TLB Data TLB1: 4 KByte pages, 4-way associative, 64 entries
C0H TLB Data TLB: 4 KByte and 4 MByte pages, 4-way associative, 8 entries
CAH STLB Shared 2nd-Level TLB: 4 KByte pages, 4-way associative, 512 entries
D0H Cache 3rd-level cache: 512 KByte, 4-way set associative, 64 byte line size
Ta b le 3 -2 2 . E n co d in g o f C P U I D Le a f 2 D e scrip t o rs (C o n t d .)
Va lu e T y p e D e scrip t io n
CPUIDCPU Identification
INSTRUCTION SET REFERENCE, A-M
3-174 Vol. 2A
E x a m p le 3 -1 . E x a m p le o f C a ch e a n d T LB I n t e rp re t a t io n
The first member of the family of Pentium 4 processors returns the following information about caches and TLBs
when the CPUID executes with an input value of 2:
EAX 66 5B 50 01H
EBX 0H
ECX 0H
EDX 00 7A 70 00H
Which means:
The least-significant byte (byte 0) of register EAX is set to 01H. This indicates that CPUID needs to be executed
once with an input value of 2 to retrieve complete information about caches and TLBs.
The most-significant bit of all four registers (EAX, EBX, ECX, and EDX) is set to 0, indicating that each register
contains valid 1-byte descriptors.
Bytes 1, 2, and 3 of register EAX indicate that the processor has:
50H - a 64-entry instruction TLB, for mapping 4-KByte and 2-MByte or 4-MByte pages.
5BH - a 64-entry data TLB, for mapping 4-KByte and 4-MByte pages.
66H - an 8-KByte 1st level data cache, 4-way set associative, with a 64-Byte cache line size.
The descriptors in registers EBX and ECX are valid, but contain NULL descriptors.
Bytes 0, 1, 2, and 3 of register EDX indicate that the processor has:
00H - NULL descriptor.
70H - Trace cache: 12 K-op, 8-way set associative.
7AH - a 256-KByte 2nd level cache, 8-way set associative, with a sectored, 64-byte cache line size.
00H - NULL descriptor.
D1H Cache 3rd-level cache: 1 MByte, 4-way set associative, 64 byte line size
D2H Cache 3rd-level cache: 2 MByte, 4-way set associative, 64 byte line size
D6H Cache 3rd-level cache: 1 MByte, 8-way set associative, 64 byte line size
D7H Cache 3rd-level cache: 2 MByte, 8-way set associative, 64 byte line size
D8H Cache 3rd-level cache: 4 MByte, 8-way set associative, 64 byte line size
DCH Cache 3rd-level cache: 1.5 MByte, 12-way set associative, 64 byte line size
DDH Cache 3rd-level cache: 3 MByte, 12-way set associative, 64 byte line size
DEH Cache 3rd-level cache: 6 MByte, 12-way set associative, 64 byte line size
E2H Cache 3rd-level cache: 2 MByte, 16-way set associative, 64 byte line size
E3H Cache 3rd-level cache: 4 MByte, 16-way set associative, 64 byte line size
E4H Cache 3rd-level cache: 8 MByte, 16-way set associative, 64 byte line size
EAH Cache 3rd-level cache: 12MByte, 24-way set associative, 64 byte line size
EBH Cache 3rd-level cache: 18MByte, 24-way set associative, 64 byte line size
ECH Cache 3rd-level cache: 24MByte, 24-way set associative, 64 byte line size
F0H Prefetch 64-Byte prefetching
F1H Prefetch 128-Byte prefetching
FFH General CPUID leaf 2 does not report cache descriptor information, use CPUID leaf 4 to query cache parameters
Ta b le 3 -2 2 . E n co d in g o f C P U I D Le a f 2 D e scrip t o rs (C o n t d .)
Va lu e T y p e D e scrip t io n
CPUIDCPU Identification
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-175
I N P U T E AX = 0 4 H : R e t u rn s D e t e rm in ist ic C a ch e P a ra m e t e rs fo r E a ch Le v e l
When CPUID executes with EAX set to 04H and ECX contains an index value, the processor returns encoded data
that describe a set of deterministic cache parameters (for the cache level associated with the input in ECX). Valid
index values start from 0.
Software can enumerate the deterministic cache parameters for each level of the cache hierarchy starting with an
index value of 0, until the parameters report the value associated with the cache type field is 0. The architecturally
defined fields reported by deterministic cache parameters are documented in Table 3-17.
This Cache Size in Bytes
= (Ways + 1) * (Partitions + 1) * (Line_Size + 1) * (Sets + 1)
= (EBX[31:22] + 1) * (EBX[21:12] + 1) * (EBX[11:0] + 1) * (ECX + 1)
The CPUID leaf 04H also reports data that can be used to derive the topology of processor cores in a physical
package. This information is constant for all valid index values. Software can query the raw data reported by
executing CPUID with EAX=04H and ECX=0 and use it as part of the topology enumeration algorithm described in
Chapter 8, Multiple-Processor Management, in the Intel 64 and IA-32 Architectures Software Developers
Manual, Volume 3A.
I N P U T E AX = 0 5 H : R e t u rn s MO N I T O R a n d MWAI T F e a t u re s
When CPUID executes with EAX set to 05H, the processor returns information about features available to
MONITOR/MWAIT instructions. The MONITOR instruction is used for address-range monitoring in conjunction with
MWAIT instruction. The MWAIT instruction optionally provides additional extensions for advanced power manage-
ment. See Table 3-17.
I N P U T E AX = 0 6 H : R e t u rn s T h e rm a l a n d P o w e r Ma n a g e m e n t Fe a t u re s
When CPUID executes with EAX set to 06H, the processor returns information about thermal and power manage-
ment features. See Table 3-17.
I N P U T E AX = 0 7 H : R e t u rn s S t ru ct u re d E x t e n d e d F e a t u re E n u m e ra t io n I n fo rm a t io n
When CPUID executes with EAX set to 07H and ECX = 0, the processor returns information about the maximum
input value for sub-leaves that contain extended feature flags. See Table 3-17.
When CPUID executes with EAX set to 07H and the input value of ECX is invalid (see leaf 07H entry in Table
3-17), the processor returns 0 in EAX/EBX/ECX/EDX. In subleaf 0, EAX returns the maximum input value of the
highest leaf 7 sub-leaf, and EBX, ECX & EDX contain information of extended feature flags.
I N P U T E AX = 0 9 H : R e t u rn s D ire ct C a ch e Acce ss I n fo rm a t io n
When CPUID executes with EAX set to 09H, the processor returns information about Direct Cache Access capabili-
ties. See Table 3-17.
I N P U T E AX = 0 AH : R e t u rn s Arch it e ct u ra l P e rfo rm a n ce Mo n it o rin g Fe a t u re s
When CPUID executes with EAX set to 0AH, the processor returns information about support for architectural
performance monitoring capabilities. Architectural performance monitoring is supported if the version ID (see
Table 3-17) is greater than Pn 0. See Table 3-17.
For each version of architectural performance monitoring capability, software must enumerate this leaf to discover
the programming facilities and the architectural performance events available in the processor. The details are
described in Chapter 23, Introduction to Virtual-Machine Extensions, in the Intel 64 and IA-32 Architectures
Software Developers Manual, Volume 3C.
CPUIDCPU Identification
INSTRUCTION SET REFERENCE, A-M
3-176 Vol. 2A
I N P U T E AX = 0 B H : R e t u rn s E x t e n d e d To p o lo g y I n fo rm a t io n
When CPUID executes with EAX set to 0BH, the processor returns information about extended topology enumera-
tion data. Software must detect the presence of CPUID leaf 0BH by verifying (a) the highest leaf index supported
by CPUID is >= 0BH, and (b) CPUID.0BH:EBX[15:0] reports a non-zero value. See Table 3-17.
I N P U T E AX = 0 D H : R e t u rn s P ro ce sso r E x t e n d e d S t a t e s E n u m e ra t io n I n fo rm a t io n
When CPUID executes with EAX set to 0DH and ECX = 0, the processor returns information about the bit-vector
representation of all processor state extensions that are supported in the processor and storage size requirements
of the XSAVE/XRSTOR area. See Table 3-17.
When CPUID executes with EAX set to 0DH and ECX = n (n > 1, and is a valid sub-leaf index), the processor returns
information about the size and offset of each processor extended state save area within the XSAVE/XRSTOR area.
See Table 3-17. Software can use the forward-extendable technique depicted below to query the valid sub-leaves
and obtain size and offset information for each processor extended state save area:
For i =2 to 62 // sub-leaf 1 is reserved
IF (CPUID.(EAX=0DH, ECX=0):VECTOR[i] =1 ) // VECTOR is the 64-bit value of EDX:EAX
Execute CPUID.(EAX=0DH, ECX =i) to examine size and offset for sub-leaf i;
FI;
I N P U T E AX = 0 F H : R e t u rn s Q u a lit y o f S e rv ice (Q o S ) E n u m e ra t io n I n fo rm a t io n
When CPUID executes with EAX set to 0FH and ECX = 0, the processor returns information about the bit-vector
representation of QoS resource type that are supported in the processor and maximum range of RMID values the
processor can use to monitor of any supported resource types. Each bit, starting from bit 1, corresponds to a
specific resource type if the bit is set. The bit position corresponds to the sub-leaf index that software must use to
query monitoring capability available for that type. See Table 3-17.
When CPUID executes with EAX set to 0FH and ECX = n (n >= 1, and is a valid sub-leaf index), the processor
returns information software can use to program IA32_PQR_ASSOC, IA32_QM_EVTSEL MSRs before reading QoS
data from the IA32_QM_CTR MSR.
ME T H O D S F O R R E T U R N I N G B R AN D I N G I N F O R MAT I O N
Use the following techniques to access branding information:
1. Processor brand string method; this method also returns the processors maximum operating frequency
2. Processor brand index; this method uses a software supplied brand string table.
These two methods are discussed in the following sections. For methods that are available in early processors, see
Section: Identification of Earlier IA-32 Processors in Chapter 16 of the Intel 64 and IA-32 Architectures Soft-
ware Developers Manual, Volume 1.
T h e P ro ce sso r B ra n d S t rin g Me t h o d
Figure 3-8 describes the algorithm used for detection of the brand string. Processor brand identification software
should execute this algorithm on all Intel 64 and IA-32 processors.
This method (introduced with Pentium 4 processors) returns an ASCII brand identification string and the maximum
operating frequency of the processor to the EAX, EBX, ECX, and EDX registers.
CPUIDCPU Identification
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-177
H o w B ra n d S t rin g s Wo rk
To use the brand string method, execute CPUID with EAX input of 8000002H through 80000004H. For each input
value, CPUID returns 16 ASCII characters using EAX, EBX, ECX, and EDX. The returned string will be NULL-termi-
nated.
Table 3-23 shows the brand string that is returned by the first processor in the Pentium 4 processor family.
F ig u re 3 -8 . D e t e rm in a t io n o f S u p p o rt fo r t h e P ro ce sso r B ra n d S t rin g
Ta b le 3 -2 3 . P ro ce sso r B ra n d S t rin g R e t u rn e d w it h P e n t iu m 4 P ro ce sso r
E AX I n p u t Va lu e R e t u rn Va lu e s AS C I I E q u iv a le n t
80000002H EAX = 20202020H
EBX = 20202020H
ECX = 20202020H
EDX = 6E492020H



nI
80000003H EAX = 286C6574H
EBX = 50202952H
ECX = 69746E65H
EDX = 52286D75H
(let
P )R
itne
R(mu
OM15194
IF (EAX & 0x80000000)
CPUID
IF (EAX Return Value
0x80000004)
CPUID
Function
Supported
True
Extended
EAX Return Value =
Max. Extended CPUID
Function Index
Input: EAX=
0x80000000
Processor Brand
String Not
Supported
False
Processor Brand
String Supported
True
CPUIDCPU Identification
INSTRUCTION SET REFERENCE, A-M
3-178 Vol. 2A
E x t ra ct in g t h e Ma x im u m P ro ce sso r F re q u e n cy f ro m B ra n d S t rin g s
Figure 3-9 provides an algorithm which software can use to extract the maximum processor operating frequency
from the processor brand string.
N O T E
When a frequency is given in a brand string, it is the maximum qualified frequency of the processor,
not the frequency at which the processor is currently running.
T h e P ro ce sso r B ra n d I n d e x Me t h o d
The brand index method (introduced with Pentium

III Xeon

processors) provides an entry point into a brand


identification table that is maintained in memory by system software and is accessible from system- and user-level
80000004H EAX = 20342029H
EBX = 20555043H
ECX = 30303531H
EDX = 007A484DH
4 )
UPC
0051
\0zHM
F ig u re 3 -9 . Alg o rit h m fo r E x t ra ct in g Ma x im u m P ro ce sso r F re q u e n cy
Ta b le 3 -2 3 . P ro ce sso r B ra n d S t rin g R e t u rn e d w it h P e n t iu m 4 P ro ce sso r (C o n t d .)
CPUIDCPU Identification
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-179
code. In this table, each brand index is associate with an ASCII brand identification string that identifies the official
Intel family and model number of a processor.
When CPUID executes with EAX set to 1, the processor returns a brand index to the low byte in EBX. Software can
then use this index to locate the brand identification string for the processor in the brand identification table. The
first entry (brand index 0) in this table is reserved, allowing for backward compatibility with processors that do not
support the brand identification feature. Starting with processor signature family ID = 0FH, model = 03H, brand
index method is no longer supported. Use brand string method instead.
Table 3-24 shows brand indices that have identification strings associated with them.
I A-3 2 Arch it e ct u re C o m p a t ib ilit y
CPUID is not supported in early models of the Intel486 processor or in any IA-32 processor earlier than the
Intel486 processor.
O p e ra t io n
IA32_BIOS_SIGN_ID MSR Update with installed microcode revision number;
CASE (EAX) OF
Ta b le 3 -2 4 . Ma p p in g o f B ra n d I n d ice s; a n d I n t e l 6 4 a n d I A-3 2 P ro ce sso r B ra n d S t rin g s
B ra n d I n d e x B ra n d S t rin g
00H This processor does not support the brand identification feature
01H Intel(R) Celeron(R) processor
1
02H Intel(R) Pentium(R) III processor
1
03H Intel(R) Pentium(R) III Xeon(R) processor; If processor signature =000006B1h, then Intel(R) Celeron(R)
processor
04H Intel(R) Pentium(R) III processor
06H Mobile Intel(R) Pentium(R) III processor-M
07H Mobile Intel(R) Celeron(R) processor
1
08H Intel(R) Pentium(R) 4 processor
09H Intel(R) Pentium(R) 4 processor
0AH Intel(R) Celeron(R) processor
1
0BH Intel(R) Xeon(R) processor; If processor signature =00000F13h, then Intel(R) Xeon(R) processor MP
0CH Intel(R) Xeon(R) processor MP
0EH Mobile Intel(R) Pentium(R) 4 processor-M; If processor signature =00000F13h, then Intel(R) Xeon(R) processor
0FH Mobile Intel(R) Celeron(R) processor
1
11H Mobile Genuine Intel(R) processor
12H Intel(R) Celeron(R) M processor
13H Mobile Intel(R) Celeron(R) processor
1
14H Intel(R) Celeron(R) processor
15H Mobile Genuine Intel(R) processor
16H Intel(R) Pentium(R) M processor
17H Mobile Intel(R) Celeron(R) processor
1
18H 0FFH RESERVED
NOTES:
1. Indicates versions of these processors that were introduced after the Pentium III
CPUIDCPU Identification
INSTRUCTION SET REFERENCE, A-M
3-180 Vol. 2A
EAX = 0:
EAX Highest basic function input value understood by CPUID;
EBX Vendor identification string;
EDX Vendor identification string;
ECX Vendor identification string;
BREAK;
EAX = 1H:
EAX[3:0] Stepping ID;
EAX[7:4] Model;
EAX[11:8] Family;
EAX[13:12] Processor type;
EAX[15:14] Reserved;
EAX[19:16] Extended Model;
EAX[27:20] Extended Family;
EAX[31:28] Reserved;
EBX[7:0] Brand Index; (* Reserved if the value is zero. *)
EBX[15:8] CLFLUSH Line Size;
EBX[16:23] Reserved; (* Number of threads enabled =2 if MT enable fuse set. *)
EBX[24:31] Initial APIC ID;
ECX Feature flags; (* See Figure 3-6. *)
EDX Feature flags; (* See Figure 3-7. *)
BREAK;
EAX = 2H:
EAX Cache and TLB information;
EBX Cache and TLB information;
ECX Cache and TLB information;
EDX Cache and TLB information;
BREAK;
EAX = 3H:
EAX Reserved;
EBX Reserved;
ECX ProcessorSerialNumber[31:0];
(* Pentium III processors only, otherwise reserved. *)
EDX ProcessorSerialNumber[63:32];
(* Pentium III processors only, otherwise reserved. *
BREAK
EAX = 4H:
EAX Deterministic Cache Parameters Leaf; (* See Table 3-17. *)
EBX Deterministic Cache Parameters Leaf;
ECX Deterministic Cache Parameters Leaf;
EDX Deterministic Cache Parameters Leaf;
BREAK;
EAX = 5H:
EAX MONITOR/MWAIT Leaf; (* See Table 3-17. *)
EBX MONITOR/MWAIT Leaf;
ECX MONITOR/MWAIT Leaf;
EDX MONITOR/MWAIT Leaf;
BREAK;
EAX = 6H:
EAX Thermal and Power Management Leaf; (* See Table 3-17. *)
EBX Thermal and Power Management Leaf;
ECX Thermal and Power Management Leaf;
EDX Thermal and Power Management Leaf;
BREAK;
CPUIDCPU Identification
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-181
EAX = 7H:
EAX Structured Extended Feature Flags Enumeration Leaf; (* See Table 3-17. *)
EBX Structured Extended Feature Flags Enumeration Leaf;
ECX Structured Extended Feature Flags Enumeration Leaf;
EDX Structured Extended Feature Flags Enumeration Leaf;
BREAK;
EAX = 8H:
EAX Reserved =0;
EBX Reserved =0;
ECX Reserved =0;
EDX Reserved =0;
BREAK;
EAX = 9H:
EAX Direct Cache Access Information Leaf; (* See Table 3-17. *)
EBX Direct Cache Access Information Leaf;
ECX Direct Cache Access Information Leaf;
EDX Direct Cache Access Information Leaf;
BREAK;
EAX = AH:
EAX Architectural Performance Monitoring Leaf; (* See Table 3-17. *)
EBX Architectural Performance Monitoring Leaf;
ECX Architectural Performance Monitoring Leaf;
EDX Architectural Performance Monitoring Leaf;
BREAK
EAX = BH:
EAX Extended Topology Enumeration Leaf; (* See Table 3-17. *)
EBX Extended Topology Enumeration Leaf;
ECX Extended Topology Enumeration Leaf;
EDX Extended Topology Enumeration Leaf;
BREAK;
EAX = CH:
EAX Reserved =0;
EBX Reserved =0;
ECX Reserved =0;
EDX Reserved =0;
BREAK;
EAX = DH:
EAX Processor Extended State Enumeration Leaf; (* See Table 3-17. *)
EBX Processor Extended State Enumeration Leaf;
ECX Processor Extended State Enumeration Leaf;
EDX Processor Extended State Enumeration Leaf;
BREAK;
EAX = EH:
EAX Reserved =0;
EBX Reserved =0;
ECX Reserved =0;
EDX Reserved =0;
BREAK;
EAX = FH:
EAX Quality of Service Enumeration Leaf; (* See Table 3-17. *)
EBX Quality of Service Enumeration Leaf;
ECX Quality of Service Enumeration Leaf;
EDX Quality of Service Enumeration Leaf;
BREAK;
CPUIDCPU Identification
INSTRUCTION SET REFERENCE, A-M
3-182 Vol. 2A
BREAK;
EAX = 80000000H:
EAX Highest extended function input value understood by CPUID;
EBX Reserved;
ECX Reserved;
EDX Reserved;
BREAK;
EAX = 80000001H:
EAX Reserved;
EBX Reserved;
ECX Extended Feature Bits (* See Table 3-17.*);
EDX Extended Feature Bits (* See Table 3-17. *);
BREAK;
EAX = 80000002H:
EAX Processor Brand String;
EBX Processor Brand String, continued;
ECX Processor Brand String, continued;
EDX Processor Brand String, continued;
BREAK;
EAX = 80000003H:
EAX Processor Brand String, continued;
EBX Processor Brand String, continued;
ECX Processor Brand String, continued;
EDX Processor Brand String, continued;
BREAK;
EAX = 80000004H:
EAX Processor Brand String, continued;
EBX Processor Brand String, continued;
ECX Processor Brand String, continued;
EDX Processor Brand String, continued;
BREAK;
EAX = 80000005H:
EAX Reserved =0;
EBX Reserved =0;
ECX Reserved =0;
EDX Reserved =0;
BREAK;
EAX = 80000006H:
EAX Reserved =0;
EBX Reserved =0;
ECX Cache information;
EDX Reserved =0;
BREAK;
EAX = 80000007H:
EAX Reserved =0;
EBX Reserved =0;
ECX Reserved =0;
EDX Reserved =Misc Feature Flags;
BREAK;
EAX = 80000008H:
EAX Reserved =Physical Address Size Information;
EBX Reserved =Virtual Address Size Information;
ECX Reserved =0;
EDX Reserved =0;
CPUIDCPU Identification
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-183
BREAK;
EAX >=40000000H and EAX <=4FFFFFFFH:
DEFAULT: (* EAX = Value outside of recognized range for CPUID. *)
(* If the highest basic information leaf data depend on ECX input value, ECX is honored.*)
EAX Reserved; (* Information returned for highest basic information leaf. *)
EBX Reserved; (* Information returned for highest basic information leaf. *)
ECX Reserved; (* Information returned for highest basic information leaf. *)
EDX Reserved; (* Information returned for highest basic information leaf. *)
BREAK;
ESAC;
F la g s Af fe ct e d
None.
E x ce p t io n s (All O p e ra t in g Mo d e s)
#UD If the LOCK prefix is used.
In earlier IA-32 processors that do not support the CPUID instruction, execution of the instruc-
tion results in an invalid opcode (#UD) exception being generated.
CRC32 Accumulate CRC32 Value
INSTRUCTION SET REFERENCE, A-M
3-184 Vol. 2A
C R C 3 2 Accu m u la t e C R C 3 2 Va lu e
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Starting with an initial value in the first operand (destination operand), accumulates a CRC32 (polynomial
0x11EDC6F41) value for the second operand (source operand) and stores the result in the destination operand.
The source operand can be a register or a memory location. The destination operand must be an r32 or r64 register.
If the destination is an r64 register, then the 32-bit result is stored in the least significant double word and
00000000H is stored in the most significant double word of the r64 register.
The initial value supplied in the destination operand is a double word integer stored in the r32 register or the least
significant double word of the r64 register. To incrementally accumulate a CRC32 value, software retains the result
of the previous CRC32 operation in the destination operand, then executes the CRC32 instruction again with new
input data in the source operand. Data contained in the source operand is processed in reflected bit order. This
means that the most significant bit of the source operand is treated as the least significant bit of the quotient, and
so on, for all the bits of the source operand. Likewise, the result of the CRC operation is stored in the destination
operand in reflected bit order. This means that the most significant bit of the resulting CRC (bit 31) is stored in the
least significant bit of the destination operand (bit 0), and so on, for all the bits of the CRC.
O p e ra t io n
N o t e s:
BIT_REFLECT64: DST[63-0] =SRC[0-63]
BIT_REFLECT32: DST[31-0] =SRC[0-31]
BIT_REFLECT16: DST[15-0] =SRC[0-15]
BIT_REFLECT8: DST[7-0] =SRC[0-7]
MOD2: Remainder from Polynomial division modulus 2
O p co d e /
I n st ru ct io n
O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
F2 0F 38 F0 /r
CRC32 r32, r/m8
RM Valid Valid Accumulate CRC32 on r/m8.
F2 REX 0F 38 F0 /r
CRC32 r32, r/m8*
RM Valid N.E. Accumulate CRC32 on r/m8.
F2 0F 38 F1 /r
CRC32 r32, r/m16
RM Valid Valid Accumulate CRC32 on r/m16.
F2 0F 38 F1 /r
CRC32 r32, r/m32
RM Valid Valid Accumulate CRC32 on r/m32.
F2 REX.W 0F 38 F0 /r
CRC32 r64, r/m8
RM Valid N.E. Accumulate CRC32 on r/m8.
F2 REX.W 0F 38 F1 /r
CRC32 r64, r/m64
RM Valid N.E. Accumulate CRC32 on r/m64.
N O T E S :
*In 64-bit mode, r/m8 can not be encoded to access the following byte registers if a REX prefix is used: AH, BH, CH, DH.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m(r) NA NA
CRC32 Accumulate CRC32 Value
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-185
CRC32 instruction for 64-bit source operand and 64-bit destination operand:
TEMP1[63-0] BIT_REFLECT64 (SRC[63-0])
TEMP2[31-0] BIT_REFLECT32 (DEST[31-0])
TEMP3[95-0] TEMP1[63-0] 32
TEMP4[95-0] TEMP2[31-0] 64
TEMP5[95-0] TEMP3[95-0] XOR TEMP4[95-0]
TEMP6[31-0] TEMP5[95-0] MOD2 11EDC6F41H
DEST[31-0] BIT_REFLECT (TEMP6[31-0])
DEST[63-32] 00000000H
CRC32 instruction for 32-bit source operand and 32-bit destination operand:
TEMP1[31-0] BIT_REFLECT32 (SRC[31-0])
TEMP2[31-0] BIT_REFLECT32 (DEST[31-0])
TEMP3[63-0] TEMP1[31-0] 32
TEMP4[63-0] TEMP2[31-0] 32
TEMP5[63-0] TEMP3[63-0] XOR TEMP4[63-0]
TEMP6[31-0] TEMP5[63-0] MOD2 11EDC6F41H
DEST[31-0] BIT_REFLECT (TEMP6[31-0])
CRC32 instruction for 16-bit source operand and 32-bit destination operand:
TEMP1[15-0] BIT_REFLECT16 (SRC[15-0])
TEMP2[31-0] BIT_REFLECT32 (DEST[31-0])
TEMP3[47-0] TEMP1[15-0] 32
TEMP4[47-0] TEMP2[31-0] 16
TEMP5[47-0] TEMP3[47-0] XOR TEMP4[47-0]
TEMP6[31-0] TEMP5[47-0] MOD2 11EDC6F41H
DEST[31-0] BIT_REFLECT (TEMP6[31-0])
CRC32 instruction for 8-bit source operand and 64-bit destination operand:
TEMP1[7-0] BIT_REFLECT8(SRC[7-0])
TEMP2[31-0] BIT_REFLECT32 (DEST[31-0])
TEMP3[39-0] TEMP1[7-0] 32
TEMP4[39-0] TEMP2[31-0] 8
TEMP5[39-0] TEMP3[39-0] XOR TEMP4[39-0]
TEMP6[31-0] TEMP5[39-0] MOD2 11EDC6F41H
DEST[31-0] BIT_REFLECT (TEMP6[31-0])
DEST[63-32] 00000000H
CRC32 instruction for 8-bit source operand and 32-bit destination operand:
TEMP1[7-0] BIT_REFLECT8(SRC[7-0])
TEMP2[31-0] BIT_REFLECT32 (DEST[31-0])
TEMP3[39-0] TEMP1[7-0] 32
TEMP4[39-0] TEMP2[31-0] 8
TEMP5[39-0] TEMP3[39-0] XOR TEMP4[39-0]
TEMP6[31-0] TEMP5[39-0] MOD2 11EDC6F41H
DEST[31-0] BIT_REFLECT (TEMP6[31-0])
F la g s Af fe ct e d
None
CRC32 Accumulate CRC32 Value
INSTRUCTION SET REFERENCE, A-M
3-186 Vol. 2A
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
unsigned int _mm_crc32_u8( unsigned int crc, unsigned char data )
unsigned int _mm_crc32_u16( unsigned int crc, unsigned short data )
unsigned int _mm_crc32_u32( unsigned int crc, unsigned int data )
unsinged __int64 _mm_crc32_u64( unsinged __int64 crc, unsigned __int64 data )
S I MD F lo a t in g P o in t E x ce p t io n s
None
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS or GS segments.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF (fault-code) For a page fault.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If CPUID.01H:ECX.SSE4_2 [Bit 20] = 0.
If LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP(0) If any part of the operand lies outside of the effective address space from 0 to 0FFFFH.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#UD If CPUID.01H:ECX.SSE4_2 [Bit 20] = 0.
If LOCK prefix is used.
V irt u a l 8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If any part of the operand lies outside of the effective address space from 0 to 0FFFFH.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF (fault-code) For a page fault.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If CPUID.01H:ECX.SSE4_2 [Bit 20] = 0.
If LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in Protected Mode.
6 4 -B it Mo d e E x ce p t io n s
#GP(0) If the memory address is in a non-canonical form.
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#PF (fault-code) For a page fault.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If CPUID.01H:ECX.SSE4_2 [Bit 20] = 0.
If LOCK prefix is used.
CVTDQ2PDConvert Packed Dword Integers to Packed Double-Precision FP Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-187
C VT D Q 2 P D C o n v e rt P a cke d D w o rd I n t e g e rs t o P a cke d D o u b le -P re cisio n F P Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Converts two packed signed doubleword integers in the source operand (second operand) to two packed double-
precision floating-point values in the destination operand (first operand).
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
128-bit Legacy SSE version: The source operand is an XMM register or 64- bit memory location. The destination
operation is an XMM register. The upper bits (VLMAX-1:128) of the corresponding XMM register destination are
unmodified.
VEX.128 encoded version: The source operand is an XMM register or 64- bit memory location. The destination
operation is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
VEX.256 encoded version: The source operand is a YMM register or 128- bit memory location. The destination
operation is a YMM register.
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b, otherwise instructions will #UD.
F ig u re 3 -1 0 . C V T D Q 2 P D (VE X.2 5 6 e n co d e d v e rsio n )
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
F3 0F E6
CVTDQ2PD xmm1, xmm2/m64
RM V/V SSE2 Convert two packed signed doubleword
integers from xmm2/m128 to two packed
double-precision floating-point values in
xmm1.
VEX.128.F3.0F.WIG E6 /r
VCVTDQ2PD xmm1, xmm2/m64
RM V/V AVX Convert two packed signed doubleword
integers from xmm2/mem to two packed
double-precision floating-point values in
xmm1.
VEX.256.F3.0F.WIG E6 /r
VCVTDQ2PD ymm1, xmm2/m128
RM V/V AVX Convert four packed signed doubleword
integers from xmm2/mem to four packed
double-precision floating-point values in
ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
DEST
SRC
X0 X1 X2 X3
X3 X2 X1 X0
CVTDQ2PDConvert Packed Dword Integers to Packed Double-Precision FP Values
INSTRUCTION SET REFERENCE, A-M
3-188 Vol. 2A
O p e ra t io n
C VT D Q 2 P D (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[63:0] Convert_Integer_To_Double_Precision_Floating_Point(SRC[31:0])
DEST[127:64] Convert_Integer_To_Double_Precision_Floating_Point(SRC[63:32])
DEST[VLMAX-1:128] (unmodified)
VC V T D Q 2 P D (V E X.1 2 8 e n co d e d v e rsio n )
DEST[63:0] Convert_Integer_To_Double_Precision_Floating_Point(SRC[31:0])
DEST[127:64] Convert_Integer_To_Double_Precision_Floating_Point(SRC[63:32])
DEST[VLMAX-1:128] 0
VC V T D Q 2 P D (V E X.2 5 6 e n co d e d v e rsio n )
DEST[63:0] Convert_Integer_To_Double_Precision_Floating_Point(SRC[31:0])
DEST[127:64] Convert_Integer_To_Double_Precision_Floating_Point(SRC[63:32])
DEST[191:128] Convert_Integer_To_Double_Precision_Floating_Point(SRC[95:64])
DEST[255:192] Convert_Integer_To_Double_Precision_Floating_Point(SRC[127:96)
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
CVTDQ2PD: __m128d _mm_cvtepi32_pd(__m128i a)
VCVTDQ2PD: __m256d _mm256_cvtepi32_pd (__m128i src)
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 5; additionally
#UD If VEX.vvvv != 1111B.
CVTDQ2PSConvert Packed Dword Integers to Packed Single-Precision FP Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-189
C VT D Q 2 P S C o n v e rt P a cke d D w o rd I n t e g e rs t o P a cke d S in g le -P re cisio n F P Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Converts four packed signed doubleword integers in the source operand (second operand) to four packed single-
precision floating-point values in the destination operand (first operand).
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
128-bit Legacy SSE version: The source operand is an XMM register or 128- bit memory location. The destination
operation is an XMM register. The upper bits (VLMAX-1:128) of the corresponding XMM register destination are
unmodified.
VEX.128 encoded version: The source operand is an XMM register or 128- bit memory location. The destination
operation is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
VEX.256 encoded version: The source operand is a YMM register or 256- bit memory location. The destination
operation is a YMM register.
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b, otherwise instructions will #UD.
O p e ra t io n
C VT D Q 2 P S (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[31:0] Convert_Integer_To_Single_Precision_Floating_Point(SRC[31:0])
DEST[63:32] Convert_Integer_To_Single_Precision_Floating_Point(SRC[63:32])
DEST[95:64] Convert_Integer_To_Single_Precision_Floating_Point(SRC[95:64])
DEST[127:96] Convert_Integer_To_Single_Precision_Floating_Point(SRC[127z:96)
DEST[VLMAX-1:128] (unmodified)
VC V T D Q 2 P S (VE X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] Convert_Integer_To_Single_Precision_Floating_Point(SRC[31:0])
DEST[63:32] Convert_Integer_To_Single_Precision_Floating_Point(SRC[63:32])
DEST[95:64] Convert_Integer_To_Single_Precision_Floating_Point(SRC[95:64])
DEST[127:96] Convert_Integer_To_Single_Precision_Floating_Point(SRC[127z:96)
DEST[VLMAX-1:128] 0
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 5B /r
CVTDQ2PS xmm1, xmm2/m128
RM V/V SSE2 Convert four packed signed doubleword
integers from xmm2/m128 to four packed
single-precision floating-point values in xmm1.
VEX.128.0F.WIG 5B /r
VCVTDQ2PS xmm1, xmm2/m128
RM V/V AVX Convert four packed signed doubleword
integers from xmm2/mem to four packed
single-precision floating-point values in xmm1.
VEX.256.0F.WIG 5B /r
VCVTDQ2PS ymm1, ymm2/m256
RM V/V AVX Convert eight packed signed doubleword
integers from ymm2/memto eight packed
single-precision floating-point values in ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
CVTDQ2PSConvert Packed Dword Integers to Packed Single-Precision FP Values
INSTRUCTION SET REFERENCE, A-M
3-190 Vol. 2A
VC V T D Q 2 P S (VE X.2 5 6 e n co d e d v e rsio n )
DEST[31:0] Convert_Integer_To_Single_Precision_Floating_Point(SRC[31:0])
DEST[63:32] Convert_Integer_To_Single_Precision_Floating_Point(SRC[63:32])
DEST[95:64] Convert_Integer_To_Single_Precision_Floating_Point(SRC[95:64])
DEST[127:96] Convert_Integer_To_Single_Precision_Floating_Point(SRC[127z:96)
DEST[159:128] Convert_Integer_To_Single_Precision_Floating_Point(SRC[159:128])
DEST[191:160] Convert_Integer_To_Single_Precision_Floating_Point(SRC[191:160])
DEST[223:192] Convert_Integer_To_Single_Precision_Floating_Point(SRC[223:192])
DEST[255:224] Convert_Integer_To_Single_Precision_Floating_Point(SRC[255:224)
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
CVTDQ2PS: __m128 _mm_cvtepi32_ps(__m128i a)
VCVTDQ2PS: __m256 _mm256_cvtepi32_ps (__m256i src)
S I MD F lo a t in g -P o in t E x ce p t io n s
Precision.
O t h e r E x ce p t io n s
See Exceptions Type 2; additionally
#UD If VEX.vvvv != 1111B.
CVTPD2DQConvert Packed Double-Precision FP Values to Packed Dword Integers
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-191
C VT P D 2 D Q C o n v e rt P a cke d D o u b le -P re cisio n F P Va lu e s t o P a cke d D w o rd I n t e g e rs
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Converts two packed double-precision floating-point values in the source operand (second operand) to two packed
signed doubleword integers in the destination operand (first operand).
The source operand can be an XMM register or a 128-bit memory location. The destination operand is an XMM
register. The result is stored in the low quadword of the destination operand and the high quadword is cleared to all
0s.
When a conversion is inexact, the value returned is rounded according to the rounding control bits in the MXCSR
register. If a converted result is larger than the maximum signed doubleword integer, the floating-point invalid
exception is raised, and if this exception is masked, the indefinite integer value (80000000H) is returned.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
128-bit Legacy SSE version: The source operand is an XMM register or 128- bit memory location. The destination
operation is an XMM register. Bits[127:64] of the destination XMM register are zeroed. However, the upper bits
(VLMAX-1:128) of the corresponding YMM register destination are unmodified.
VEX.128 encoded version: The source operand is an XMM register or 128- bit memory location. The destination
operation is a YMM register. The upper bits (VLMAX-1:64) of the corresponding YMM register destination are
zeroed.
VEX.256 encoded version: The source operand is a YMM register or 256- bit memory location. The destination
operation is an XMM register. The upper bits (255:128) of the corresponding YMM register destination are zeroed.
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b, otherwise instructions will #UD.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
F2 0F E6 /r
CVTPD2DQ xmm1, xmm2/m128
RM V/V SSE2 Convert two packed double-precision floating-
point values fromxmm2/m128 to two packed
signed doubleword integers in xmm1.
VEX.128.F2.0F.WIG E6 /r
VCVTPD2DQ xmm1, xmm2/m128
RM V/V AVX Convert two packed double-precision floating-
point values in xmm2/mem to two signed
doubleword integers in xmm1.
VEX.256.F2.0F.WIG E6 /r
VCVTPD2DQ xmm1, ymm2/m256
RM V/V AVX Convert four packed double-precision floating-
point values in ymm2/memto four signed
doubleword integers in xmm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
CVTPD2DQConvert Packed Double-Precision FP Values to Packed Dword Integers
INSTRUCTION SET REFERENCE, A-M
3-192 Vol. 2A
F ig u re 3 -1 1 . VC VT P D 2 D Q (VE X .2 5 6 e n co d e d v e rsio n )
O p e ra t io n
C VT P D 2 D Q (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[31:0] Convert_Double_Precision_Floating_Point_To_Integer(SRC[63:0])
DEST[63:32] Convert_Double_Precision_Floating_Point_To_Integer(SRC[127:64])
DEST[127:64] 0
DEST[VLMAX-1:128] (unmodified)
VC V T P D 2 D Q (V E X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] Convert_Double_Precision_Floating_Point_To_Integer(SRC[63:0])
DEST[63:32] Convert_Double_Precision_Floating_Point_To_Integer(SRC[127:64])
DEST[VLMAX-1:64] 0
VC V T P D 2 D Q (V E X.2 5 6 e n co d e d v e rsio n )
DEST[31:0] Convert_Double_Precision_Floating_Point_To_Integer(SRC[63:0])
DEST[63:32] Convert_Double_Precision_Floating_Point_To_Integer(SRC[127:64])
DEST[95:64] Convert_Double_Precision_Floating_Point_To_Integer(SRC[191:128])
DEST[127:96] Convert_Double_Precision_Floating_Point_To_Integer(SRC[255:192)
DEST[255:128] 0
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
CVTPD2DQ: __m128i _mm_cvtpd_epi32 (__m128d src)
CVTPD2DQ: __m128i _mm256_cvtpd_epi32 (__m256d src)
S I MD F lo a t in g -P o in t E x ce p t io n s
Invalid, Precision.
O t h e r E x ce p t io n s
See Exceptions Type 2; additionally
#UD If VEX.vvvv != 1111B.
DEST
SRC
X0 X1 X2 X3
X3 X2 X1 X0
0
CVTPD2PIConvert Packed Double-Precision FP Values to Packed Dword Integers
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-193
C VT P D 2 P I C o n v e rt P a cke d D o u b le -P re cisio n F P Va lu e s t o P a cke d D w o rd I n t e g e rs
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Converts two packed double-precision floating-point values in the source operand (second operand) to two packed
signed doubleword integers in the destination operand (first operand).
The source operand can be an XMM register or a 128-bit memory location. The destination operand is an MMX tech-
nology register.
When a conversion is inexact, the value returned is rounded according to the rounding control bits in the MXCSR
register. If a converted result is larger than the maximum signed doubleword integer, the floating-point invalid
exception is raised, and if this exception is masked, the indefinite integer value (80000000H) is returned.
This instruction causes a transition from x87 FPU to MMX technology operation (that is, the x87 FPU top-of-stack
pointer is set to 0 and the x87 FPU tag word is set to all 0s [valid]). If this instruction is executed while an x87 FPU
floating-point exception is pending, the exception is handled before the CVTPD2PI instruction is executed.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
O p e ra t io n
DEST[31:0] Convert_Double_Precision_Floating_Point_To_Integer32(SRC[63:0]);
DEST[63:32] Convert_Double_Precision_Floating_Point_To_Integer32(SRC[127:64]);
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
CVTPD1PI: __m64 _mm_cvtpd_pi32(__m128d a)
S I MD F lo a t in g -P o in t E x ce p t io n s
Invalid, Precision.
O t h e r E x ce p t io n s
See Table 22-4, Exception Conditions for Legacy SIMD/MMX Instructions with FP Exception and 16-Byte Align-
ment, in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3B.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
66 0F 2D /r
CVTPD2PI mm, xmm/m128
RM Valid Valid Convert two packed double-precision floating-
point values fromxmm/m128 to two packed
signed doubleword integers in mm.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
CVTPD2PSConvert Packed Double-Precision FP Values to Packed Single-Precision FP Values
INSTRUCTION SET REFERENCE, A-M
3-194 Vol. 2A
C VT P D 2 P S C o n v e rt P a cke d D o u b le -P re cisio n F P Va lu e s t o P a cke d S in g le -P re cisio n F P Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Converts two packed double-precision floating-point values in the source operand (second operand) to two packed
single-precision floating-point values in the destination operand (first operand).
When a conversion is inexact, the value returned is rounded according to the rounding control bits in the MXCSR
register.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
128-bit Legacy SSE version: The source operand is an XMM register or 128- bit memory location. The destination
operation is an XMM register. Bits[127:64] of the destination XMM register are zeroed. However, the upper bits
(VLMAX-1:128) of the corresponding YMM register destination are unmodified.
VEX.128 encoded version: The source operand is an XMM register or 128- bit memory location. The destination
operation is a YMM register. The upper bits (VLMAX-1:64) of the corresponding YMM register destination are
zeroed.
VEX.256 encoded version: The source operand is a YMM register or 256- bit memory location. The destination
operation is an XMM register. The upper bits (255:128) of the corresponding YMM register destination are zeroed.
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b otherwise instructions will #UD.
F ig u re 3 -1 2 . VC V T P D 2 P S (VE X.2 5 6 e n co d e d v e rsio n )
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 5A /r
CVTPD2PS xmm1, xmm2/m128
RM V/V SSE2 Convert two packed double-precision floating-
point values in xmm2/m128 to two packed
single-precision floating-point values in
xmm1.
VEX.128.66.0F.WIG 5A /r
VCVTPD2PS xmm1, xmm2/m128
RM V/V AVX Convert two packed double-precision floating-
point values in xmm2/mem to two single-
precision floating-point values in xmm1.
VEX.256.66.0F.WIG 5A /r
VCVTPD2PS xmm1, ymm2/m256
RM V/V AVX Convert four packed double-precision floating-
point values in ymm2/mem to four single-
precision floating-point values in xmm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
DEST
SRC
X0 X1 X2 X3
X3 X2 X1 X0
0
CVTPD2PSConvert Packed Double-Precision FP Values to Packed Single-Precision FP Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-195
O p e ra t io n
C VT P D 2 P S (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[31:0] Convert_Double_Precision_To_Single_Precision_Floating_Point(SRC[63:0])
DEST[63:32] Convert_Double_Precision_To_Single_Precision_Floating_Point(SRC[127:64])
DEST[127:64] 0
DEST[VLMAX-1:128] (unmodified)
VC V T P D 2 P S (VE X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] Convert_Double_Precision_To_Single_Precision_Floating_Point(SRC[63:0])
DEST[63:32] Convert_Double_Precision_To_Single_Precision_Floating_Point(SRC[127:64])
DEST[VLMAX-1:64] 0
VC V T P D 2 P S (VE X.2 5 6 e n co d e d v e rsio n )
DEST[31:0] Convert_Double_Precision_To_Single_Precision_Floating_Point(SRC[63:0])
DEST[63:32] Convert_Double_Precision_To_Single_Precision_Floating_Point(SRC[127:64])
DEST[95:64] Convert_Double_Precision_To_Single_Precision_Floating_Point(SRC[191:128])
DEST[127:96] Convert_Double_Precision_To_Single_Precision_Floating_Point(SRC[255:192)
DEST[255:128] 0
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
CVTPD2PS: __m128 _mm_cvtpd_ps(__m128d a)
CVTPD2PS: __m256 _mm256_cvtpd_ps (__m256d a)
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 2; additionally
#UD If VEX.vvvv != 1111B.
CVTPI2PDConvert Packed Dword Integers to Packed Double-Precision FP Values
INSTRUCTION SET REFERENCE, A-M
3-196 Vol. 2A
C VT P I 2 P D C o n v e rt P a cke d D w o rd I n t e g e rs t o P a cke d D o u b le -P re cisio n F P Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Converts two packed signed doubleword integers in the source operand (second operand) to two packed double-
precision floating-point values in the destination operand (first operand).
The source operand can be an MMX technology register or a 64-bit memory location. The destination operand is an
XMM register. In addition, depending on the operand configuration:
For operands xmm, mm: the instruction causes a transition from x87 FPU to MMX technology operation (that
is, the x87 FPU top-of-stack pointer is set to 0 and the x87 FPU tag word is set to all 0s [valid]). If this
instruction is executed while an x87 FPU floating-point exception is pending, the exception is handled before
the CVTPI2PD instruction is executed.
For operands xmm, m64: the instruction does not cause a transition to MMX technology and does not take
x87 FPU exceptions.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
O p e ra t io n
DEST[63:0] Convert_Integer_To_Double_Precision_Floating_Point(SRC[31:0]);
DEST[127:64] Convert_Integer_To_Double_Precision_Floating_Point(SRC[63:32]);
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
CVTPI2PD: __m128d _mm_cvtpi32_pd(__m64 a)
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Table 22-6, Exception Conditions for Legacy SIMD/MMX Instructions with XMM and without FP Exception, in
the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3B.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
66 0F 2A /r
CVTPI2PD xmm, mm/m64*
RM Valid Valid Convert two packed signed doubleword
integers frommm/mem64 to two packed
double-precision floating-point values in xmm.
N O T E S :
*Operation is different for different operand sets; see the Description section.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
CVTPI2PSConvert Packed Dword Integers to Packed Single-Precision FP Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-197
C VT P I 2 P S C o n v e rt P a cke d D w o rd I n t e g e rs t o P a cke d S in g le -P re cisio n F P Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Converts two packed signed doubleword integers in the source operand (second operand) to two packed single-
precision floating-point values in the destination operand (first operand).
The source operand can be an MMX technology register or a 64-bit memory location. The destination operand is an
XMM register. The results are stored in the low quadword of the destination operand, and the high quadword
remains unchanged. When a conversion is inexact, the value returned is rounded according to the rounding control
bits in the MXCSR register.
This instruction causes a transition from x87 FPU to MMX technology operation (that is, the x87 FPU top-of-stack
pointer is set to 0 and the x87 FPU tag word is set to all 0s [valid]). If this instruction is executed while an x87 FPU
floating-point exception is pending, the exception is handled before the CVTPI2PS instruction is executed.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
O p e ra t io n
DEST[31:0] Convert_Integer_To_Single_Precision_Floating_Point(SRC[31:0]);
DEST[63:32] Convert_Integer_To_Single_Precision_Floating_Point(SRC[63:32]);
(* High quadword of destination unchanged *)
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
CVTPI2PS: __m128 _mm_cvtpi32_ps(__m128 a, __m64 b)
S I MD F lo a t in g -P o in t E x ce p t io n s
Precision.
O t h e r E x ce p t io n s
See Table 22-5, Exception Conditions for Legacy SIMD/MMX Instructions with XMM and FP Exception, in the
Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3B.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 2A /r
CVTPI2PS xmm, mm/m64
RM Valid Valid Convert two signed doubleword integers
from mm/m64 to two single-precision
floating-point values in xmm.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
CVTPS2DQConvert Packed Single-Precision FP Values to Packed Dword Integers
INSTRUCTION SET REFERENCE, A-M
3-198 Vol. 2A
C VT P S 2 D Q C o n v e rt P a cke d S in g le -P re cisio n F P Va lu e s t o P a cke d D w o rd I n t e g e rs
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Converts four or eight packed single-precision floating-point values in the source operand to four or eight signed
doubleword integers in the destination operand.
When a conversion is inexact, the value returned is rounded according to the rounding control bits in the MXCSR
register. If a converted result is larger than the maximum signed doubleword integer, the floating-point invalid
exception is raised, and if this exception is masked, the indefinite integer value (80000000H) is returned.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
128-bit Legacy SSE version: The source operand is an XMM register or 128- bit memory location. The destination
operation is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
unmodified.
VEX.128 encoded version: The source operand is an XMM register or 128- bit memory location. The destination
operation is a YMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
VEX.256 encoded version: The source operand is a YMM register or 256- bit memory location. The destination
operation is a YMM register.
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b otherwise instructions will #UD.
O p e ra t io n
C VT P S 2 D Q (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[31:0] Convert_Single_Precision_Floating_Point_To_Integer(SRC[31:0])
DEST[63:32] Convert_Single_Precision_Floating_Point_To_Integer(SRC[63:32])
DEST[95:64] Convert_Single_Precision_Floating_Point_To_Integer(SRC[95:64])
DEST[127:96] Convert_Single_Precision_Floating_Point_To_Integer(SRC[127:96])
DEST[VLMAX-1:128] (unmodified)
VC V T P S 2 D Q (VE X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] Convert_Single_Precision_Floating_Point_To_Integer(SRC[31:0])
DEST[63:32] Convert_Single_Precision_Floating_Point_To_Integer(SRC[63:32])
DEST[95:64] Convert_Single_Precision_Floating_Point_To_Integer(SRC[95:64])
DEST[127:96] Convert_Single_Precision_Floating_Point_To_Integer(SRC[127:96])
DEST[VLMAX-1:128] 0
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 5B /r
CVTPS2DQ xmm1, xmm2/m128
RM V/V SSE2 Convert four packed single-precision floating-
point values from xmm2/m128 to four packed
signed doubleword integers in xmm1.
VEX.128.66.0F.WIG 5B /r
VCVTPS2DQ xmm1, xmm2/m128
RM V/V AVX Convert four packed single precision floating-
point values from xmm2/mem to four packed
signed doubleword values in xmm1.
VEX.256.66.0F.WIG 5B /r
VCVTPS2DQ ymm1, ymm2/m256
RM V/V AVX Convert eight packed single precision floating-
point values fromymm2/memto eight packed
signed doubleword values in ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
CVTPS2DQConvert Packed Single-Precision FP Values to Packed Dword Integers
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-199
VC V T P S 2 D Q (VE X.2 5 6 e n co d e d v e rsio n )
DEST[31:0] Convert_Single_Precision_Floating_Point_To_Integer(SRC[31:0])
DEST[63:32] Convert_Single_Precision_Floating_Point_To_Integer(SRC[63:32])
DEST[95:64] Convert_Single_Precision_Floating_Point_To_Integer(SRC[95:64])
DEST[127:96] Convert_Single_Precision_Floating_Point_To_Integer(SRC[127:96)
DEST[159:128] Convert_Single_Precision_Floating_Point_To_Integer(SRC[159:128])
DEST[191:160] Convert_Single_Precision_Floating_Point_To_Integer(SRC[191:160])
DEST[223:192] Convert_Single_Precision_Floating_Point_To_Integer(SRC[223:192])
DEST[255:224] Convert_Single_Precision_Floating_Point_To_Integer(SRC[255:224])
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
CVTPS2DQ: __m128i _mm_cvtps_epi32(__m128 a)
VCVTPS2DQ: __ m256i _mm256_cvtps_epi32 (__m256 a)
S I MD F lo a t in g -P o in t E x ce p t io n s
Invalid, Precision.
O t h e r E x ce p t io n s
See Exceptions Type 2; additionally
#UD If VEX.vvvv != 1111B.
CVTPS2PDConvert Packed Single-Precision FP Values to Packed Double-Precision FP Values
INSTRUCTION SET REFERENCE, A-M
3-200 Vol. 2A
C VT P S 2 P D C o n v e rt P a cke d S in g le -P re cisio n F P Va lu e s t o P a cke d D o u b le -P re cisio n F P Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Converts two or four packed single-precision floating-point values in the source operand (second operand) to two
or four packed double-precision floating-point values in the destination operand (first operand).
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
128-bit Legacy SSE version: The source operand is an XMM register or 64- bit memory location. The destination
operation is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
unmodified.
VEX.128 encoded version: The source operand is an XMM register or 64- bit memory location. The destination
operation is a YMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
VEX.256 encoded version: The source operand is an XMM register or 128- bit memory location. The destination
operation is a YMM register.
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b otherwise instructions will #UD.
F ig u re 3 -1 3 . C V T P S 2 P D (VE X.2 5 6 e n co d e d v e rsio n )
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 5A /r
CVTPS2PD xmm1, xmm2/m64
RM V/V SSE2 Convert two packed single-precision floating-
point values in xmm2/m64 to two packed
double-precision floating-point values in
xmm1.
VEX.128.0F.WIG 5A /r
VCVTPS2PD xmm1, xmm2/m64
RM V/V AVX Convert two packed single-precision floating-
point values in xmm2/mem to two packed
double-precision floating-point values in
xmm1.
VEX.256.0F.WIG 5A /r
VCVTPS2PD ymm1, xmm2/m128
RM V/V AVX Convert four packed single-precision floating-
point values in xmm2/mem to four packed
double-precision floating-point values in
ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
DEST
SRC
X0 X1 X2 X3
X3 X2 X1 X0
CVTPS2PDConvert Packed Single-Precision FP Values to Packed Double-Precision FP Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-201
O p e ra t io n
C VT P S 2 P D (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[63:0] Convert_Single_Precision_To_Double_Precision_Floating_Point(SRC[31:0])
DEST[127:64] Convert_Single_Precision_To_Double_Precision_Floating_Point(SRC[63:32])
DEST[VLMAX-1:128] (unmodified)
VC V T P S 2 P D (VE X.1 2 8 e n co d e d v e rsio n )
DEST[63:0] Convert_Single_Precision_To_Double_Precision_Floating_Point(SRC[31:0])
DEST[127:64] Convert_Single_Precision_To_Double_Precision_Floating_Point(SRC[63:32])
DEST[VLMAX-1:128] 0
VC V T P S 2 P D (VE X.2 5 6 e n co d e d v e rsio n )
DEST[63:0] Convert_Single_Precision_To_Double_Precision_Floating_Point(SRC[31:0])
DEST[127:64] Convert_Single_Precision_To_Double_Precision_Floating_Point(SRC[63:32])
DEST[191:128] Convert_Single_Precision_To_Double_Precision_Floating_Point(SRC[95:64])
DEST[255:192] Convert_Single_Precision_To_Double_Precision_Floating_Point(SRC[127:96)
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
CVTPS2PD: __m128d _mm_cvtps_pd(__m128 a)
VCVTPS2PD: __m256d _mm256_cvtps_pd (__m128 a)
S I MD F lo a t in g -P o in t E x ce p t io n s
Invalid, Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 3; additionally
#UDIf VEX.vvvv != 1111B.
CVTPS2PIConvert Packed Single-Precision FP Values to Packed Dword Integers
INSTRUCTION SET REFERENCE, A-M
3-202 Vol. 2A
C V T P S 2 P I C o n v e rt P a cke d S in g le -P re cisio n F P Va lu e s t o P a cke d D w o rd I n t e g e rs
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Converts two packed single-precision floating-point values in the source operand (second operand) to two packed
signed doubleword integers in the destination operand (first operand).
The source operand can be an XMM register or a 128-bit memory location. The destination operand is an MMX tech-
nology register. When the source operand is an XMM register, the two single-precision floating-point values are
contained in the low quadword of the register. When a conversion is inexact, the value returned is rounded
according to the rounding control bits in the MXCSR register. If a converted result is larger than the maximum
signed doubleword integer, the floating-point invalid exception is raised, and if this exception is masked, the indef-
inite integer value (80000000H) is returned.
CVTPS2PI causes a transition from x87 FPU to MMX technology operation (that is, the x87 FPU top-of-stack pointer
is set to 0 and the x87 FPU tag word is set to all 0s [valid]). If this instruction is executed while an x87 FPU floating-
point exception is pending, the exception is handled before the CVTPS2PI instruction is executed.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
O p e ra t io n
DEST[31:0] Convert_Single_Precision_Floating_Point_To_Integer(SRC[31:0]);
DEST[63:32] Convert_Single_Precision_Floating_Point_To_Integer(SRC[63:32]);
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
CVTPS2PI: __m64 _mm_cvtps_pi32(__m128 a)
S I MD F lo a t in g -P o in t E x ce p t io n s
Invalid, Precision.
O t h e r E x ce p t io n s
See Table 22-5, Exception Conditions for Legacy SIMD/MMX Instructions with XMM and FP Exception, in the
Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3B.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 2D /r
CVTPS2PI mm, xmm/m64
RM Valid Valid Convert two packed single-precision floating-
point values from xmm/m64 to two packed
signed doubleword integers in mm.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
CVTSD2SIConvert Scalar Double-Precision FP Value to Integer
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-203
C VT S D 2 S I C o n v e rt S ca la r D o u b le -P re cisio n F P Va lu e t o I n t e g e r
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Converts a double-precision floating-point value in the source operand (second operand) to a signed doubleword
integer in the destination operand (first operand). The source operand can be an XMM register or a 64-bit memory
location. The destination operand is a general-purpose register. When the source operand is an XMM register, the
double-precision floating-point value is contained in the low quadword of the register.
When a conversion is inexact, the value returned is rounded according to the rounding control bits in the MXCSR
register. If a converted result is larger than the maximum signed doubleword integer, the floating-point invalid
exception is raised, and if this exception is masked, the indefinite integer value (80000000H) is returned.
In 64-bit mode, the instruction can access additional registers (XMM8-XMM15, R8-R15) when used with a REX.R
prefix. Use of the REX.W prefix promotes the instruction to 64-bit operation. See the summary chart at the begin-
ning of this section for encoding data and limits.
Legacy SSE instructions: Use of the REX.W prefix promotes the instruction to 64-bit operation. See the summary
chart at the beginning of this section for encoding data and limits.
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b, otherwise instructions will #UD.
O p e ra t io n
IF 64-Bit Mode and OperandSize =64
THEN
DEST[63:0] Convert_Double_Precision_Floating_Point_To_Integer64(SRC[63:0]);
ELSE
DEST[31:0] Convert_Double_Precision_Floating_Point_To_Integer32(SRC[63:0]);
FI;
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
F2 0F 2D /r
CVTSD2SI r32, xmm/m64
RM V/V SSE2 Convert one double-precision floating-point
value from xmm/m64 to one signed
doubleword integer r32.
F2 REX.W 0F 2D /r
CVTSD2SI r64, xmm/m64
RM V/N.E. SSE2 Convert one double-precision floating-point
value from xmm/m64 to one signed quadword
integer sign-extended into r64.
VEX.LIG.F2.0F.W0 2D /r
VCVTSD2SI r32, xmm1/m64
RM V/V AVX Convert one double precision floating-point
value from xmm1/m64 to one signed
doubleword integer r32.
VEX.LIG.F2.0F.W1 2D /r
VCVTSD2SI r64, xmm1/m64
RM V/N.E.
1
N O T E S :
1. Encoding the VEX prefix with VEX.W=1 in non-64-bit mode is ignored.
AVX Convert one double precision floating-point
value from xmm1/m64 to one signed
quadword integer sign-extended into r64.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
CVTSD2SIConvert Scalar Double-Precision FP Value to Integer
INSTRUCTION SET REFERENCE, A-M
3-204 Vol. 2A
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
int _mm_cvtsd_si32(__m128d a)
__int64 _mm_cvtsd_si64(__m128d a)
S I MD F lo a t in g -P o in t E x ce p t io n s
Invalid, Precision.
O t h e r E x ce p t io n s
See Exceptions Type 3; additionally
#UD If VEX.vvvv != 1111B.
CVTSD2SSConvert Scalar Double-Precision FP Value to Scalar Single-Precision FP Value
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-205
C VT S D 2 S S C o n v e rt S ca la r D o u b le -P re cisio n F P Va lu e t o S ca la r S in g le -P re cisio n F P Va lu e
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Converts a double-precision floating-point value in the source operand (second operand) to a single-precision
floating-point value in the destination operand (first operand).
The source operand can be an XMM register or a 64-bit memory location. The destination operand is an XMM
register. When the source operand is an XMM register, the double-precision floating-point value is contained in the
low quadword of the register. The result is stored in the low doubleword of the destination operand, and the upper
3 doublewords are left unchanged. When the conversion is inexact, the value returned is rounded according to the
rounding control bits in the MXCSR register.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
128-bit Legacy SSE version: The destination and first source operand are the same. Bits (VLMAX-1:32) of the
corresponding YMM destination register remain unchanged.
VEX.128 encoded version: Bits (127:64) of the XMM register destination are copied from corresponding bits in the
first source operand. Bits (VLMAX-1:128) of the destination YMM register are zeroed.
O p e ra t io n
C VT S D 2 S S (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[31:0] Convert_Double_Precision_To_Single_Precision_Floating_Point(SRC[63:0]);
(* DEST[VLMAX-1:32] Unmodified *)
VC V T S D 2 S S (VE X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] Convert_Double_Precision_To_Single_Precision_Floating_Point(SRC2[63:0]);
DEST[127:32] SRC1[127:32]
DEST[VLMAX-1:128] 0
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
CVTSD2SS: __m128 _mm_cvtsd_ss(__m128 a, __m128d b)
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
F2 0F 5A /r
CVTSD2SS xmm1, xmm2/m64
RM V/V SSE2 Convert one double-precision floating-point
value in xmm2/m64 to one single-precision
floating-point value in xmm1.
VEX.NDS.LIG.F2.0F.WIG 5A /r
VCVTSD2SS xmm1,xmm2, xmm3/m64
RVM V/V AVX Convert one double-precision floating-point
value in xmm3/m64 to one single-precision
floating-point value and merge with high bits
in xmm2.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
CVTSD2SSConvert Scalar Double-Precision FP Value to Scalar Single-Precision FP Value
INSTRUCTION SET REFERENCE, A-M
3-206 Vol. 2A
O t h e r E x ce p t io n s
See Exceptions Type 3.
CVTSI2SDConvert Dword Integer to Scalar Double-Precision FP Value
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-207
C VT S I 2 S D C o n v e rt D w o rd I n t e g e r t o S ca la r D o u b le -P re cisio n F P Va lu e
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Converts a signed doubleword integer (or signed quadword integer if operand size is 64 bits) in the second source
operand to a double-precision floating-point value in the destination operand. The result is stored in the low quad-
word of the destination operand, and the high quadword left unchanged. When conversion is inexact, the value
returned is rounded according to the rounding control bits in the MXCSR register.
Legacy SSE instructions: Use of the REX.W prefix promotes the instruction to 64-bit operands. See the summary
chart at the beginning of this section for encoding data and limits.
The second source operand can be a general-purpose register or a 32/64-bit memory location. The first source and
destination operands are XMM registers.
128-bit Legacy SSE version: The destination and first source operand are the same. Bits (VLMAX-1:64) of the
corresponding YMM destination register remain unchanged.
VEX.128 encoded version: Bits (127:64) of the XMM register destination are copied from corresponding bits in the
first source operand. Bits (VLMAX-1:128) of the destination YMM register are zeroed.
O p e ra t io n
C VT S I 2 S D
IF 64-Bit Mode And OperandSize =64
THEN
DEST[63:0] Convert_Integer_To_Double_Precision_Floating_Point(SRC[63:0]);
ELSE
DEST[63:0] Convert_Integer_To_Double_Precision_Floating_Point(SRC[31:0]);
FI;
DEST[VLMAX-1:64] (Unmodified)
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
F2 0F 2A /r
CVTSI2SD xmm, r/m32
RM V/V SSE2 Convert one signed doubleword integer from
r/m32 to one double-precision floating-point
value in xmm.
F2 REX.W 0F 2A /r
CVTSI2SD xmm, r/m64
RM V/N.E. SSE2 Convert one signed quadword integer from
r/m64 to one double-precision floating-point
value in xmm.
VEX.NDS.LIG.F2.0F.W0 2A /r
VCVTSI2SD xmm1, xmm2, r/m32
RVM V/V AVX Convert one signed doubleword integer from
r/m32 to one double-precision floating-point
value in xmm1.
VEX.NDS.LIG.F2.0F.W1 2A /r
VCVTSI2SD xmm1, xmm2, r/m64
RVM V/N.E.
1
N O T E S :
1. Encoding the VEX prefix with VEX.W=1 in non-64-bit mode is ignored.
AVX Convert one signed quadword integer from
r/m64 to one double-precision floating-point
value in xmm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
CVTSI2SDConvert Dword Integer to Scalar Double-Precision FP Value
INSTRUCTION SET REFERENCE, A-M
3-208 Vol. 2A
VC V T S I 2 S D
IF 64-Bit Mode And OperandSize =64
THEN
DEST[63:0] Convert_Integer_To_Double_Precision_Floating_Point(SRC2[63:0]);
ELSE
DEST[63:0] Convert_Integer_To_Double_Precision_Floating_Point(SRC2[31:0]);
FI;
DEST[127:64] SRC1[127:64]
DEST[VLMAX-1:128] 0
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
CVTSI2SD: __m128d _mm_cvtsi32_sd(__m128d a, int b)
CVTSI2SD: __m128d _mm_cvtsi64_sd(__m128d a, __int64 b)
S I MD F lo a t in g -P o in t E x ce p t io n s
Precision.
O t h e r E x ce p t io n s
See Exceptions Type 3.
CVTSI2SSConvert Dword Integer to Scalar Single-Precision FP Value
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-209
C VT S I 2 S S C o n v e rt D w o rd I n t e g e r t o S ca la r S in g le -P re cisio n F P Va lu e
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Converts a signed doubleword integer (or signed quadword integer if operand size is 64 bits) in the source operand
(second operand) to a single-precision floating-point value in the destination operand (first operand). The source
operand can be a general-purpose register or a memory location. The destination operand is an XMM register. The
result is stored in the low doubleword of the destination operand, and the upper three doublewords are left
unchanged. When a conversion is inexact, the value returned is rounded according to the rounding control bits in
the MXCSR register.
Legacy SSE instructions: In 64-bit mode, the instruction can access additional registers (XMM8-XMM15, R8-R15)
when used with a REX.R prefix. Use of the REX.W prefix promotes the instruction to 64-bit operands. See the
summary chart at the beginning of this section for encoding data and limits.
128-bit Legacy SSE version: The destination and first source operand are the same. Bits (VLMAX-1:32) of the
corresponding YMM destination register remain unchanged.
VEX.128 encoded version: Bits (127:32) of the XMM register destination are copied from corresponding bits in the
first source operand. Bits (VLMAX-1:128) of the destination YMM register are zeroed.
O p e ra t io n
C VT S I 2 S S (1 2 8 -b it Le g a cy S S E v e rsio n )
IF 64-Bit Mode And OperandSize =64
THEN
DEST[31:0] Convert_Integer_To_Single_Precision_Floating_Point(SRC[63:0]);
ELSE
DEST[31:0] Convert_Integer_To_Single_Precision_Floating_Point(SRC[31:0]);
FI;
DEST[VLMAX-1:32] (Unmodified)
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
F3 0F 2A /r
CVTSI2SS xmm, r/m32
RM V/V SSE Convert one signed doubleword integer from
r/m32 to one single-precision floating-point
value in xmm.
F3 REX.W 0F 2A /r
CVTSI2SS xmm, r/m64
RM V/N.E. SSE Convert one signed quadword integer from
r/m64 to one single-precision floating-point
value in xmm.
VEX.NDS.LIG.F3.0F.W0 2A /r
VCVTSI2SS xmm1, xmm2, r/m32
RVM V/V AVX Convert one signed doubleword integer from
r/m32 to one single-precision floating-point
value in xmm1.
VEX.NDS.LIG.F3.0F.W1 2A /r
VCVTSI2SS xmm1, xmm2, r/m64
RVM V/N.E.
1
N O T E S :
1. Encoding the VEX prefix with VEX.W=1 in non-64-bit mode is ignored.
AVX Convert one signed quadword integer from
r/m64 to one single-precision floating-point
value in xmm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m(r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
CVTSI2SSConvert Dword Integer to Scalar Single-Precision FP Value
INSTRUCTION SET REFERENCE, A-M
3-210 Vol. 2A
VC V T S I 2 S S (VE X.1 2 8 e n co d e d v e rsio n )
IF 64-Bit Mode And OperandSize =64
THEN
DEST[31:0] Convert_Integer_To_Single_Precision_Floating_Point(SRC[63:0]);
ELSE
DEST[31:0] Convert_Integer_To_Single_Precision_Floating_Point(SRC[31:0]);
FI;
DEST[127:32] SRC1[127:32]
DEST[VLMAX-1:128] 0
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
CVTSI2SS: __m128 _mm_cvtsi32_ss(__m128 a, int b)
CVTSI2SS: __m128 _mm_cvtsi64_ss(__m128 a, __int64 b)
S I MD F lo a t in g -P o in t E x ce p t io n s
Precision.
O t h e r E x ce p t io n s
See Exceptions Type 3.
CVTSS2SDConvert Scalar Single-Precision FP Value to Scalar Double-Precision FP Value
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-211
C VT S S 2 S D C o n v e rt S ca la r S in g le -P re cisio n F P Va lu e t o S ca la r D o u b le -P re cisio n F P Va lu e
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Converts a single-precision floating-point value in the source operand (second operand) to a double-precision
floating-point value in the destination operand (first operand). The source operand can be an XMM register or a 32-
bit memory location. The destination operand is an XMM register. When the source operand is an XMM register, the
single-precision floating-point value is contained in the low doubleword of the register. The result is stored in the
low quadword of the destination operand, and the high quadword is left unchanged.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
128-bit Legacy SSE version: The destination and first source operand are the same. Bits (VLMAX-1:64) of the
corresponding YMM destination register remain unchanged.
VEX.128 encoded version: Bits (127:64) of the XMM register destination are copied from corresponding bits in the
first source operand. Bits (VLMAX-1:128) of the destination YMM register are zeroed.
O p e ra t io n
C VT S S 2 S D (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[63:0] Convert_Single_Precision_To_Double_Precision_Floating_Point(SRC[31:0]);
DEST[VLMAX-1:64] (Unmodified)
VC V T S S 2 S D (VE X.1 2 8 e n co d e d v e rsio n )
DEST[63:0] Convert_Single_Precision_To_Double_Precision_Floating_Point(SRC2[31:0])
DEST[127:64] SRC1[127:64]
DEST[VLMAX-1:128] 0
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
CVTSS2SD: __m128d _mm_cvtss_sd(__m128d a, __m128 b)
S I MD F lo a t in g -P o in t E x ce p t io n s
Invalid, Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 3.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
F3 0F 5A /r
CVTSS2SD xmm1, xmm2/m32
RM V/V SSE2 Convert one single-precision floating-point
value in xmm2/m32 to one double-precision
floating-point value in xmm1.
VEX.NDS.LIG.F3.0F.WIG 5A /r
VCVTSS2SD xmm1, xmm2, xmm3/m32
RVM V/V AVX Convert one single-precision floating-point
value in xmm3/m32 to one double-precision
floating-point value and merge with high bits
of xmm2.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
CVTSS2SIConvert Scalar Single-Precision FP Value to Dword Integer
INSTRUCTION SET REFERENCE, A-M
3-212 Vol. 2A
C VT S S 2 S I C o n v e rt S ca la r S in g le -P re cisio n F P Va lu e t o D w o rd I n t e g e r
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Converts a single-precision floating-point value in the source operand (second operand) to a signed doubleword
integer (or signed quadword integer if operand size is 64 bits) in the destination operand (first operand). The
source operand can be an XMM register or a memory location. The destination operand is a general-purpose
register. When the source operand is an XMM register, the single-precision floating-point value is contained in the
low doubleword of the register.
When a conversion is inexact, the value returned is rounded according to the rounding control bits in the MXCSR
register. If a converted result is larger than the maximum signed doubleword integer, the floating-point invalid
exception is raised, and if this exception is masked, the indefinite integer value (80000000H) is returned.
In 64-bit mode, the instruction can access additional registers (XMM8-XMM15, R8-R15) when used with a REX.R
prefix. Use of the REX.W prefix promotes the instruction to 64-bit operands. See the summary chart at the begin-
ning of this section for encoding data and limits.
Legacy SSE instructions: In 64-bit mode, Use of the REX.W prefix promotes the instruction to 64-bit operands. See
the summary chart at the beginning of this section for encoding data and limits.
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b, otherwise instructions will #UD.
O p e ra t io n
IF 64-bit Mode and OperandSize =64
THEN
DEST[64:0] Convert_Single_Precision_Floating_Point_To_Integer(SRC[31:0]);
ELSE
DEST[31:0] Convert_Single_Precision_Floating_Point_To_Integer(SRC[31:0]);
FI;
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
F3 0F 2D /r
CVTSS2SI r32, xmm/m32
RM V/V SSE Convert one single-precision floating-point
value from xmm/m32 to one signed
doubleword integer in r32.
F3 REX.W 0F 2D /r
CVTSS2SI r64, xmm/m32
RM V/N.E. SSE Convert one single-precision floating-point
value from xmm/m32 to one signed
quadword integer in r64.
VEX.LIG.F3.0F.W0 2D /r
VCVTSS2SI r32, xmm1/m32
RM V/V AVX Convert one single-precision floating-point
value fromxmm1/m32 to one signed
doubleword integer in r32.
VEX.LIG.F3.0F.W1 2D /r
VCVTSS2SI r64, xmm1/m32
RM V/N.E.
1
N O T E S :
1. Encoding the VEX prefix with VEX.W=1 in non-64-bit mode is ignored.
AVX Convert one single-precision floating-point
value fromxmm1/m32 to one signed
quadword integer in r64.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
CVTSS2SIConvert Scalar Single-Precision FP Value to Dword Integer
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-213
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
int _mm_cvtss_si32(__m128d a)
__int64 _mm_cvtss_si64(__m128d a)
S I MD F lo a t in g -P o in t E x ce p t io n s
Invalid, Precision.
O t h e r E x ce p t io n s
See Exceptions Type 3; additionally
#UD If VEX.vvvv != 1111B.
CVTTPD2DQConvert with Truncation Packed Double-Precision FP Values to Packed Dword Integers
INSTRUCTION SET REFERENCE, A-M
3-214 Vol. 2A
C VT T P D 2 D Q C o n v e rt w it h T ru n ca t io n P a cke d D o u b le -P re cisio n F P Va lu e s t o P a cke d D w o rd
I n t e g e rs
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Converts two or four packed double-precision floating-point values in the source operand (second operand) to two
or four packed signed doubleword integers in the destination operand (first operand).
When a conversion is inexact, a truncated (round toward zero) value is returned.If a converted result is larger than
the maximum signed doubleword integer, the floating-point invalid exception is raised, and if this exception is
masked, the indefinite integer value (80000000H) is returned.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
128-bit Legacy SSE version: The source operand is an XMM register or 128- bit memory location. The destination
operation is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
unmodified.
VEX.128 encoded version: The source operand is an XMM register or 128- bit memory location. The destination
operation is a YMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
VEX.256 encoded version: The source operand is a YMM register or 256- bit memory location. The destination
operation is an XMM register. The upper bits (255:128) of the corresponding YMM register destination are zeroed.
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b, otherwise instructions will #UD.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F E6 /r
CVTTPD2DQ xmm1, xmm2/m128
RM V/V SSE2 Convert two packed double-precision floating-
point values from xmm2/m128 to two packed
signed doubleword integers in xmm1 using
truncation.
VEX.128.66.0F.WIG E6 /r
VCVTTPD2DQ xmm1, xmm2/m128
RM V/V AVX Convert two packed double-precision floating-
point values in xmm2/mem to two signed
doubleword integers in xmm1 using
truncation.
VEX.256.66.0F.WIG E6 /r
VCVTTPD2DQ xmm1, ymm2/m256
RM V/V AVX Convert four packed double-precision floating-
point values in ymm2/memto four signed
doubleword integers in xmm1 using
truncation.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
CVTTPD2DQConvert with Truncation Packed Double-Precision FP Values to Packed Dword Integers
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-215
F ig u re 3 -1 4 . VC V T T P D 2 D Q (VE X.2 5 6 e n co d e d v e rsio n )
O p e ra t io n
C VT T P D 2 D Q (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[31:0] Convert_Double_Precision_Floating_Point_To_Integer_Truncate(SRC[63:0])
DEST[63:32] Convert_Double_Precision_Floating_Point_To_Integer_Truncate(SRC[127:64])
DEST[127:64] 0
DEST[VLMAX-1:128] (unmodified)
VC VT T P D 2 D Q (VE X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] Convert_Double_Precision_Floating_Point_To_Integer_Truncate(SRC[63:0])
DEST[63:32] Convert_Double_Precision_Floating_Point_To_Integer_Truncate(SRC[127:64])
DEST[VLMAX-1:64] 0
VC VT T P D 2 D Q (VE X.2 5 6 e n co d e d v e rsio n )
DEST[31:0] Convert_Double_Precision_Floating_Point_To_Integer_Truncate(SRC[63:0])
DEST[63:32] Convert_Double_Precision_Floating_Point_To_Integer_Truncate(SRC[127:64])
DEST[95:64] Convert_Double_Precision_Floating_Point_To_Integer_Truncate(SRC[191:128])
DEST[127:96] Convert_Double_Precision_Floating_Point_To_Integer_Truncate(SRC[255:192)
DEST[255:128] 0
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
CVTTPD2DQ: __m128i _mm_cvttpd_epi32(__m128d a)
VCVTTPD2DQ: __m128i _mm256_cvttpd_epi32 (__m256d src)
S I MD F lo a t in g -P o in t E x ce p t io n s
Invalid, Precision.
O t h e r E x ce p t io n s
See Exceptions Type 2; additionally
#UD If VEX.vvvv != 1111B.
DEST
SRC
X0 X1 X2 X3
X3 X2 X1 X0
0
CVTTPD2PIConvert with Truncation Packed Double-Precision FP Values to Packed Dword Integers
INSTRUCTION SET REFERENCE, A-M
3-216 Vol. 2A
C VT T P D 2 P I C o n v e rt w it h T ru n ca t io n P a cke d D o u b le -P re cisio n F P Va lu e s t o P a cke d D w o rd
I n t e g e rs
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Converts two packed double-precision floating-point values in the source operand (second operand) to two packed
signed doubleword integers in the destination operand (first operand). The source operand can be an XMM register
or a 128-bit memory location. The destination operand is an MMX technology register.
When a conversion is inexact, a truncated (round toward zero) result is returned. If a converted result is larger than
the maximum signed doubleword integer, the floating-point invalid exception is raised, and if this exception is
masked, the indefinite integer value (80000000H) is returned.
This instruction causes a transition from x87 FPU to MMX technology operation (that is, the x87 FPU top-of-stack
pointer is set to 0 and the x87 FPU tag word is set to all 0s [valid]). If this instruction is executed while an x87 FPU
floating-point exception is pending, the exception is handled before the CVTTPD2PI instruction is executed.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
O p e ra t io n
DEST[31:0] Convert_Double_Precision_Floating_Point_To_Integer32_Truncate(SRC[63:0]);
DEST[63:32] Convert_Double_Precision_Floating_Point_To_Integer32_
Truncate(SRC[127:64]);
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
CVTTPD1PI: __m64 _mm_cvttpd_pi32(__m128d a)
S I MD F lo a t in g -P o in t E x ce p t io n s
Invalid, Precision.
O t h e r Mo d e E x ce p t io n s
See Table 22-4, Exception Conditions for Legacy SIMD/MMX Instructions with FP Exception and 16-Byte Align-
ment, in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3B.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
66 0F 2C /r
CVTTPD2PI mm, xmm/m128
RM Valid Valid Convert two packer double-precision floating-
point values from xmm/m128 to two packed
signed doubleword integers in mm using
truncation.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
CVTTPS2DQConvert with Truncation Packed Single-Precision FP Values to Packed Dword Integers
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-217
C VT T P S 2 D Q C o n v e rt w it h T ru n ca t io n P a cke d S in g le -P re cisio n F P Va lu e s t o P a cke d D w o rd
I n t e g e rs
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Converts four or eight packed single-precision floating-point values in the source operand to four or eight signed
doubleword integers in the destination operand.
When a conversion is inexact, a truncated (round toward zero) value is returned.If a converted result is larger than
the maximum signed doubleword integer, the floating-point invalid exception is raised, and if this exception is
masked, the indefinite integer value (80000000H) is returned.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
128-bit Legacy SSE version: The source operand is an XMM register or 128- bit memory location. The destination
operation is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
unmodified.
VEX.128 encoded version: The source operand is an XMM register or 128- bit memory location. The destination
operation is a YMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
VEX.256 encoded version: The source operand is a YMM register or 256- bit memory location. The destination
operation is a YMM register.
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b otherwise instructions will #UD.
O p e ra t io n
C VT T P S 2 D Q (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[31:0] Convert_Single_Precision_Floating_Point_To_Integer_Truncate(SRC[31:0])
DEST[63:32] Convert_Single_Precision_Floating_Point_To_Integer_Truncate(SRC[63:32])
DEST[95:64] Convert_Single_Precision_Floating_Point_To_Integer_Truncate(SRC[95:64])
DEST[127:96] Convert_Single_Precision_Floating_Point_To_Integer_Truncate(SRC[127:96])
DEST[VLMAX-1:128] (unmodified)
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
F3 0F 5B /r
CVTTPS2DQ xmm1, xmm2/m128
RM V/V SSE2 Convert four single-precision floating-point
values from xmm2/m128 to four signed
doubleword integers in xmm1 using
truncation.
VEX.128.F3.0F.WIG 5B /r
VCVTTPS2DQ xmm1, xmm2/m128
RM V/V AVX Convert four packed single precision floating-
point values fromxmm2/mem to four packed
signed doubleword values in xmm1 using
truncation.
VEX.256.F3.0F.WIG 5B /r
VCVTTPS2DQ ymm1, ymm2/m256
RM V/V AVX Convert eight packed single precision floating-
point values from ymm2/memto eight packed
signed doubleword values in ymm1 using
truncation.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
CVTTPS2DQConvert with Truncation Packed Single-Precision FP Values to Packed Dword Integers
INSTRUCTION SET REFERENCE, A-M
3-218 Vol. 2A
VC V T T P S 2 D Q (VE X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] Convert_Single_Precision_Floating_Point_To_Integer_Truncate(SRC[31:0])
DEST[63:32] Convert_Single_Precision_Floating_Point_To_Integer_Truncate(SRC[63:32])
DEST[95:64] Convert_Single_Precision_Floating_Point_To_Integer_Truncate(SRC[95:64])
DEST[127:96] Convert_Single_Precision_Floating_Point_To_Integer_Truncate(SRC[127:96])
DEST[VLMAX-1:128] 0
VC V T T P S 2 D Q (VE X.2 5 6 e n co d e d v e rsio n )
DEST[31:0] Convert_Single_Precision_Floating_Point_To_Integer_Truncate(SRC[31:0])
DEST[63:32] Convert_Single_Precision_Floating_Point_To_Integer_Truncate(SRC[63:32])
DEST[95:64] Convert_Single_Precision_Floating_Point_To_Integer_Truncate(SRC[95:64])
DEST[127:96] Convert_Single_Precision_Floating_Point_To_Integer_Truncate(SRC[127:96)
DEST[159:128] Convert_Single_Precision_Floating_Point_To_Integer_Truncate(SRC[159:128])
DEST[191:160] Convert_Single_Precision_Floating_Point_To_Integer_Truncate(SRC[191:160])
DEST[223:192] Convert_Single_Precision_Floating_Point_To_Integer_Truncate(SRC[223:192])
DEST[255:224] Convert_Single_Precision_Floating_Point_To_Integer_Truncate(SRC[255:224])
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
CVTTPS2DQ: __m128i _mm_cvttps_epi32(__m128 a)
VCVTTPS2DQ: __m256i _mm256_cvttps_epi32 (__m256 a)
S I MD F lo a t in g -P o in t E x ce p t io n s
Invalid, Precision.
O t h e r E x ce p t io n s
See Exceptions Type 2; additionally
#UD If VEX.vvvv != 1111B.
CVTTPS2PIConvert with Truncation Packed Single-Precision FP Values to Packed Dword Integers
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-219
C VT T P S 2 P I C o n v e rt w it h T ru n ca t io n P a cke d S in g le -P re cisio n F P Va lu e s t o P a cke d D w o rd
I n t e g e rs
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Converts two packed single-precision floating-point values in the source operand (second operand) to two packed
signed doubleword integers in the destination operand (first operand). The source operand can be an XMM register
or a 64-bit memory location. The destination operand is an MMX technology register. When the source operand is
an XMM register, the two single-precision floating-point values are contained in the low quadword of the register.
When a conversion is inexact, a truncated (round toward zero) result is returned. If a converted result is larger
than the maximum signed doubleword integer, the floating-point invalid exception is raised, and if this exception is
masked, the indefinite integer value (80000000H) is returned.
This instruction causes a transition from x87 FPU to MMX technology operation (that is, the x87 FPU top-of-stack
pointer is set to 0 and the x87 FPU tag word is set to all 0s [valid]). If this instruction is executed while an x87 FPU
floating-point exception is pending, the exception is handled before the CVTTPS2PI instruction is executed.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
O p e ra t io n
DEST[31:0] Convert_Single_Precision_Floating_Point_To_Integer_Truncate(SRC[31:0]);
DEST[63:32] Convert_Single_Precision_Floating_Point_To_Integer_Truncate(SRC[63:32]);
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
CVTTPS2PI: __m64 _mm_cvttps_pi32(__m128 a)
S I MD F lo a t in g -P o in t E x ce p t io n s
Invalid, Precision.
O t h e r E x ce p t io n s
See Table 22-5, Exception Conditions for Legacy SIMD/MMX Instructions with XMM and FP Exception, in the
Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3B.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 2C /r
CVTTPS2PI mm, xmm/m64
RM Valid Valid Convert two single-precision floating-point
values from xmm/m64 to two signed
doubleword signed integers in mm using
truncation.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m(r) NA NA
CVTTSD2SIConvert with Truncation Scalar Double-Precision FP Value to Signed Integer
INSTRUCTION SET REFERENCE, A-M
3-220 Vol. 2A
C VT T S D 2 S I C o n v e rt w it h T ru n ca t io n S ca la r D o u b le -P re cisio n F P Va lu e to S ig n e d I n t e g e r
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Converts a double-precision floating-point value in the source operand (second operand) to a signed doubleword
integer (or signed quadword integer if operand size is 64 bits) in the destination operand (first operand). The
source operand can be an XMM register or a 64-bit memory location. The destination operand is a general purpose
register. When the source operand is an XMM register, the double-precision floating-point value is contained in the
low quadword of the register.
When a conversion is inexact, a truncated (round toward zero) result is returned. If a converted result is larger than
the maximum signed doubleword integer, the floating point invalid exception is raised. If this exception is masked,
the indefinite integer value (80000000H) is returned.
Legacy SSE instructions: In 64-bit mode, Use of the REX.W prefix promotes the instruction to 64-bit operation. See
the summary chart at the beginning of this section for encoding data and limits.
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b, otherwise instructions will #UD.
O p e ra t io n
IF 64-Bit Mode and OperandSize =64
THEN
DEST[63:0] Convert_Double_Precision_Floating_Point_To_
Integer64_Truncate(SRC[63:0]);
ELSE
DEST[31:0] Convert_Double_Precision_Floating_Point_To_
Integer32_Truncate(SRC[63:0]);
FI;
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
int _mm_cvttsd_si32(__m128d a)
__int64 _mm_cvttsd_si64(__m128d a)
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
F2 0F 2C /r
CVTTSD2SI r32, xmm/m64
RM V/V SSE2 Convert one double-precision floating-point
value from xmm/m64 to one signed
doubleword integer in r32 using truncation.
F2 REX.W 0F 2C /r
CVTTSD2SI r64, xmm/m64
RM V/N.E. SSE2 Convert one double precision floating-point
value from xmm/m64 to one signedquadword
integer in r64 using truncation.
VEX.LIG.F2.0F.W0 2C /r
VCVTTSD2SI r32, xmm1/m64
RM V/V AVX Convert one double-precision floating-point
value from xmm1/m64 to one signed
doubleword integer in r32 using truncation.
VEX.LIG.F2.0F.W1 2C /r
VCVTTSD2SI r64, xmm1/m64
RM V/N.E.
1
N O T E S :
1. Encoding the VEX prefix with VEX.W=1 in non-64-bit mode is ignored.
AVX Convert one double precision floating-point
value from xmm1/m64 to one signed
quadword integer in r64 using truncation.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
CVTTSD2SIConvert with Truncation Scalar Double-Precision FP Value to Signed Integer
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-221
S I MD F lo a t in g -P o in t E x ce p t io n s
Invalid, Precision.
O t h e r E x ce p t io n s
See Exceptions Type 3; additionally
#UD If VEX.vvvv != 1111B.
CVTTSS2SIConvert with Truncation Scalar Single-Precision FP Value to Dword Integer
INSTRUCTION SET REFERENCE, A-M
3-222 Vol. 2A
C VT T S S 2 S I C o n v e rt w it h T ru n ca t io n S ca la r S in g le -P re cisio n F P Va lu e t o D w o rd I n t e g e r
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Converts a single-precision floating-point value in the source operand (second operand) to a signed doubleword
integer (or signed quadword integer if operand size is 64 bits) in the destination operand (first operand). The
source operand can be an XMM register or a 32-bit memory location. The destination operand is a general-purpose
register. When the source operand is an XMM register, the single-precision floating-point value is contained in the
low doubleword of the register.
When a conversion is inexact, a truncated (round toward zero) result is returned. If a converted result is larger than
the maximum signed doubleword integer, the floating-point invalid exception is raised. If this exception is masked,
the indefinite integer value (80000000H) is returned.
Legacy SSE instructions: In 64-bit mode, the instruction can access additional registers (XMM8-XMM15, R8-R15)
when used with a REX.R prefix. Use of the REX.W prefix promotes the instruction to 64-bit operation. See the
summary chart at the beginning of this section for encoding data and limits.
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b, otherwise instructions will #UD.
O p e ra t io n
IF 64-Bit Mode and OperandSize =64
THEN
DEST[63:0] Convert_Single_Precision_Floating_Point_To_
Integer_Truncate(SRC[31:0]);
ELSE
DEST[31:0] Convert_Single_Precision_Floating_Point_To_
Integer_Truncate(SRC[31:0]);
FI;
O p co d e /
I n st ru ct io n
O p / E n 6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re F la g
D e scrip t io n
F3 0F 2C /r
CVTTSS2SI r32, xmm/m32
RM V/V SSE Convert one single-precision floating-point
value from xmm/m32 to one signed
doubleword integer in r32 using truncation.
F3 REX.W 0F 2C /r
CVTTSS2SI r64, xmm/m32
RM V/N.E. SSE Convert one single-precision floating-point
value from xmm/m32 to one signed quadword
integer in r64 using truncation.
VEX.LIG.F3.0F.W0 2C /r
VCVTTSS2SI r32, xmm1/m32
RM V/V AVX Convert one single-precision floating-point
value fromxmm1/m32 to one signed
doubleword integer in r32 using truncation.
VEX.LIG.F3.0F.W1 2C /r
VCVTTSS2SI r64, xmm1/m32
RM V/N.E.
1
N O T E S :
1. Encoding the VEX prefix with VEX.W=1 in non-64-bit mode is ignored.
AVX Convert one single-precision floating-point
value fromxmm1/m32 to one signed
quadword integer in r64 using truncation.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
CVTTSS2SIConvert with Truncation Scalar Single-Precision FP Value to Dword Integer
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-223
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
int _mm_cvttss_si32(__m128d a)
__int64 _mm_cvttss_si64(__m128d a)
S I MD F lo a t in g -P o in t E x ce p t io n s
Invalid, Precision.
O t h e r E x ce p t io n s
See Exceptions Type 3; additionally
#UD If VEX.vvvv != 1111B.
CWD/CDQ/CQOConvert Word to Doubleword/Convert Doubleword to Quadword
INSTRUCTION SET REFERENCE, A-M
3-224 Vol. 2A
C WD /C D Q /C Q O C o n v e rt Wo rd t o D o u b le w o rd /C o n v e rt D o u b le w o rd t o Q u a d w o rd
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Doubles the size of the operand in register AX, EAX, or RAX (depending on the operand size) by means of sign
extension and stores the result in registers DX:AX, EDX:EAX, or RDX:RAX, respectively. The CWD instruction
copies the sign (bit 15) of the value in the AX register into every bit position in the DX register. The CDQ instruction
copies the sign (bit 31) of the value in the EAX register into every bit position in the EDX register. The CQO instruc-
tion (available in 64-bit mode only) copies the sign (bit 63) of the value in the RAX register into every bit position
in the RDX register.
The CWD instruction can be used to produce a doubleword dividend from a word before word division. The CDQ
instruction can be used to produce a quadword dividend from a doubleword before doubleword division. The CQO
instruction can be used to produce a double quadword dividend from a quadword before a quadword division.
The CWD and CDQ mnemonics reference the same opcode. The CWD instruction is intended for use when the
operand-size attribute is 16 and the CDQ instruction for when the operand-size attribute is 32. Some assemblers
may force the operand size to 16 when CWD is used and to 32 when CDQ is used. Others may treat these
mnemonics as synonyms (CWD/CDQ) and use the current setting of the operand-size attribute to determine the
size of values to be converted, regardless of the mnemonic used.
In 64-bit mode, use of the REX.W prefix promotes operation to 64 bits. The CQO mnemonics reference the same
opcode as CWD/CDQ. See the summary chart at the beginning of this section for encoding data and limits.
O p e ra t io n
IF OperandSize = 16 (* CWD instruction *)
THEN
DX SignExtend(AX);
ELSE IF OperandSize = 32 (* CDQ instruction *)
EDX SignExtend(EAX); FI;
ELSE IF 64-Bit Mode and OperandSize =64 (* CQO instruction*)
RDX SignExtend(RAX); FI;
FI;
F la g s Af fe ct e d
None.
E x ce p t io n s (All O p e ra t in g Mo d e s)
#UD If the LOCK prefix is used.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
99 CWD NP Valid Valid DX:AX sign-extend of AX.
99 CDQ NP Valid Valid EDX:EAX sign-extend of EAX.
REX.W +99 CQO NP Valid N.E. RDX:RAX sign-extend of RAX.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
DAADecimal Adjust AL after Addition
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-225
D AAD e cim a l Ad ju st AL a ft e r Ad d it io n
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Adjusts the sum of two packed BCD values to create a packed BCD result. The AL register is the implied source and
destination operand. The DAA instruction is only useful when it follows an ADD instruction that adds (binary addi-
tion) two 2-digit, packed BCD values and stores a byte result in the AL register. The DAA instruction then adjusts
the contents of the AL register to contain the correct 2-digit, packed BCD result. If a decimal carry is detected, the
CF and AF flags are set accordingly.
This instruction executes as described above in compatibility mode and legacy mode. It is not valid in 64-bit mode.
O p e ra t io n
IF 64-Bit Mode
THEN
#UD;
ELSE
old_AL AL;
old_CF CF;
CF 0;
IF (((AL AND 0FH) > 9) or AF = 1)
THEN
AL AL + 6;
CF old_CF or (Carry from AL AL + 6);
AF 1;
ELSE
AF 0;
FI;
IF ((old_AL > 99H) or (old_CF = 1))
THEN
AL AL + 60H;
CF 1;
ELSE
CF 0;
FI;
FI;
E x a m p le
ADD AL, BL Before: AL=79H BL=35H EFLAGS(OSZAPC)=XXXXXX
After: AL=AEH BL=35H EFLAGS(0SZAPC)=110000
DAA Before: AL=AEH BL=35H EFLAGS(OSZAPC)=110000
After: AL=14H BL=35H EFLAGS(0SZAPC)=X00111
DAA Before: AL=2EH BL=35H EFLAGS(OSZAPC)=110000
After: AL=34H BL=35H EFLAGS(0SZAPC)=X00101
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
27 DAA NP Invalid Valid Decimal adjust AL after addition.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
DAADecimal Adjust AL after Addition
INSTRUCTION SET REFERENCE, A-M
3-226 Vol. 2A
F la g s Af fe ct e d
The CF and AF flags are set if the adjustment of the value results in a decimal carry in either digit of the result (see
the Operation section above). The SF, ZF, and PF flags are set according to the result. The OF flag is undefined.
P ro t e ct e d Mo d e E x ce p t io n s
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
#UD If the LOCK prefix is used.
6 4 -B it Mo d e E x ce p t io n s
#UD If in 64-bit mode.
DASDecimal Adjust AL after Subtraction
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-227
D AS D e cim a l Ad ju st AL a ft e r S u b t ra ct io n
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Adjusts the result of the subtraction of two packed BCD values to create a packed BCD result. The AL register is the
implied source and destination operand. The DAS instruction is only useful when it follows a SUB instruction that
subtracts (binary subtraction) one 2-digit, packed BCD value from another and stores a byte result in the AL
register. The DAS instruction then adjusts the contents of the AL register to contain the correct 2-digit, packed BCD
result. If a decimal borrow is detected, the CF and AF flags are set accordingly.
This instruction executes as described above in compatibility mode and legacy mode. It is not valid in 64-bit mode.
O p e ra t io n
IF 64-Bit Mode
THEN
#UD;
ELSE
old_AL AL;
old_CF CF;
CF 0;
IF (((AL AND 0FH) > 9) or AF = 1)
THEN
AL AL - 6;
CF old_CF or (Borrow from AL AL 6);
AF 1;
ELSE
AF 0;
FI;
IF ((old_AL > 99H) or (old_CF = 1))
THEN
AL AL 60H;
CF 1;
FI;
FI;
E x a m p le
SUB AL, BL Before: AL = 35H, BL = 47H, EFLAGS(OSZAPC) = XXXXXX
After: AL = EEH, BL = 47H, EFLAGS(0SZAPC) = 010111
DAA Before: AL = EEH, BL = 47H, EFLAGS(OSZAPC) = 010111
After: AL = 88H, BL = 47H, EFLAGS(0SZAPC) = X10111
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
2F DAS NP Invalid Valid Decimal adjust AL after subtraction.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
DASDecimal Adjust AL after Subtraction
INSTRUCTION SET REFERENCE, A-M
3-228 Vol. 2A
F la g s Af fe ct e d
The CF and AF flags are set if the adjustment of the value results in a decimal borrow in either digit of the result
(see the Operation section above). The SF, ZF, and PF flags are set according to the result. The OF flag is unde-
fined.
P ro t e ct e d Mo d e E x ce p t io n s
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
#UD If the LOCK prefix is used.
6 4 -B it Mo d e E x ce p t io n s
#UD If in 64-bit mode.
DECDecrement by 1
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-229
D E C D e cre m e n t b y 1
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Subtracts 1 from the destination operand, while preserving the state of the CF flag. The destination operand can be
a register or a memory location. This instruction allows a loop counter to be updated without disturbing the CF flag.
(To perform a decrement operation that updates the CF flag, use a SUB instruction with an immediate operand of
1.)
This instruction can be used with a LOCK prefix to allow the instruction to be executed atomically.
In 64-bit mode, DEC r16 and DEC r32 are not encodable (because opcodes 48H through 4FH are REX prefixes).
Otherwise, the instructions 64-bit mode default operation size is 32 bits. Use of the REX.R prefix permits access to
additional registers (R8-R15). Use of the REX.W prefix promotes operation to 64 bits.
See the summary chart at the beginning of this section for encoding data and limits.
O p e ra t io n
DEST DEST 1;
F la g s Af fe ct e d
The CF flag is not affected. The OF, SF, ZF, AF, and PF flags are set according to the result.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the destination operand is located in a non-writable segment.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used but the destination is not a memory operand.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
FE /1 DEC r/m8 M Valid Valid Decrement r/m8 by 1.
REX +FE /1 DEC r/m8
*
M Valid N.E. Decrement r/m8 by 1.
FF /1 DEC r/m16 M Valid Valid Decrement r/m16 by 1.
FF /1 DEC r/m32 M Valid Valid Decrement r/m32 by 1.
REX.W +FF /1 DEC r/m64 M Valid N.E. Decrement r/m64 by 1.
48+rw DEC r16 O N.E. Valid Decrement r16 by 1.
48+rd DEC r32 O N.E. Valid Decrement r32 by 1.
N O T E S :
* In 64-bit mode, r/m8 can not be encoded to access the following byte registers if a REX prefix is used: AH, BH, CH, DH.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
M ModRM:r/m (r, w) NA NA NA
O opcode +rd (r, w) NA NA NA
DECDecrement by 1
INSTRUCTION SET REFERENCE, A-M
3-230 Vol. 2A
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used but the destination is not a memory operand.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used but the destination is not a memory operand.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used but the destination is not a memory operand.
DIVUnsigned Divide
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-231
D I VU n sig n e d D iv id e
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Divides unsigned the value in the AX, DX:AX, EDX:EAX, or RDX:RAX registers (dividend) by the source operand
(divisor) and stores the result in the AX (AH:AL), DX:AX, EDX:EAX, or RDX:RAX registers. The source operand can
be a general-purpose register or a memory location. The action of this instruction depends on the operand size
(dividend/divisor). Division using 64-bit operand is available only in 64-bit mode.
Non-integral results are truncated (chopped) towards 0. The remainder is always less than the divisor in magni-
tude. Overflow is indicated with the #DE (divide error) exception rather than with the CF flag.
In 64-bit mode, the instructions default operation size is 32 bits. Use of the REX.R prefix permits access to addi-
tional registers (R8-R15). Use of the REX.W prefix promotes operation to 64 bits. In 64-bit mode when REX.W is
applied, the instruction divides the unsigned value in RDX:RAX by the source operand and stores the quotient in
RAX, the remainder in RDX.
See the summary chart at the beginning of this section for encoding data and limits. See Table 3-25.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
F6 /6 DIV r/m8 M Valid Valid Unsigned divide AX by r/m8, with result
stored in AL Quotient, AH Remainder.
REX +F6 /6 DIV r/m8
*
M Valid N.E. Unsigned divide AX by r/m8, with result
stored in AL Quotient, AH Remainder.
F7 /6 DIV r/m16 M Valid Valid Unsigned divide DX:AX by r/m16, with result
stored in AX Quotient, DX Remainder.
F7 /6 DIV r/m32 M Valid Valid Unsigned divide EDX:EAX by r/m32, with
result stored in EAX Quotient, EDX
Remainder.
REX.W +F7 /6 DIV r/m64 M Valid N.E. Unsigned divide RDX:RAX by r/m64, with
result stored in RAX Quotient, RDX
Remainder.
N O T E S :
* In 64-bit mode, r/m8 can not be encoded to access the following byte registers if a REX prefix is used: AH, BH, CH, DH.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
M ModRM:r/m (w) NA NA NA
Ta b le 3 -2 5 . D I V Act io n
O p e ra n d S ize D iv id e n d D iv iso r Q u o t ie n t R e m a in d e r
Ma x im u m
Q u o t ie n t
Word/byte AX r/m8 AL AH 255
Doubleword/word DX:AX r/m16 AX DX 65,535
Quadword/doubleword EDX:EAX r/m32 EAX EDX 2
32
1
Doublequadword/
quadword
RDX:RAX r/m64 RAX RDX 2
64
1
DIVUnsigned Divide
INSTRUCTION SET REFERENCE, A-M
3-232 Vol. 2A
O p e ra t io n
IF SRC = 0
THEN #DE; FI; (* Divide Error *)
IF OperandSize =8 (* Word/Byte Operation *)
THEN
temp AX / SRC;
IF temp > FFH
THEN #DE; (* Divide error *)
ELSE
AL temp;
AH AX MOD SRC;
FI;
ELSE IF OperandSize = 16 (* Doubleword/word operation *)
THEN
temp DX:AX / SRC;
IF temp > FFFFH
THEN #DE; (* Divide error *)
ELSE
AX temp;
DX DX:AX MOD SRC;
FI;
FI;
ELSE IF Operandsize =32 (* Quadword/doubleword operation *)
THEN
temp EDX:EAX / SRC;
IF temp > FFFFFFFFH
THEN #DE; (* Divide error *)
ELSE
EAX temp;
EDX EDX:EAX MOD SRC;
FI;
FI;
ELSE IF 64-Bit Mode and Operandsize =64 (* Doublequadword/quadword operation *)
THEN
temp RDX:RAX / SRC;
IF temp > FFFFFFFFFFFFFFFFH
THEN #DE; (* Divide error *)
ELSE
RAX temp;
RDX RDX:RAX MOD SRC;
FI;
FI;
FI;
F la g s Af fe ct e d
The CF, OF, SF, ZF, AF, and PF flags are undefined.
P ro t e ct e d Mo d e E x ce p t io n s
#DE If the source operand (divisor) is 0
If the quotient is too large for the designated register.
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
DIVUnsigned Divide
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-233
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#DE If the source operand (divisor) is 0.
If the quotient is too large for the designated register.
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#DE If the source operand (divisor) is 0.
If the quotient is too large for the designated register.
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#DE If the source operand (divisor) is 0
If the quotient is too large for the designated register.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
DIVPDDivide Packed Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-234 Vol. 2A
D I V P D D iv id e P a cke d D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs an SIMD divide of the two or four packed double-precision floating-point values in the first source operand
by the two or four packed double-precision floating-point values in the second source operand. See Chapter 11 in
the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1, for an overview of a SIMD double-
precision floating-point operation.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
128-bit Legacy SSE version: The second source can be an XMM register or an 128-bit memory location. The desti-
nation is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding
YMM register destination are unmodified.
VEX.128 encoded version: the first source operand is an XMM register or 128-bit memory location. The destination
operand is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand can be a YMM
register or a 256-bit memory location. The destination operand is a YMM register.
O p e ra t io n
D I VP D (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[63:0] SRC1[63:0] / SRC2[63:0]
DEST[127:64] SRC1[127:64] / SRC2[127:64]
DEST[VLMAX-1:128] (Unmodified)
VD I V P D (VE X.1 2 8 e n co d e d v e rsio n )
DEST[63:0] SRC1[63:0] / SRC2[63:0]
DEST[127:64] SRC1[127:64] / SRC2[127:64]
DEST[VLMAX-1:128] 0
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 5E /r
DIVPD xmm1, xmm2/m128
RM V/V SSE2 Divide packed double-precision floating-point
values in xmm1 by packed double-precision
floating-point values xmm2/m128.
VEX.NDS.128.66.0F.WIG 5E /r
VDIVPD xmm1, xmm2, xmm3/m128
RVM V/V AVX Divide packed double-precision floating-point
values in xmm2 by packed double-precision
floating-point values in xmm3/mem.
VEX.NDS.256.66.0F.WIG 5E /r
VDIVPD ymm1, ymm2, ymm3/m256
RVM V/V AVX Divide packed double-precision floating-point
values in ymm2 by packed double-precision
floating-point values in ymm3/mem.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m(r) NA
DIVPDDivide Packed Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-235
VD I V P D (VE X.2 5 6 e n co d e d v e rsio n )
DEST[63:0] SRC1[63:0] / SRC2[63:0]
DEST[127:64] SRC1[127:64] / SRC2[127:64]
DEST[191:128] SRC1[191:128] / SRC2[191:128]
DEST[255:192] SRC1[255:192] / SRC2[255:192]
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
DIVPD: __m128d _mm_div_pd(__m128d a, __m128d b)
VDIVPD: __m256d _mm256_div_pd (__m256d a, __m256d b);
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Divide-by-Zero, Precision, Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 2.
DIVPSDivide Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-236 Vol. 2A
D I VP S D iv id e P a cke d S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs an SIMD divide of the four or eight packed single-precision floating-point values in the first source
operand by the four or eight packed single-precision floating-point values in the second source operand. See
Chapter 10 in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1, for an overview of a
SIMD single-precision floating-point operation.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
128-bit Legacy SSE version: The second source can be an XMM register or an 128-bit memory location. The desti-
nation is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding
YMM register destination are unmodified.
VEX.128 encoded version: the first source operand is an XMM register or 128-bit memory location. The destination
operand is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand can be a YMM
register or a 256-bit memory location. The destination operand is a YMM register.
O p e ra t io n
D I VP S (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[31:0] SRC1[31:0] / SRC2[31:0]
DEST[63:32] SRC1[63:32] / SRC2[63:32]
DEST[95:64] SRC1[95:64] / SRC2[95:64]
DEST[127:96] SRC1[127:96] / SRC2[127:96]
DEST[VLMAX-1:128] (Unmodified)
VD I V P S (VE X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] SRC1[31:0] / SRC2[31:0]
DEST[63:32] SRC1[63:32] / SRC2[63:32]
DEST[95:64] SRC1[95:64] / SRC2[95:64]
DEST[127:96] SRC1[127:96] / SRC2[127:96]
DEST[VLMAX-1:128] 0
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 5E /r
DIVPS xmm1, xmm2/m128
RM V/V SSE Divide packed single-precision floating-point
values in xmm1 by packed single-precision
floating-point values xmm2/m128.
VEX.NDS.128.0F.WIG 5E /r
VDIVPS xmm1, xmm2, xmm3/m128
RVM V/V AVX Divide packed single-precision floating-point
values in xmm2 by packed double-precision
floating-point values in xmm3/mem.
VEX.NDS.256.0F.WIG 5E /r
VDIVPS ymm1, ymm2, ymm3/m256
RVM V/V AVX Divide packed single-precision floating-point
values in ymm2 by packed double-precision
floating-point values in ymm3/mem.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
DIVPSDivide Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-237
VD I V P S (VE X.2 5 6 e n co d e d v e rsio n )
DEST[31:0] SRC1[31:0] / SRC2[31:0]
DEST[63:32] SRC1[63:32] / SRC2[63:32]
DEST[95:64] SRC1[95:64] / SRC2[95:64]
DEST[127:96] SRC1[127:96] / SRC2[127:96]
DEST[159:128] SRC1[159:128] / SRC2[159:128]
DEST[191:160] SRC1[191:160] / SRC2[191:160]
DEST[223:192] SRC1[223:192] / SRC2[223:192]
DEST[255:224] SRC1[255:224] / SRC2[255:224].
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
DIVPS: __m128 _mm_div_ps(__m128 a, __m128 b)
VDIVPS: __m256 _mm256_div_ps (__m256 a, __m256 b);
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Divide-by-Zero, Precision, Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 2.
DIVSDDivide Scalar Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-238 Vol. 2A
D I VS D D iv id e S ca la r D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Divides the low double-precision floating-point value in the first source operand by the low double-precision
floating-point value in the second source operand, and stores the double-precision floating-point result in the desti-
nation operand. The second source operand can be an XMM register or a 64-bit memory location. The first source
and destination hyperons are XMM registers. The high quadword of the destination operand is copied from the high
quadword of the first source operand. See Chapter 11 in the Intel 64 and IA-32 Architectures Software Devel-
opers Manual, Volume 1, for an overview of a scalar double-precision floating-point operation.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
128-bit Legacy SSE version: The first source operand and the destination operand are the same. Bits (VLMAX-
1:64) of the corresponding YMM destination register remain unchanged.
VEX.128 encoded version: Bits (VLMAX-1:128) of the destination YMM register are zeroed.
O p e ra t io n
D I VS D (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[63:0] DEST[63:0] / SRC[63:0]
DEST[VLMAX-1:64] (Unmodified)
VD I V S D (VE X.1 2 8 e n co d e d v e rsio n )
DEST[63:0] SRC1[63:0] / SRC2[63:0]
DEST[127:64] SRC1[127:64]
DEST[VLMAX-1:128] 0
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
DIVSD: __m128d _mm_div_sd (m128d a, m128d b)
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Divide-by-Zero, Precision, Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 3.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
F2 0F 5E /r
DIVSD xmm1, xmm2/m64
RM V/V SSE2 Divide low double-precision floating-point
value in xmm1 by low double-precision
floating-point value in xmm2/mem64.
VEX.NDS.LIG.F2.0F.WIG 5E /r
VDIVSD xmm1, xmm2, xmm3/m64
RVM V/V AVX Divide low double-precision floating point
values in xmm2 by low double precision
floating-point value in xmm3/mem64.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
DIVSSDivide Scalar Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-239
D I VS S D iv id e S ca la r S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Divides the low single-precision floating-point value in the first source operand by the low single-precision floating-
point value in the second source operand, and stores the single-precision floating-point result in the destination
operand. The second source operand can be an XMM register or a 32-bit memory location. The first source and
destination operands are XMM registers. The three high-order doublewords of the destination are copied from the
same dwords of the first source operand. See Chapter 10 in the Intel 64 and IA-32 Architectures Software Devel-
opers Manual, Volume 1, for an overview of a scalar single-precision floating-point operation.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
128-bit Legacy SSE version: The first source operand and the destination operand are the same. Bits (VLMAX-
1:32) of the corresponding YMM destination register remain unchanged.
VEX.128 encoded version: Bits (VLMAX-1:128) of the destination YMM register are zeroed.
O p e ra t io n
D I VS S (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[31:0] DEST[31:0] / SRC[31:0]
DEST[VLMAX-1:32] (Unmodified)
VD I V S S (VE X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] SRC1[31:0] / SRC2[31:0]
DEST[127:32] SRC1[127:32]
DEST[VLMAX-1:128] 0
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
DIVSS: __m128 _mm_div_ss(__m128 a, __m128 b)
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Divide-by-Zero, Precision, Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 3.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
F3 0F 5E /r
DIVSS xmm1, xmm2/m32
RM V/V SSE Divide low single-precision floating-point value
in xmm1 by low single-precision floating-point
value in xmm2/m32.
VEX.NDS.LIG.F3.0F.WIG 5E /r
VDIVSS xmm1, xmm2, xmm3/m32
RVM V/V AVX Divide low single-precision floating point value
in xmm2 by low single precision floating-point
value in xmm3/m32.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m(r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
DPPD Dot Product of Packed Double Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-240 Vol. 2A
D P P D D o t P ro d u ct o f P a cke d D o u b le P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Conditionally multiplies the packed double-precision floating-point values in the destination operand (first operand)
with the packed double-precision floating-point values in the source (second operand) depending on a mask
extracted from bits [5:4] of the immediate operand (third operand). If a condition mask bit is zero, the corre-
sponding multiplication is replaced by a value of 0.0 in the manner described by Section 12.8.4 of Intel 64 and
IA-32 Architectures Software Developers Manual, Volume 1.
The two resulting double-precision values are summed into an intermediate result. The intermediate result is
conditionally broadcasted to the destination using a broadcast mask specified by bits [1:0] of the immediate byte.
If a broadcast mask bit is "1", the intermediate result is copied to the corresponding qword element in the destina-
tion operand. If a broadcast mask bit is zero, the corresponding element in the destination is set to zero.
DPPD follows the NaN forwarding rules stated in the Software Developers Manual, vol. 1, table 4.7. These rules do
not cover horizontal prioritization of NaNs. Horizontal propagation of NaNs to the destination and the positioning of
those NaNs in the destination is implementation dependent. NaNs on the input sources or computationally gener-
ated NaNs will have at least one NaN propagated to the destination.
128-bit Legacy SSE version: The second source can be an XMM register or an 128-bit memory location. The desti-
nation is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding
YMM register destination are unmodified.
VEX.128 encoded version: the first source operand is an XMM register or 128-bit memory location. The destination
operand is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
If VDPPD is encoded with VEX.L= 1, an attempt to execute the instruction encoded with VEX.L= 1 will cause an
#UD exception.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 3A 41 /r ib
DPPD xmm1, xmm2/m128, imm8
RMI V/V SSE4_1 Selectively multiply packed DP floating-point
values from xmm1 with packed DP floating-
point values fromxmm2, add and selectively
store the packed DP floating-point values to
xmm1.
VEX.NDS.128.66.0F3A.WIG 41 /r ib
VDPPD xmm1,xmm2, xmm3/m128, imm8
RVMI V/V AVX Selectively multiply packed DP floating-point
values fromxmm2 with packed DP floating-
point values fromxmm3, add and selectively
store the packed DP floating-point values to
xmm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RMI ModRM:reg (r, w) ModRM:r/m(r) imm8 NA
RVMI ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) imm8
DPPD Dot Product of Packed Double Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-241
O p e ra t io n
D P _p rim it iv e (S R C 1 , S R C 2 )
IF (imm8[4] =1)
THEN Temp1[63:0] DEST[63:0] * SRC[63:0]; // update SIMD exception flags
ELSE Temp1[63:0] +0.0; FI;
IF (imm8[5] =1)
THEN Temp1[127:64] DEST[127:64] * SRC[127:64]; // update SIMD exception flags
ELSE Temp1[127:64] +0.0; FI;
/* if unmasked exception reported, execute exception handler*/
Temp2[63:0] Temp1[63:0] +Temp1[127:64]; // update SIMD exception flags
/* if unmasked exception reported, execute exception handler*/
IF (imm8[0] =1)
THEN DEST[63:0] Temp2[63:0];
ELSE DEST[63:0] +0.0; FI;
IF (imm8[1] =1)
THEN DEST[127:64] Temp2[63:0];
ELSE DEST[127:64] +0.0; FI;
D P P D (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[127:0]DP_Primitive(SRC1[127:0], SRC2[127:0]);
DEST[VLMAX-1:128] (Unmodified)
VD P P D (VE X.1 2 8 e n co d e d v e rsio n )
DEST[127:0]DP_Primitive(SRC1[127:0], SRC2[127:0]);
DEST[VLMAX-1:128] 0
F la g s Af fe ct e d
None
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
DPPD: __m128d _mm_dp_pd ( __m128d a, __m128d b, const int mask);
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal
Exceptions are determined separately for each add and multiply operation. Unmasked exceptions will leave the
destination untouched.
O t h e r E x ce p t io n s
See Exceptions Type 2; additionally
#UD If VEX.L= 1.
DPPS Dot Product of Packed Single Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-242 Vol. 2A
D P P S D o t P ro d u ct o f P a cke d S in g le P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Conditionally multiplies the packed single precision floating-point values in the destination operand (first operand)
with the packed single-precision floats in the source (second operand) depending on a mask extracted from the
high 4 bits of the immediate byte (third operand). If a condition mask bit in Imm8[7:4] is zero, the corresponding
multiplication is replaced by a value of 0.0 in the manner described by Section 12.8.4 of Intel 64 and IA-32 Archi-
tectures Software Developers Manual, Volume 1.
The four resulting single-precision values are summed into an intermediate result. The intermediate result is condi-
tionally broadcasted to the destination using a broadcast mask specified by bits [3:0] of the immediate byte.
If a broadcast mask bit is "1", the intermediate result is copied to the corresponding dword element in the destina-
tion operand. If a broadcast mask bit is zero, the corresponding element in the destination is set to zero.
DPPS follows the NaN forwarding rules stated in the Software Developers Manual, vol. 1, table 4.7. These rules do
not cover horizontal prioritization of NaNs. Horizontal propagation of NaNs to the destination and the positioning of
those NaNs in the destination is implementation dependent. NaNs on the input sources or computationally gener-
ated NaNs will have at least one NaN propagated to the destination.
128-bit Legacy SSE version: The second source can be an XMM register or an 128-bit memory location. The desti-
nation is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding
YMM register destination are unmodified.
VEX.128 encoded version: the first source operand is an XMM register or 128-bit memory location. The destination
operand is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand can be a YMM
register or a 256-bit memory location. The destination operand is a YMM register.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 3A 40 /r ib
DPPS xmm1, xmm2/m128, imm8
RMI V/V SSE4_1 Selectively multiply packed SP floating-point
values from xmm1 with packed SP floating-
point values from xmm2, add and selectively
store the packed SP floating-point values or
zero values to xmm1.
VEX.NDS.128.66.0F3A.WIG 40 /r ib
VDPPS xmm1,xmm2, xmm3/m128, imm8
RVMI V/V AVX Multiply packed SP floating point values from
xmm1 with packed SP floating point values
from xmm2/memselectively add and store to
xmm1.
VEX.NDS.256.66.0F3A.WIG 40 /r ib
VDPPS ymm1, ymm2, ymm3/m256, imm8
RVMI V/V AVX Multiply packed single-precision floating-point
values from ymm2 with packed SP floating
point values from ymm3/mem, selectively add
pairs of elements and store to ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RMI ModRM:reg (r, w) ModRM:r/m (r) imm8 NA
RVMI ModRM:reg (w) VEX.vvvv (r) ModRM:r/m(r) imm8
DPPS Dot Product of Packed Single Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-243
O p e ra t io n
D P _p rim it iv e (S R C 1 , S R C 2 )
IF (imm8[4] =1)
THEN Temp1[31:0] DEST[31:0] * SRC[31:0]; // update SIMD exception flags
ELSE Temp1[31:0] +0.0; FI;
IF (imm8[5] =1)
THEN Temp1[63:32] DEST[63:32] * SRC[63:32]; // update SIMD exception flags
ELSE Temp1[63:32] +0.0; FI;
IF (imm8[6] =1)
THEN Temp1[95:64] DEST[95:64] * SRC[95:64]; // update SIMD exception flags
ELSE Temp1[95:64] +0.0; FI;
IF (imm8[7] =1)
THEN Temp1[127:96] DEST[127:96] * SRC[127:96]; // update SIMD exception flags
ELSE Temp1[127:96] +0.0; FI;
Temp2[31:0] Temp1[31:0] +Temp1[63:32]; // update SIMD exception flags
/* if unmasked exception reported, execute exception handler*/
Temp3[31:0] Temp1[95:64] +Temp1[127:96]; // update SIMD exception flags
/* if unmasked exception reported, execute exception handler*/
Temp4[31:0] Temp2[31:0] +Temp3[31:0]; // update SIMD exception flags
/* if unmasked exception reported, execute exception handler*/
IF (imm8[0] =1)
THEN DEST[31:0] Temp4[31:0];
ELSE DEST[31:0] +0.0; FI;
IF (imm8[1] =1)
THEN DEST[63:32] Temp4[31:0];
ELSE DEST[63:32] +0.0; FI;
IF (imm8[2] =1)
THEN DEST[95:64] Temp4[31:0];
ELSE DEST[95:64] +0.0; FI;
IF (imm8[3] =1)
THEN DEST[127:96] Temp4[31:0];
ELSE DEST[127:96] +0.0; FI;
D P P S (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[127:0]DP_Primitive(SRC1[127:0], SRC2[127:0]);
DEST[VLMAX-1:128] (Unmodified)
VD P P S (VE X.1 2 8 e n co d e d v e rsio n )
DEST[127:0]DP_Primitive(SRC1[127:0], SRC2[127:0]);
DEST[VLMAX-1:128] 0
VD P P S (VE X.2 5 6 e n co d e d v e rsio n )
DEST[127:0]DP_Primitive(SRC1[127:0], SRC2[127:0]);
DEST[255:128]DP_Primitive(SRC1[255:128], SRC2[255:128]);
F la g s Af fe ct e d
None
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
(V)DPPS: __m128 _mm_dp_ps ( __m128 a, __m128 b, const int mask);
DPPS Dot Product of Packed Single Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-244 Vol. 2A
VDPPS: __m256 _mm256_dp_ps ( __m256 a, __m256 b, const int mask);
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal
Exceptions are determined separately for each add and multiply operation, in the order of their execution.
Unmasked exceptions will leave the destination operands unchanged.
O t h e r E x ce p t io n s
See Exceptions Type 2.
EMMSEmpty MMX Technology State
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-245
E MMS E m p t y MMX Te ch n o lo g y S t a t e
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Sets the values of all the tags in the x87 FPU tag word to empty (all 1s). This operation marks the x87 FPU data
registers (which are aliased to the MMX technology registers) as available for use by x87 FPU floating-point instruc-
tions. (See Figure 8-7 in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1, for the
format of the x87 FPU tag word.) All other MMX instructions (other than the EMMS instruction) set all the tags in
x87 FPU tag word to valid (all 0s).
The EMMS instruction must be used to clear the MMX technology state at the end of all MMX technology procedures
or subroutines and before calling other procedures or subroutines that may execute x87 floating-point instructions.
If a floating-point instruction loads one of the registers in the x87 FPU data register stack before the x87 FPU tag
word has been reset by the EMMS instruction, an x87 floating-point register stack overflow can occur that will
result in an x87 floating-point exception or incorrect result.
EMMS operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
x87FPUTagWord FFFFH;
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
void _mm_empty()
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#UD If CR0.EM[bit 2] = 1.
#NM If CR0.TS[bit 3] = 1.
#MF If there is a pending FPU exception.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as in protected mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 77 EMMS NP Valid Valid Set the x87 FPU tag word to empty.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
EMMSEmpty MMX Technology State
INSTRUCTION SET REFERENCE, A-M
3-246 Vol. 2A
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
ENTERMake Stack Frame for Procedure Parameters
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-247
E N T E R Ma ke S t a ck F ra m e fo r P ro ce d u re P a ra m e t e rs
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Creates a stack frame for a procedure. The first operand (size operand) specifies the size of the stack frame (that
is, the number of bytes of dynamic storage allocated on the stack for the procedure). The second operand (nesting
level operand) gives the lexical nesting level (0 to 31) of the procedure. The nesting level determines the number
of stack frame pointers that are copied into the display area of the new stack frame from the preceding frame.
Both of these operands are immediate values.
The stack-size attribute determines whether the BP (16 bits), EBP (32 bits), or RBP (64 bits) register specifies the
current frame pointer and whether SP (16 bits), ESP (32 bits), or RSP (64 bits) specifies the stack pointer. In 64-
bit mode, stack-size attribute is always 64-bits.
The ENTER and companion LEAVE instructions are provided to support block structured languages. The ENTER
instruction (when used) is typically the first instruction in a procedure and is used to set up a new stack frame for
a procedure. The LEAVE instruction is then used at the end of the procedure (just before the RET instruction) to
release the stack frame.
If the nesting level is 0, the processor pushes the frame pointer from the BP/EBP/RBP register onto the stack,
copies the current stack pointer from the SP/ESP/RSP register into the BP/EBP/RBP register, and loads the
SP/ESP/RSP register with the current stack-pointer value minus the value in the size operand. For nesting levels of
1 or greater, the processor pushes additional frame pointers on the stack before adjusting the stack pointer. These
additional frame pointers provide the called procedure with access points to other nested frames on the stack. See
Procedure Calls for Block-Structured Languages in Chapter 6 of the Intel 64 and IA-32 Architectures Software
Developers Manual, Volume 1, for more information about the actions of the ENTER instruction.
The ENTER instruction causes a page fault whenever a write using the final value of the stack pointer (within the
current stack segment) would do so.
In 64-bit mode, default operation size is 64 bits; 32-bit operation size cannot be encoded.
O p e ra t io n
NestingLevel NestingLevel MOD 32
IF 64-Bit Mode (StackSize =64)
THEN
Push(RBP);
FrameTemp RSP;
ELSE IF StackSize = 32
THEN
Push(EBP);
FrameTemp ESP; FI;
ELSE (* StackSize = 16 *)
Push(BP);
FrameTemp SP;
FI;
IF NestingLevel = 0
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
C8 iw 00 ENTER imm16, 0 II Valid Valid Create a stack frame for a procedure.
C8 iw 01 ENTER imm16,1 II Valid Valid Create a nested stack frame for a procedure.
C8 iw ib ENTER imm16, imm8 II Valid Valid Create a nested stack frame for a procedure.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
II iw imm8 NA NA
ENTERMake Stack Frame for Procedure Parameters
INSTRUCTION SET REFERENCE, A-M
3-248 Vol. 2A
THEN GOTO CONTINUE;
FI;
IF (NestingLevel >1)
THEN FOR i 1 to (NestingLevel - 1)
DO
IF 64-Bit Mode (StackSize =64)
THEN
RBP RBP - 8;
Push([RBP]); (* Quadword push *)
ELSE IF OperandSize =32
THEN
IF StackSize =32
EBP EBP - 4;
Push([EBP]); (* Doubleword push *)
ELSE (* StackSize =16 *)
BP BP - 4;
Push([BP]); (* Doubleword push *)
FI;
FI;
ELSE (* OperandSize =16 *)
IF StackSize =32
THEN
EBP EBP - 2;
Push([EBP]); (* Word push *)
ELSE (* StackSize =16 *)
BP BP - 2;
Push([BP]); (* Word push *)
FI;
FI;
OD;
FI;
IF 64-Bit Mode (StackSize =64)
THEN
Push(FrameTemp); (* Quadword push *)
ELSE IF OperandSize =32
THEN
Push(FrameTemp); FI; (* Doubleword push *)
ELSE (* OperandSize =16 *)
Push(FrameTemp); (* Word push *)
FI;
CONTINUE:
IF 64-Bit Mode (StackSize =64)
THEN
RBP FrameTemp;
RSP RSP Size;
ELSE IF StackSize = 32
THEN
EBP FrameTemp;
ESP ESP Size; FI;
ELSE (* StackSize = 16 *)
BP FrameTemp;
SP SP Size;
ENTERMake Stack Frame for Procedure Parameters
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-249
FI;
END;
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#SS(0) If the new value of the SP or ESP register is outside the stack segment limit.
#PF(fault-code) If a page fault occurs or if a write using the final value of the stack pointer (within the current
stack segment) would cause a page fault.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#SS If the new value of the SP or ESP register is outside the stack segment limit.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#SS(0) If the new value of the SP or ESP register is outside the stack segment limit.
#PF(fault-code) If a page fault occurs or if a write using the final value of the stack pointer (within the current
stack segment) would cause a page fault.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If the stack address is in a non-canonical form.
#PF(fault-code) If a page fault occurs or if a write using the final value of the stack pointer (within the current
stack segment) would cause a page fault.
#UD If the LOCK prefix is used.
EXTRACTPS Extract Packed Single Precision Floating-Point Value
INSTRUCTION SET REFERENCE, A-M
3-250 Vol. 2A
E XT R AC T P S E x t ra ct P a cke d S in g le P re cisio n F lo a t in g -P o in t Va lu e
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Extracts a single-precision floating-point value from the source operand (second operand) at the 32-bit offset spec-
ified from imm8. Immediate bits higher than the most significant offset for the vector length are ignored.
The extracted single-precision floating-point value is stored in the low 32-bits of the destination operand
In 64-bit mode, destination register operand has default operand size of 64 bits. The upper 32-bits of the register
are filled with zero. REX.W is ignored.
128-bit Legacy SSE version: When a REX.W prefix is used in 64-bit mode with a general purpose register (GPR) as
a destination operand, the packed single quantity is zero extended to 64 bits.
VEX.128 encoded version: When VEX.128.66.0F3A.W1 17 form is used in 64-bit mode with a general purpose
register (GPR) as a destination operand, the packed single quantity is zero extended to 64 bits. VEX.vvvv is
reserved and must be 1111b otherwise instructions will #UD.
The source register is an XMM register. Imm8[1:0] determine the starting DWORD offset from which to extract the
32-bit floating-point value.
If VEXTRACTPS is encoded with VEX.L= 1, an attempt to execute the instruction encoded with VEX.L= 1 will cause
an #UD exception.
O p e ra t io n
E XT R AC T P S (1 2 8 -b it Le g a cy S S E v e rsio n )
SRC_OFFSET IMM8[1:0]
IF ( 64-Bit Mode and DEST is register)
DEST[31:0] (SRC[127:0] (SRC_OFFET*32)) AND 0FFFFFFFFh
DEST[63:32] 0
ELSE
DEST[31:0] (SRC[127:0] (SRC_OFFET*32)) AND 0FFFFFFFFh
FI
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 3A 17
/r ib
EXTRACTPS reg/m32, xmm2, imm8
MRI V/V SSE4_1 Extract a single-precision floating-point value
from xmm2 at the source offset specified by
imm8 and store the result to reg or m32. The
upper 32 bits of r64 is zeroed if reg is r64.
VEX.128.66.0F3A.WIG 17 /r ib
VEXTRACTPS r/m32, xmm1, imm8
MRI V/V AVX Extract one single-precision floating-point
value from xmm1 at the offset specified by
imm8 and store the result in reg or m32. Zero
extend the results in 64-bit register if
applicable.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
MRI ModRM:r/m (w) ModRM:reg (r) imm8 NA
EXTRACTPS Extract Packed Single Precision Floating-Point Value
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-251
VE XT R AC T P S (VE X.1 2 8 e n co d e d v e rsio n )
SRC_OFFSET IMM8[1:0]
IF ( 64-Bit Mode and DEST is register)
DEST[31:0] (SRC[127:0] (SRC_OFFET*32)) AND 0FFFFFFFFh
DEST[63:32] 0
ELSE
DEST[31:0] (SRC[127:0] (SRC_OFFET*32)) AND 0FFFFFFFFh
FI
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
EXTRACTPS: _mm_extractmem_ps (float *dest, __m128 a, const int nidx);
EXTRACTPS: __m128 _mm_extract_ps (__m128 a, const int nidx);
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Exceptions Type 5; additionally
#UD If VEX.L= 1.
F2XM1Compute 2x1
INSTRUCTION SET REFERENCE, A-M
3-252 Vol. 2A
F 2 XM1 C o m p u t e 2
x
1
D e scrip t io n
Computes the exponential value of 2 to the power of the source operand minus 1. The source operand is located in
register ST(0) and the result is also stored in ST(0). The value of the source operand must lie in the range 1.0 to
+1.0. If the source value is outside this range, the result is undefined.
The following table shows the results obtained when computing the exponential value of various classes of
numbers, assuming that neither overflow nor underflow occurs.
Values other than 2 can be exponentiated using the following formula:
x
y
2
(y log
2
x)
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
ST(0) (2
ST(0)
1);
F P U F la g s Af fe ct e d
C1 Set to 0 if stack underflow occurred.
Set if result was rounded up; cleared otherwise.
C0, C2, C3 Undefined.
F lo a t in g -P o in t E x ce p t io n s
#IS Stack underflow occurred.
#IA Source operand is an SNaN value or unsupported format.
#D Source is a denormal value.
#U Result is too small for destination format.
#P Value cannot be represented exactly in destination format.
P ro t e ct e d Mo d e E x ce p t io n s
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as in protected mode.
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
D9 F0 F2XM1 Valid Valid Replace ST(0) with (2
ST(0)
1).
Ta b le 3 -2 6 . R e su lt s O b t a in e d fro m F 2 XM1
S T (0 ) S R C S T (0 ) D E S T
1.0 to 0 0.5 to 0
0 0
+ 0 + 0
+ 0 to +1.0 + 0 to 1.0
F2XM1Compute 2x1
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-253
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
FABSAbsolute Value
INSTRUCTION SET REFERENCE, A-M
3-254 Vol. 2A
FAB S Ab so lu t e Va lu e
D e scrip t io n
Clears the sign bit of ST(0) to create the absolute value of the operand. The following table shows the results
obtained when creating the absolute value of various classes of numbers.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
ST(0) |ST(0)|;
F P U F la g s Af fe ct e d
C1 Set to 0.
C0, C2, C3 Undefined.
F lo a t in g -P o in t E x ce p t io n s
#IS Stack underflow occurred.
P ro t e ct e d Mo d e E x ce p t io n s
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as in protected mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
D9 E1 FABS Valid Valid Replace ST with its absolute value.
Ta b le 3 -2 7. R e su lt s O b t a in e d f ro m FAB S
S T (0 ) S R C S T (0 ) D E S T
+
F + F
0 + 0
+ 0 + 0
+ F + F
+ +
NaN NaN
N O T E S :
F Means finite floating-point value.
FABSAbsolute Value
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-255
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
FADD/FADDP/FIADDAdd
INSTRUCTION SET REFERENCE, A-M
3-256 Vol. 2A
FAD D /FAD D P /F I AD D Ad d
D e scrip t io n
Adds the destination and source operands and stores the sum in the destination location. The destination operand
is always an FPU register; the source operand can be a register or a memory location. Source operands in memory
can be in single-precision or double-precision floating-point format or in word or doubleword integer format.
The no-operand version of the instruction adds the contents of the ST(0) register to the ST(1) register. The one-
operand version adds the contents of a memory location (either a floating-point or an integer value) to the contents
of the ST(0) register. The two-operand version, adds the contents of the ST(0) register to the ST(i) register or vice
versa. The value in ST(0) can be doubled by coding:
FADD ST(0), ST(0);
The FADDP instructions perform the additional operation of popping the FPU register stack after storing the result.
To pop the register stack, the processor marks the ST(0) register as empty and increments the stack pointer (TOP)
by 1. (The no-operand version of the floating-point add instructions always results in the register stack being
popped. In some assemblers, the mnemonic for this instruction is FADD rather than FADDP.)
The FIADD instructions convert an integer source operand to double extended-precision floating-point format
before performing the addition.
The table on the following page shows the results obtained when adding various classes of numbers, assuming that
neither overflow nor underflow occurs.
When the sum of two operands with opposite signs is 0, the result is +0, except for the round toward mode, in
which case the result is 0. When the source operand is an integer 0, it is treated as a +0.
When both operand are infinities of the same sign, the result is of the expected sign. If both operands are infini-
ties of opposite signs, an invalid-operation exception is generated. See Table 3-28.
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
D8 /0 FADD m32fp Valid Valid Add m32fp to ST(0) and store result in ST(0).
DC /0 FADD m64fp Valid Valid Add m64fp to ST(0) and store result in ST(0).
D8 C0+i FADD ST(0), ST(i) Valid Valid Add ST(0) to ST(i) and store result in ST(0).
DC C0+i FADD ST(i), ST(0) Valid Valid Add ST(i) to ST(0) and store result in ST(i).
DE C0+i FADDP ST(i), ST(0) Valid Valid Add ST(0) to ST(i), store result in ST(i), and pop the
register stack.
DE C1 FADDP Valid Valid Add ST(0) to ST(1), store result in ST(1), and pop the
register stack.
DA /0 FIADD m32int Valid Valid Add m32int to ST(0) and store result in ST(0).
DE /0 FIADD m16int Valid Valid Add m16int to ST(0) and store result in ST(0).
FADD/FADDP/FIADDAdd
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-257
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
IF Instruction = FIADD
THEN
DEST DEST + ConvertToDoubleExtendedPrecisionFP(SRC);
ELSE (* Source operand is floating-point value *)
DEST DEST + SRC;
FI;
IF Instruction = FADDP
THEN
PopRegisterStack;
FI;
F P U F la g s Af fe ct e d
C1 Set to 0 if stack underflow occurred.
Set if result was rounded up; cleared otherwise.
C0, C2, C3 Undefined.
F lo a t in g -P o in t E x ce p t io n s
#IS Stack underflow occurred.
#IA Operand is an SNaN value or unsupported format.
Operands are infinities of unlike sign.
#D Source operand is a denormal value.
#U Result is too small for destination format.
#O Result is too large for destination format.
#P Value cannot be represented exactly in destination format.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
Ta b le 3 -2 8 . FAD D /FAD D P /F I AD D R e su lt s
D E S T
F 0 + 0 + F + NaN
* NaN
F or I F SRC SRC F or 0 + NaN
S R C 0 DEST 0 0 DEST + NaN
+ 0 DEST 0 + 0 DEST + NaN
+ F or + I F or 0 SRC SRC + F + NaN
+ * + + + + + NaN
NaN NaN NaN NaN NaN NaN NaN NaN
N O T E S :
F Means finite floating-point value.
I Means integer.
* Indicates floating-point invalid-arithmetic-operand (#IA) exception.
FADD/FADDP/FIADDAdd
INSTRUCTION SET REFERENCE, A-M
3-258 Vol. 2A
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
FBLDLoad Binary Coded Decimal
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-259
F B LD Lo a d B in a ry C o d e d D e cim a l
D e scrip t io n
Converts the BCD source operand into double extended-precision floating-point format and pushes the value onto
the FPU stack. The source operand is loaded without rounding errors. The sign of the source operand is preserved,
including that of 0.
The packed BCD digits are assumed to be in the range 0 through 9; the instruction does not check for invalid digits
(AH through FH). Attempting to load an invalid encoding produces an undefined result.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
TOP TOP 1;
ST(0) ConvertToDoubleExtendedPrecisionFP(SRC);
F P U F la g s Af fe ct e d
C1 Set to 1 if stack overflow occurred; otherwise, set to 0.
C0, C2, C3 Undefined.
F lo a t in g -P o in t E x ce p t io n s
#IS Stack overflow occurred.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
DF /4 FBLD m80dec Valid Valid Convert BCD value to floating-point and push onto the
FPU stack.
FBLDLoad Binary Coded Decimal
INSTRUCTION SET REFERENCE, A-M
3-260 Vol. 2A
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
FBSTPStore BCD Integer and Pop
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-261
F B S T P S t o re B C D I n t e g e r a n d P o p
D e scrip t io n
Converts the value in the ST(0) register to an 18-digit packed BCD integer, stores the result in the destination
operand, and pops the register stack. If the source value is a non-integral value, it is rounded to an integer value,
according to rounding mode specified by the RC field of the FPU control word. To pop the register stack, the
processor marks the ST(0) register as empty and increments the stack pointer (TOP) by 1.
The destination operand specifies the address where the first byte destination value is to be stored. The BCD value
(including its sign bit) requires 10 bytes of space in memory.
The following table shows the results obtained when storing various classes of numbers in packed BCD format.
If the converted value is too large for the destination format, or if the source operand is an , SNaN, QNAN, or is in
an unsupported format, an invalid-arithmetic-operand condition is signaled. If the invalid-operation exception is
not masked, an invalid-arithmetic-operand exception (#IA) is generated and no value is stored in the destination
operand. If the invalid-operation exception is masked, the packed BCD indefinite value is stored in memory.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
DEST BCD(ST(0));
PopRegisterStack;
F P U F la g s Af fe ct e d
C1 Set to 0 if stack underflow occurred.
Set if result was rounded up; cleared otherwise.
C0, C2, C3 Undefined.
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
DF /6 FBSTP m80bcd Valid Valid Store ST(0) in m80bcd and pop ST(0).
Ta b le 3 -2 9 . F B S T P R e su lt s
S T (0 ) D E S T
or Value Too Large for DEST Format *
F 1 D
1 < F < -0 **
0 0
+ 0 + 0
+ 0 < F < +1 **
F +1 + D
+ or Value Too Large for DEST Format *
NaN *
N O T E S :
F Means finite floating-point value.
D Means packed-BCD number.
* Indicates floating-point invalid-operation (#IA) exception.
**0 or 1, depending on the rounding mode.
FBSTPStore BCD Integer and Pop
INSTRUCTION SET REFERENCE, A-M
3-262 Vol. 2A
F lo a t in g -P o in t E x ce p t io n s
#IS Stack underflow occurred.
#IA Converted value that exceeds 18 BCD digits in length.
Source operand is an SNaN, QNaN, , or in an unsupported format.
#P Value cannot be represented exactly in destination format.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If a segment register is being loaded with a segment selector that points to a non-writable
segment.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
FCHSChange Sign
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-263
F C H S C h a n g e S ig n
D e scrip t io n
Complements the sign bit of ST(0). This operation changes a positive value into a negative value of equal magni-
tude or vice versa. The following table shows the results obtained when changing the sign of various classes of
numbers.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
SignBit(ST(0)) NOT (SignBit(ST(0)));
F P U F la g s Af fe ct e d
C1 Set to 0.
C0, C2, C3 Undefined.
F lo a t in g -P o in t E x ce p t io n s
#IS Stack underflow occurred.
P ro t e ct e d Mo d e E x ce p t io n s
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as in protected mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
D9 E0 FCHS Valid Valid Complements sign of ST(0).
Ta b le 3 -3 0 . F C H S R e su lt s
S T (0 ) S R C S T (0 ) D E S T
+
F + F
0 + 0
+ 0 0
+ F F
+
NaN NaN
N O T E S :
* F means finite floating-point value.
FCHSChange Sign
INSTRUCTION SET REFERENCE, A-M
3-264 Vol. 2A
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
FCLEX/FNCLEXClear Exceptions
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-265
F C LE X/F N C LE XC le a r E x ce p t io n s
D e scrip t io n
Clears the floating-point exception flags (PE, UE, OE, ZE, DE, and IE), the exception summary status flag (ES), the
stack fault flag (SF), and the busy flag (B) in the FPU status word. The FCLEX instruction checks for and handles
any pending unmasked floating-point exceptions before clearing the exception flags; the FNCLEX instruction does
not.
The assembler issues two instructions for the FCLEX instruction (an FWAIT instruction followed by an FNCLEX
instruction), and the processor executes each of these instructions separately. If an exception is generated for
either of these instructions, the save EIP points to the instruction that caused the exception.
I A-3 2 Arch it e ct u re C o m p a t ib ilit y
When operating a Pentium or Intel486 processor in MS-DOS* compatibility mode, it is possible (under unusual
circumstances) for an FNCLEX instruction to be interrupted prior to being executed to handle a pending FPU excep-
tion. See the section titled No-Wait FPU Instructions Can Get FPU Interrupt in Window in Appendix D of the Intel
64 and IA-32 Architectures Software Developers Manual, Volume 1, for a description of these circumstances. An
FNCLEX instruction cannot be interrupted in this way on a Pentium 4, Intel Xeon, or P6 family processor.
This instruction affects only the x87 FPU floating-point exception flags. It does not affect the SIMD floating-point
exception flags in the MXCRS register.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
FPUStatusWord[0:7] 0;
FPUStatusWord[15] 0;
F P U F la g s Af fe ct e d
The PE, UE, OE, ZE, DE, IE, ES, SF, and B flags in the FPU status word are cleared. The C0, C1, C2, and C3 flags are
undefined.
F lo a t in g -P o in t E x ce p t io n s
None.
P ro t e ct e d Mo d e E x ce p t io n s
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as in protected mode.
O p co d e * I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
9B DB E2 FCLEX Valid Valid Clear floating-point exception flags after checking for
pending unmasked floating-point exceptions.
DB E2 FNCLEX
*
Valid Valid Clear floating-point exception flags without checking for
pending unmasked floating-point exceptions.
N O T E S :
* See IA-32 Architecture Compatibility section below.
FCLEX/FNCLEXClear Exceptions
INSTRUCTION SET REFERENCE, A-M
3-266 Vol. 2A
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
FCMOVccFloating-Point Conditional Move
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-267
F C MO VccF lo a t in g -P o in t C o n d it io n a l Mo v e
D e scrip t io n
Tests the status flags in the EFLAGS register and moves the source operand (second operand) to the destination
operand (first operand) if the given test condition is true. The condition for each mnemonic os given in the Descrip-
tion column above and in Chapter 8 in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume
1. The source operand is always in the ST(i) register and the destination operand is always ST(0).
The FCMOVcc instructions are useful for optimizing small IF constructions. They also help eliminate branching
overhead for IF operations and the possibility of branch mispredictions by the processor.
A processor may not support the FCMOVcc instructions. Software can check if the FCMOVcc instructions are
supported by checking the processors feature information with the CPUID instruction (see COMISSCompare
Scalar Ordered Single-Precision Floating-Point Values and Set EFLAGS in this chapter). If both the CMOV and FPU
feature bits are set, the FCMOVcc instructions are supported.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
I A-3 2 Arch it e ct u re C o m p a t ib ilit y
The FCMOVcc instructions were introduced to the IA-32 Architecture in the P6 family processors and are not avail-
able in earlier IA-32 processors.
O p e ra t io n
IF condition TRUE
THEN ST(0) ST(i);
FI;
F P U F la g s Af fe ct e d
C1 Set to 0 if stack underflow occurred.
C0, C2, C3 Undefined.
F lo a t in g -P o in t E x ce p t io n s
#IS Stack underflow occurred.
I n t e g e r F la g s Af fe ct e d
None.
O p co d e * I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e *
D e scrip t io n
DA C0+i FCMOVB ST(0), ST(i) Valid Valid Move if below (CF=1).
DA C8+i FCMOVE ST(0), ST(i) Valid Valid Move if equal (ZF=1).
DA D0+i FCMOVBE ST(0), ST(i) Valid Valid Move if below or equal (CF=1 or ZF=1).
DA D8+i FCMOVU ST(0), ST(i) Valid Valid Move if unordered (PF=1).
DB C0+i FCMOVNB ST(0), ST(i) Valid Valid Move if not below (CF=0).
DB C8+i FCMOVNE ST(0), ST(i) Valid Valid Move if not equal (ZF=0).
DB D0+i FCMOVNBE ST(0), ST(i) Valid Valid Move if not below or equal (CF=0 and ZF=0).
DB D8+i FCMOVNU ST(0), ST(i) Valid Valid Move if not unordered (PF=0).
N O T E S :
* See IA-32 Architecture Compatibility section below.
FCMOVccFloating-Point Conditional Move
INSTRUCTION SET REFERENCE, A-M
3-268 Vol. 2A
P ro t e ct e d Mo d e E x ce p t io n s
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as in protected mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
FCOM/FCOMP/FCOMPPCompare Floating Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-269
F C O M/F C O MP /F C O MP P C o m p a re F lo a t in g P o in t Va lu e s
D e scrip t io n
Compares the contents of register ST(0) and source value and sets condition code flags C0, C2, and C3 in the FPU
status word according to the results (see the table below). The source operand can be a data register or a memory
location. If no source operand is given, the value in ST(0) is compared with the value in ST(1). The sign of zero is
ignored, so that 0.0 is equal to +0.0.
This instruction checks the class of the numbers being compared (see FXAMExamine ModR/M in this chapter).
If either operand is a NaN or is in an unsupported format, an invalid-arithmetic-operand exception (#IA) is raised
and, if the exception is masked, the condition flags are set to unordered. If the invalid-arithmetic-operand excep-
tion is unmasked, the condition code flags are not set.
The FCOMP instruction pops the register stack following the comparison operation and the FCOMPP instruction
pops the register stack twice following the comparison operation. To pop the register stack, the processor marks
the ST(0) register as empty and increments the stack pointer (TOP) by 1.
The FCOM instructions perform the same operation as the FUCOM instructions. The only difference is how they
handle QNaN operands. The FCOM instructions raise an invalid-arithmetic-operand exception (#IA) when either or
both of the operands is a NaN value or is in an unsupported format. The FUCOM instructions perform the same
operation as the FCOM instructions, except that they do not generate an invalid-arithmetic-operand exception for
QNaNs.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
D8 /2 FCOM m32fp Valid Valid Compare ST(0) with m32fp.
DC /2 FCOM m64fp Valid Valid Compare ST(0) with m64fp.
D8 D0+i FCOM ST(i) Valid Valid Compare ST(0) with ST(i).
D8 D1 FCOM Valid Valid Compare ST(0) with ST(1).
D8 /3 FCOMP m32fp Valid Valid Compare ST(0) with m32fp and pop register stack.
DC /3 FCOMP m64fp Valid Valid Compare ST(0) with m64fp and pop register stack.
D8 D8+i FCOMP ST(i) Valid Valid Compare ST(0) with ST(i) and pop register stack.
D8 D9 FCOMP Valid Valid Compare ST(0) with ST(1) and pop register stack.
DE D9 FCOMPP Valid Valid Compare ST(0) with ST(1) and pop register stack
twice.
Ta b le 3 -3 1 . F C O M/F C O MP /F C O MP P R e su lt s
C o n d it io n C 3 C 2 C 0
ST(0) > SRC 0 0 0
ST(0) < SRC 0 0 1
ST(0) = SRC 1 0 0
Unordered* 1 1 1
N O T E S :
* Flags not set if unmasked invalid-arithmetic-operand (#IA) exception is generated.
FCOM/FCOMP/FCOMPPCompare Floating Point Values
INSTRUCTION SET REFERENCE, A-M
3-270 Vol. 2A
O p e ra t io n
CASE (relation of operands) OF
ST > SRC: C3, C2, C0 000;
ST < SRC: C3, C2, C0 001;
ST = SRC: C3, C2, C0 100;
ESAC;
IF ST(0) or SRC = NaN or unsupported format
THEN
#IA
IF FPUControlWord.IM = 1
THEN
C3, C2, C0 111;
FI;
FI;
IF Instruction = FCOMP
THEN
PopRegisterStack;
FI;
IF Instruction = FCOMPP
THEN
PopRegisterStack;
PopRegisterStack;
FI;
F P U F la g s Af fe ct e d
C1 Set to 0.
C0, C2, C3 See table on previous page.
F lo a t in g -P o in t E x ce p t io n s
#IS Stack underflow occurred.
#IA One or both operands are NaN values or have unsupported formats.
Register is marked empty.
#D One or both operands are denormal values.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
FCOM/FCOMP/FCOMPPCompare Floating Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-271
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
FCOMI/FCOMIP/ FUCOMI/FUCOMIPCompare Floating Point Values and Set EFLAGS
INSTRUCTION SET REFERENCE, A-M
3-272 Vol. 2A
F C O MI /F C O MI P /F U C O MI /F U C O MI P C o m p a re F lo a t in g P o in t Va lu e s a n d S e t E F LAG S
D e scrip t io n
Performs an unordered comparison of the contents of registers ST(0) and ST(i) and sets the status flags ZF, PF, and
CF in the EFLAGS register according to the results (see the table below). The sign of zero is ignored for compari-
sons, so that 0.0 is equal to +0.0.
An unordered comparison checks the class of the numbers being compared (see FXAMExamine ModR/M in this
chapter). The FUCOMI/FUCOMIP instructions perform the same operations as the FCOMI/FCOMIP instructions. The
only difference is that the FUCOMI/FUCOMIP instructions raise the invalid-arithmetic-operand exception (#IA) only
when either or both operands are an SNaN or are in an unsupported format; QNaNs cause the condition code flags
to be set to unordered, but do not cause an exception to be generated. The FCOMI/FCOMIP instructions raise an
invalid-operation exception when either or both of the operands are a NaN value of any kind or are in an unsup-
ported format.
If the operation results in an invalid-arithmetic-operand exception being raised, the status flags in the EFLAGS
register are set only if the exception is masked.
The FCOMI/FCOMIP and FUCOMI/FUCOMIP instructions set the OF, SF and AF flags to zero in the EFLAGS register
(regardless of whether an invalid-operation exception is detected).
The FCOMIP and FUCOMIP instructions also pop the register stack following the comparison operation. To pop the
register stack, the processor marks the ST(0) register as empty and increments the stack pointer (TOP) by 1.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
I A-3 2 Arch it e ct u re C o m p a t ib ilit y
The FCOMI/FCOMIP/FUCOMI/FUCOMIP instructions were introduced to the IA-32 Architecture in the P6 family
processors and are not available in earlier IA-32 processors.
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
DB F0+i FCOMI ST, ST(i) Valid Valid Compare ST(0) with ST(i) and set status flags accordingly.
DF F0+i FCOMIP ST, ST(i) Valid Valid Compare ST(0) with ST(i), set status flags accordingly, and
pop register stack.
DB E8+i FUCOMI ST, ST(i) Valid Valid Compare ST(0) with ST(i), check for ordered values, and set
status flags accordingly.
DF E8+i FUCOMIP ST, ST(i) Valid Valid Compare ST(0) with ST(i), check for ordered values, set
status flags accordingly, and pop register stack.
Ta b le 3 -3 2 . F C O MI /F C O MI P / F U C O MI /F U C O MI P R e su lt s
C o m p a riso n R e su lt s* Z F P F C F
ST0 > ST(i) 0 0 0
ST0 < ST(i) 0 0 1
ST0 = ST(i) 1 0 0
Unordered** 1 1 1
N O T E S :
* See the IA-32 Architecture Compatibility section below.
**Flags not set if unmasked invalid-arithmetic-operand (#IA) exception is generated.
FCOMI/FCOMIP/ FUCOMI/FUCOMIPCompare Floating Point Values and Set EFLAGS
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-273
O p e ra t io n
CASE (relation of operands) OF
ST(0) > ST(i): ZF, PF, CF 000;
ST(0) < ST(i): ZF, PF, CF 001;
ST(0) = ST(i): ZF, PF, CF 100;
ESAC;
IF Instruction is FCOMI or FCOMIP
THEN
IF ST(0) or ST(i) = NaN or unsupported format
THEN
#IA
IF FPUControlWord.IM = 1
THEN
ZF, PF, CF 111;
FI;
FI;
FI;
IF Instruction is FUCOMI or FUCOMIP
THEN
IF ST(0) or ST(i) = QNaN, but not SNaN or unsupported format
THEN
ZF, PF, CF 111;
ELSE (* ST(0) or ST(i) is SNaN or unsupported format *)
#IA;
IF FPUControlWord.IM = 1
THEN
ZF, PF, CF 111;
FI;
FI;
FI;
IF Instruction is FCOMIP or FUCOMIP
THEN
PopRegisterStack;
FI;
F P U F la g s Af fe ct e d
C1 Set to 0.
C0, C2, C3 Not affected.
F lo a t in g -P o in t E x ce p t io n s
#IS Stack underflow occurred.
#IA (FCOMI or FCOMIP instruction) One or both operands are NaN values or have unsupported
formats.
(FUCOMI or FUCOMIP instruction) One or both operands are SNaN values (but not QNaNs) or
have undefined formats. Detection of a QNaN value does not raise an invalid-operand excep-
tion.
P ro t e ct e d Mo d e E x ce p t io n s
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
FCOMI/FCOMIP/ FUCOMI/FUCOMIPCompare Floating Point Values and Set EFLAGS
INSTRUCTION SET REFERENCE, A-M
3-274 Vol. 2A
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as in protected mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
FCOSCosine
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-275
F C O S C o sin e
D e scrip t io n
Computes the cosine of the source operand in register ST(0) and stores the result in ST(0). The source operand
must be given in radians and must be within the range 2
63
to +2
63
. The following table shows the results obtained
when taking the cosine of various classes of numbers.
If the source operand is outside the acceptable range, the C2 flag in the FPU status word is set, and the value in
register ST(0) remains unchanged. The instruction does not raise an exception when the source operand is out of
range. It is up to the program to check the C2 flag for out-of-range conditions. Source values outside the range
2
63
to +2
63
can be reduced to the range of the instruction by subtracting an appropriate integer multiple of 2 or by
using the FPREM instruction with a divisor of 2. See the section titled Pi in Chapter 8 of the Intel 64 and IA-32
Architectures Software Developers Manual, Volume 1, for a discussion of the proper value to use for in
performing such reductions.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
IF |ST(0)| < 2
63
THEN
C2 0;
ST(0) cosine(ST(0));
ELSE (* Source operand is out-of-range *)
C2 1;
FI;
F P U F la g s Af fe ct e d
C1 Set to 0 if stack underflow occurred.
Set if result was rounded up; cleared otherwise.
Undefined if C2 is 1.
C2 Set to 1 if outside range (2
63
< source operand < +2
63
); otherwise, set to 0.
C0, C3 Undefined.
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
D9 FF FCOS Valid Valid Replace ST(0) with its cosine.
Ta b le 3 -3 3 . F C O S R e su lt s
S T (0 ) S R C S T (0 ) D E S T
*
F 1 to +1
0 + 1
+ 0 + 1
+ F 1 to + 1
+ *
NaN NaN
N O T E S :
F Means finite floating-point value.
* Indicates floating-point invalid-arithmetic-operand (#IA) exception.
FCOSCosine
INSTRUCTION SET REFERENCE, A-M
3-276 Vol. 2A
F lo a t in g -P o in t E x ce p t io n s
#IS Stack underflow occurred.
#IA Source operand is an SNaN value, , or unsupported format.
#D Source is a denormal value.
#P Value cannot be represented exactly in destination format.
P ro t e ct e d Mo d e E x ce p t io n s
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as in protected mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
FDECSTPDecrement Stack-Top Pointer
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-277
F D E C S T P D e cre m e n t S t a ck -To p P o in t e r
D e scrip t io n
Subtracts one from the TOP field of the FPU status word (decrements the top-of-stack pointer). If the TOP field
contains a 0, it is set to 7. The effect of this instruction is to rotate the stack by one position. The contents of the
FPU data registers and tag register are not affected.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
IF TOP = 0
THEN TOP 7;
ELSE TOP TOP 1;
FI;
F P U F la g s Af fe ct e d
The C1 flag is set to 0. The C0, C2, and C3 flags are undefined.
F lo a t in g -P o in t E x ce p t io n s
None.
P ro t e ct e d Mo d e E x ce p t io n s
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as in protected mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
D9 F6 FDECSTP Valid Valid Decrement TOP field in FPU status word.
FDIV/FDIVP/FIDIVDivide
INSTRUCTION SET REFERENCE, A-M
3-278 Vol. 2A
F D I V/F D I VP /F I D I VD iv id e
D e scrip t io n
Divides the destination operand by the source operand and stores the result in the destination location. The desti-
nation operand (dividend) is always in an FPU register; the source operand (divisor) can be a register or a memory
location. Source operands in memory can be in single-precision or double-precision floating-point format, word or
doubleword integer format.
The no-operand version of the instruction divides the contents of the ST(1) register by the contents of the ST(0)
register. The one-operand version divides the contents of the ST(0) register by the contents of a memory location
(either a floating-point or an integer value). The two-operand version, divides the contents of the ST(0) register by
the contents of the ST(i) register or vice versa.
The FDIVP instructions perform the additional operation of popping the FPU register stack after storing the result.
To pop the register stack, the processor marks the ST(0) register as empty and increments the stack pointer (TOP)
by 1. The no-operand version of the floating-point divide instructions always results in the register stack being
popped. In some assemblers, the mnemonic for this instruction is FDIV rather than FDIVP.
The FIDIV instructions convert an integer source operand to double extended-precision floating-point format
before performing the division. When the source operand is an integer 0, it is treated as a +0.
If an unmasked divide-by-zero exception (#Z) is generated, no result is stored; if the exception is masked, an of
the appropriate sign is stored in the destination operand.
The following table shows the results obtained when dividing various classes of numbers, assuming that neither
overflow nor underflow occurs.
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
D8 /6 FDIV m32fp Valid Valid Divide ST(0) by m32fp and store result in ST(0).
DC /6 FDIV m64fp Valid Valid Divide ST(0) by m64fp and store result in ST(0).
D8 F0+i FDIV ST(0), ST(i) Valid Valid Divide ST(0) by ST(i) and store result in ST(0).
DC F8+i FDIV ST(i), ST(0) Valid Valid Divide ST(i) by ST(0) and store result in ST(i).
DE F8+i FDIVP ST(i), ST(0) Valid Valid Divide ST(i) by ST(0), store result in ST(i), and pop the
register stack.
DE F9 FDIVP Valid Valid Divide ST(1) by ST(0), store result in ST(1), and pop
the register stack.
DA /6 FIDIV m32int Valid Valid Divide ST(0) by m32int and store result in ST(0).
DE /6 FIDIV m16int Valid Valid Divide ST(0) by m64int and store result in ST(0).
FDIV/FDIVP/FIDIVDivide
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-279
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
IF SRC = 0
THEN
#Z;
ELSE
IF Instruction is FIDIV
THEN
DEST DEST / ConvertToDoubleExtendedPrecisionFP(SRC);
ELSE (* Source operand is floating-point value *)
DEST DEST / SRC;
FI;
FI;
IF Instruction = FDIVP
THEN
PopRegisterStack;
FI;
F P U F la g s Af fe ct e d
C1 Set to 0 if stack underflow occurred.
Set if result was rounded up; cleared otherwise.
C0, C2, C3 Undefined.
F lo a t in g -P o in t E x ce p t io n s
#IS Stack underflow occurred.
#IA Operand is an SNaN value or unsupported format.
/ ; 0 / 0
#D Source is a denormal value.
Ta b le 3 -3 4 . F D I V/F D I V P /F I D I V R e su lt s
D E S T
F 0 + 0 + F + NaN
* + 0 + 0 0 0 * NaN
F + + F + 0 0 F NaN
I + + F + 0 0 F NaN
S R C 0 + ** * * ** NaN
+ 0 ** * * ** + NaN
+ I F 0 + 0 + F + NaN
+ F F 0 + 0 + F + NaN
+ * 0 0 + 0 + 0 * NaN
NaN NaN NaN NaN NaN NaN NaN NaN
N O T E S :
F Means finite floating-point value.
I Means integer.
* Indicates floating-point invalid-arithmetic-operand (#IA) exception.
**Indicates floating-point zero-divide (#Z) exception.
FDIV/FDIVP/FIDIVDivide
INSTRUCTION SET REFERENCE, A-M
3-280 Vol. 2A
#Z DEST / 0, where DEST is not equal to 0.
#U Result is too small for destination format.
#O Result is too large for destination format.
#P Value cannot be represented exactly in destination format.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
FDIVR/FDIVRP/FIDIVRReverse Divide
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-281
F D I V R /F D I VR P /F I D I VR R e v e rse D iv id e
D e scrip t io n
Divides the source operand by the destination operand and stores the result in the destination location. The desti-
nation operand (divisor) is always in an FPU register; the source operand (dividend) can be a register or a memory
location. Source operands in memory can be in single-precision or double-precision floating-point format, word or
doubleword integer format.
These instructions perform the reverse operations of the FDIV, FDIVP, and FIDIV instructions. They are provided to
support more efficient coding.
The no-operand version of the instruction divides the contents of the ST(0) register by the contents of the ST(1)
register. The one-operand version divides the contents of a memory location (either a floating-point or an integer
value) by the contents of the ST(0) register. The two-operand version, divides the contents of the ST(i) register by
the contents of the ST(0) register or vice versa.
The FDIVRP instructions perform the additional operation of popping the FPU register stack after storing the result.
To pop the register stack, the processor marks the ST(0) register as empty and increments the stack pointer (TOP)
by 1. The no-operand version of the floating-point divide instructions always results in the register stack being
popped. In some assemblers, the mnemonic for this instruction is FDIVR rather than FDIVRP.
The FIDIVR instructions convert an integer source operand to double extended-precision floating-point format
before performing the division.
If an unmasked divide-by-zero exception (#Z) is generated, no result is stored; if the exception is masked, an of
the appropriate sign is stored in the destination operand.
The following table shows the results obtained when dividing various classes of numbers, assuming that neither
overflow nor underflow occurs.
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
D8 /7 FDIVR m32fp Valid Valid Divide m32fp by ST(0) and store result in ST(0).
DC /7 FDIVR m64fp Valid Valid Divide m64fp by ST(0) and store result in ST(0).
D8 F8+i FDIVR ST(0), ST(i) Valid Valid Divide ST(i) by ST(0) and store result in ST(0).
DC F0+i FDIVR ST(i), ST(0) Valid Valid Divide ST(0) by ST(i) and store result in ST(i).
DE F0+i FDIVRP ST(i), ST(0) Valid Valid Divide ST(0) by ST(i), store result in ST(i), and pop the
register stack.
DE F1 FDIVRP Valid Valid Divide ST(0) by ST(1), store result in ST(1), and pop the
register stack.
DA /7 FIDIVR m32int Valid Valid Divide m32int by ST(0) and store result in ST(0).
DE /7 FIDIVR m16int Valid Valid Divide m16int by ST(0) and store result in ST(0).
FDIVR/FDIVRP/FIDIVRReverse Divide
INSTRUCTION SET REFERENCE, A-M
3-282 Vol. 2A
When the source operand is an integer 0, it is treated as a +0. This instructions operation is the same in non-64-bit
modes and 64-bit mode.
O p e ra t io n
IF DEST = 0
THEN
#Z;
ELSE
IF Instruction = FIDIVR
THEN
DEST ConvertToDoubleExtendedPrecisionFP(SRC) / DEST;
ELSE (* Source operand is floating-point value *)
DEST SRC / DEST;
FI;
FI;
IF Instruction = FDIVRP
THEN
PopRegisterStack;
FI;
F P U F la g s Af fe ct e d
C1 Set to 0 if stack underflow occurred.
Set if result was rounded up; cleared otherwise.
C0, C2, C3 Undefined.
F lo a t in g -P o in t E x ce p t io n s
#IS Stack underflow occurred.
#IA Operand is an SNaN value or unsupported format.
/ ; 0 / 0
Ta b le 3 -3 5 . F D I V R /F D I V R P /F I D I V R R e su lt s
D E S T
F 0 + 0 + F + NaN
* + + * NaN
S R C F + 0 + F ** ** F 0 NaN
I + 0 + F ** ** F 0 NaN
0 + 0 + 0 * * 0 0 NaN
+ 0 0 0 * * + 0 + 0 NaN
+ I 0 F ** ** + F + 0 NaN
+ F 0 F ** ** + F + 0 NaN
+ * + + * NaN
NaN NaN NaN NaN NaN NaN NaN NaN
N O T E S :
F Means finite floating-point value.
I Means integer.
* Indicates floating-point invalid-arithmetic-operand (#IA) exception.
** Indicates floating-point zero-divide (#Z) exception.
FDIVR/FDIVRP/FIDIVRReverse Divide
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-283
#D Source is a denormal value.
#Z SRC / 0, where SRC is not equal to 0.
#U Result is too small for destination format.
#O Result is too large for destination format.
#P Value cannot be represented exactly in destination format.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
FFREEFree Floating-Point Register
INSTRUCTION SET REFERENCE, A-M
3-284 Vol. 2A
F F R E E F re e F lo a t in g -P o in t R e g ist e r
D e scrip t io n
Sets the tag in the FPU tag register associated with register ST(i) to empty (11B). The contents of ST(i) and the FPU
stack-top pointer (TOP) are not affected.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
TAG(i) 11B;
F P U F la g s Af fe ct e d
C0, C1, C2, C3 undefined.
F lo a t in g -P o in t E x ce p t io n s
None.
P ro t e ct e d Mo d e E x ce p t io n s
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as in protected mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
DD C0+i FFREE ST(i) Valid Valid Sets tag for ST(i) to empty.
FICOM/FICOMPCompare Integer
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-285
F I C O M/F I C O MP C o m p a re I n t e g e r
D e scrip t io n
Compares the value in ST(0) with an integer source operand and sets the condition code flags C0, C2, and C3 in
the FPU status word according to the results (see table below). The integer value is converted to double extended-
precision floating-point format before the comparison is made.
These instructions perform an unordered comparison. An unordered comparison also checks the class of the
numbers being compared (see FXAMExamine ModR/M in this chapter). If either operand is a NaN or is in an
undefined format, the condition flags are set to unordered.
The sign of zero is ignored, so that 0.0 +0.0.
The FICOMP instructions pop the register stack following the comparison. To pop the register stack, the processor
marks the ST(0) register empty and increments the stack pointer (TOP) by 1.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
CASE (relation of operands) OF
ST(0) > SRC: C3, C2, C0 000;
ST(0) < SRC: C3, C2, C0 001;
ST(0) = SRC: C3, C2, C0 100;
Unordered: C3, C2, C0 111;
ESAC;
IF Instruction = FICOMP
THEN
PopRegisterStack;
FI;
F P U F la g s Af fe ct e d
C1 Set to 0.
C0, C2, C3 See table on previous page.
F lo a t in g -P o in t E x ce p t io n s
#IS Stack underflow occurred.
#IA One or both operands are NaN values or have unsupported formats.
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
DE /2 FICOM m16int Valid Valid Compare ST(0) with m16int.
DA /2 FICOM m32int Valid Valid Compare ST(0) with m32int.
DE /3 FICOMP m16int Valid Valid Compare ST(0) with m16int and pop stack register.
DA /3 FICOMP m32int Valid Valid Compare ST(0) with m32int and pop stack register.
Ta b le 3 -3 6 . F I C O M/F I C O MP R e su lt s
C o n d it io n C 3 C 2 C 0
ST(0) > SRC 0 0 0
ST(0) < SRC 0 0 1
ST(0) = SRC 1 0 0
Unordered 1 1 1
FICOM/FICOMPCompare Integer
INSTRUCTION SET REFERENCE, A-M
3-286 Vol. 2A
#D One or both operands are denormal values.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
FILDLoad Integer
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-287
F I LD Lo a d I n t e g e r
D e scrip t io n
Converts the signed-integer source operand into double extended-precision floating-point format and pushes the
value onto the FPU register stack. The source operand can be a word, doubleword, or quadword integer. It is loaded
without rounding errors. The sign of the source operand is preserved.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
TOP TOP 1;
ST(0) ConvertToDoubleExtendedPrecisionFP(SRC);
F P U F la g s Af fe ct e d
C1 Set to 1 if stack overflow occurred; set to 0 otherwise.
C0, C2, C3 Undefined.
F lo a t in g -P o in t E x ce p t io n s
#IS Stack overflow occurred.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
DF /0 FILD m16int Valid Valid Push m16int onto the FPU register stack.
DB /0 FILD m32int Valid Valid Push m32int onto the FPU register stack.
DF /5 FILD m64int Valid Valid Push m64int onto the FPU register stack.
FILDLoad Integer
INSTRUCTION SET REFERENCE, A-M
3-288 Vol. 2A
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
FINCSTPIncrement Stack-Top Pointer
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-289
F I N C S T P I n cre m e n t S t a ck -To p P o in t e r
D e scrip t io n
Adds one to the TOP field of the FPU status word (increments the top-of-stack pointer). If the TOP field contains a
7, it is set to 0. The effect of this instruction is to rotate the stack by one position. The contents of the FPU data
registers and tag register are not affected. This operation is not equivalent to popping the stack, because the tag
for the previous top-of-stack register is not marked empty.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
IF TOP = 7
THEN TOP 0;
ELSE TOP TOP + 1;
FI;
F P U F la g s Af fe ct e d
The C1 flag is set to 0. The C0, C2, and C3 flags are undefined.
F lo a t in g -P o in t E x ce p t io n s
None.
P ro t e ct e d Mo d e E x ce p t io n s
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as in protected mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
D9 F7 FINCSTP Valid Valid Increment the TOP field in the FPU status register.
FINIT/FNINITInitialize Floating-Point Unit
INSTRUCTION SET REFERENCE, A-M
3-290 Vol. 2A
F I N I T/F N I N I T I n it ia lize F lo a t in g -P o in t U n it
D e scrip t io n
Sets the FPU control, status, tag, instruction pointer, and data pointer registers to their default states. The FPU
control word is set to 037FH (round to nearest, all exceptions masked, 64-bit precision). The status word is cleared
(no exception flags set, TOP is set to 0). The data registers in the register stack are left unchanged, but they are all
tagged as empty (11B). Both the instruction and data pointers are cleared.
The FINIT instruction checks for and handles any pending unmasked floating-point exceptions before performing
the initialization; the FNINIT instruction does not.
The assembler issues two instructions for the FINIT instruction (an FWAIT instruction followed by an FNINIT
instruction), and the processor executes each of these instructions in separately. If an exception is generated for
either of these instructions, the save EIP points to the instruction that caused the exception.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
I A-3 2 Arch it e ct u re C o m p a t ib ilit y
When operating a Pentium or Intel486 processor in MS-DOS compatibility mode, it is possible (under unusual
circumstances) for an FNINIT instruction to be interrupted prior to being executed to handle a pending FPU excep-
tion. See the section titled No-Wait FPU Instructions Can Get FPU Interrupt in Window in Appendix D of the Intel
64 and IA-32 Architectures Software Developers Manual, Volume 1, for a description of these circumstances. An
FNINIT instruction cannot be interrupted in this way on a Pentium 4, Intel Xeon, or P6 family processor.
In the Intel387 math coprocessor, the FINIT/FNINIT instruction does not clear the instruction and data pointers.
This instruction affects only the x87 FPU. It does not affect the XMM and MXCSR registers.
O p e ra t io n
FPUControlWord 037FH;
FPUStatusWord 0;
FPUTagWord FFFFH;
FPUDataPointer 0;
FPUInstructionPointer 0;
FPULastInstructionOpcode 0;
F P U F la g s Af fe ct e d
C0, C1, C2, C3 set to 0.
F lo a t in g -P o in t E x ce p t io n s
None.
P ro t e ct e d Mo d e E x ce p t io n s
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
9B DB E3 FINIT Valid Valid Initialize FPU after checking for pending unmasked
floating-point exceptions.
DB E3 FNINIT
*
Valid Valid Initialize FPU without checking for pending unmasked
floating-point exceptions.
N O T E S :
* See IA-32 Architecture Compatibility section below.
FINIT/FNINITInitialize Floating-Point Unit
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-291
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as in protected mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
FIST/FISTPStore Integer
INSTRUCTION SET REFERENCE, A-M
3-292 Vol. 2A
F I S T/F I S T P S t o re I n t e g e r
D e scrip t io n
The FIST instruction converts the value in the ST(0) register to a signed integer and stores the result in the desti-
nation operand. Values can be stored in word or doubleword integer format. The destination operand specifies the
address where the first byte of the destination value is to be stored.
The FISTP instruction performs the same operation as the FIST instruction and then pops the register stack. To pop
the register stack, the processor marks the ST(0) register as empty and increments the stack pointer (TOP) by 1.
The FISTP instruction also stores values in quadword integer format.
The following table shows the results obtained when storing various classes of numbers in integer format.
If the source value is a non-integral value, it is rounded to an integer value, according to the rounding mode spec-
ified by the RC field of the FPU control word.
If the converted value is too large for the destination format, or if the source operand is an , SNaN, QNAN, or is in
an unsupported format, an invalid-arithmetic-operand condition is signaled. If the invalid-operation exception is
not masked, an invalid-arithmetic-operand exception (#IA) is generated and no value is stored in the destination
operand. If the invalid-operation exception is masked, the integer indefinite value is stored in memory.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
DF /2 FIST m16int Valid Valid Store ST(0) in m16int.
DB /2 FIST m32int Valid Valid Store ST(0) in m32int.
DF /3 FISTP m16int Valid Valid Store ST(0) in m16int and pop register stack.
DB /3 FISTP m32int Valid Valid Store ST(0) in m32int and pop register stack.
DF /7 FISTP m64int Valid Valid Store ST(0) in m64int and pop register stack.
Ta b le 3 -3 7. F I S T/F I S T P R e su lt s
S T (0 ) D E S T
or Value Too Large for DEST Format *
F 1 I
1 < F < 0 **
0 0
+ 0 0
+ 0 < F < + 1 **
F + 1 + I
+ or Value Too Large for DEST Format *
NaN *
N O T E S :
F Means finite floating-point value.
I Means integer.
* Indicates floating-point invalid-operation (#IA) exception.
**0 or 1, depending on the rounding mode.
FIST/FISTPStore Integer
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-293
O p e ra t io n
DEST Integer(ST(0));
IF Instruction = FISTP
THEN
PopRegisterStack;
FI;
F P U F la g s Af fe ct e d
C1 Set to 0 if stack underflow occurred.
Indicates rounding direction of if the inexact exception (#P) is generated: 0 not roundup; 1
roundup.
Set to 0 otherwise.
C0, C2, C3 Undefined.
F lo a t in g -P o in t E x ce p t io n s
#IS Stack underflow occurred.
#IA Converted value is too large for the destination format.
Source operand is an SNaN, QNaN, , or unsupported format.
#P Value cannot be represented exactly in destination format.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the destination is located in a non-writable segment.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register is used to access memory and it contains a NULL segment
selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
FIST/FISTPStore Integer
INSTRUCTION SET REFERENCE, A-M
3-294 Vol. 2A
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
FISTTPStore Integer with Truncation
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-295
F I S T T P S t o re I n t e g e r w it h T ru n ca t io n
D e scrip t io n
FISTTP converts the value in ST into a signed integer using truncation (chop) as rounding mode, transfers the
result to the destination, and pop ST. FISTTP accepts word, short integer, and long integer destinations.
The following table shows the results obtained when storing various classes of numbers in integer format.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
DEST ST;
pop ST;
F la g s Af fe ct e d
C1 is cleared; C0, C2, C3 undefined.
N u m e ric E x ce p t io n s
Invalid, Stack Invalid (stack underflow), Precision.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the destination is in a nonwritable segment.
For an illegal memory operand effective address in the CS, DS, ES, FS or GS segments.
#SS(0) For an illegal address in the SS segment.
#PF(fault-code) For a page fault.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#NM If CR0.EM[bit 2] = 1.
If CR0.TS[bit 3] = 1.
O p co d e I n st ru ct io n 6 4 -B it Mo d e C o m p a t /
Le g Mo d e
D e scrip t io n
DF /1 FISTTP m16int Valid Valid Store ST(0) in m16int with truncation.
DB /1 FISTTP m32int Valid Valid Store ST(0) in m32int with truncation.
DD /1 FISTTP m64int Valid Valid Store ST(0) in m64int with truncation.
Ta b le 3 -3 8 . F I S T T P R e su lt s
S T (0 ) D E S T
or Value Too Large for DEST Format *
F 1 I
1 < F < + 1 0
F + 1 + I
+ or Value Too Large for DEST Format *
NaN *
N O T E S :
F Means finite floating-point value.
Means integer.
Indicates floating-point invalid-operation (#IA) exception.
FISTTPStore Integer with Truncation
INSTRUCTION SET REFERENCE, A-M
3-296 Vol. 2A
#UD If CPUID.01H:ECX.SSE3[bit 0] = 0.
If the LOCK prefix is used.
R e a l Ad d re ss Mo d e E x ce p t io n s
GP(0) If any part of the operand would lie outside of the effective address space from 0 to 0FFFFH.
#NM If CR0.EM[bit 2] = 1.
If CR0.TS[bit 3] = 1.
#UD If CPUID.01H:ECX.SSE3[bit 0] = 0.
If the LOCK prefix is used.
V irt u a l 8 0 8 6 Mo d e E x ce p t io n s
GP(0) If any part of the operand would lie outside of the effective address space from 0 to 0FFFFH.
#NM If CR0.EM[bit 2] = 1.
If CR0.TS[bit 3] = 1.
#UD If CPUID.01H:ECX.SSE3[bit 0] = 0.
If the LOCK prefix is used.
#PF(fault-code) For a page fault.
#AC(0) For unaligned memory reference if the current privilege is 3.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
If the LOCK prefix is used.
FLDLoad Floating Point Value
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-297
F LD Lo a d F lo a t in g P o in t Va lu e
D e scrip t io n
Pushes the source operand onto the FPU register stack. The source operand can be in single-precision, double-
precision, or double extended-precision floating-point format. If the source operand is in single-precision or
double-precision floating-point format, it is automatically converted to the double extended-precision floating-
point format before being pushed on the stack.
The FLD instruction can also push the value in a selected FPU register [ST(i)] onto the stack. Here, pushing register
ST(0) duplicates the stack top.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
IF SRC is ST(i)
THEN
temp ST(i);
FI;
TOP TOP 1;
IF SRC is memory-operand
THEN
ST(0) ConvertToDoubleExtendedPrecisionFP(SRC);
ELSE (* SRC is ST(i) *)
ST(0) temp;
FI;
F P U F la g s Af fe ct e d
C1 Set to 1 if stack overflow occurred; otherwise, set to 0.
C0, C2, C3 Undefined.
F lo a t in g -P o in t E x ce p t io n s
#IS Stack underflow or overflow occurred.
#IA Source operand is an SNaN. Does not occur if the source operand is in double extended-preci-
sion floating-point format (FLD m80fp or FLD ST(i)).
#D Source operand is a denormal value. Does not occur if the source operand is in double
extended-precision floating-point format.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If destination is located in a non-writable segment.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register is used to access memory and it contains a NULL segment
selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
D9 /0 FLD m32fp Valid Valid Push m32fp onto the FPU register stack.
DD /0 FLD m64fp Valid Valid Push m64fp onto the FPU register stack.
DB /5 FLD m80fp Valid Valid Push m80fp onto the FPU register stack.
D9 C0+i FLD ST(i) Valid Valid Push ST(i) onto the FPU register stack.
FLDLoad Floating Point Value
INSTRUCTION SET REFERENCE, A-M
3-298 Vol. 2A
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
FLD1/FLDL2T/FLDL2E/FLDPI/FLDLG2/FLDLN2/FLDZLoad Constant
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-299
F LD 1 /F LD L2 T/F LD L2 E /F LD P I /F LD LG 2 /F LD LN 2 /F LD Z Lo a d C o n st a n t
D e scrip t io n
Push one of seven commonly used constants (in double extended-precision floating-point format) onto the FPU
register stack. The constants that can be loaded with these instructions include +1.0, +0.0, log
2
10, log
2
e, , log
10
2,
and log
e
2. For each constant, an internal 66-bit constant is rounded (as specified by the RC field in the FPU control
word) to double extended-precision floating-point format. The inexact-result exception (#P) is not generated as a
result of the rounding, nor is the C1 flag set in the x87 FPU status word if the value is rounded up.
See the section titled Pi in Chapter 8 of the Intel 64 and IA-32 Architectures Software Developers Manual,
Volume 1, for a description of the constant.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
I A-3 2 Arch it e ct u re C o m p a t ib ilit y
When the RC field is set to round-to-nearest, the FPU produces the same constants that is produced by the Intel
8087 and Intel 287 math coprocessors.
O p e ra t io n
TOP TOP 1;
ST(0) CONSTANT;
F P U F la g s Af fe ct e d
C1 Set to 1 if stack overflow occurred; otherwise, set to 0.
C0, C2, C3 Undefined.
F lo a t in g -P o in t E x ce p t io n s
#IS Stack overflow occurred.
P ro t e ct e d Mo d e E x ce p t io n s
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as in protected mode.
O p co d e * I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
D9 E8 FLD1 Valid Valid Push +1.0 onto the FPU register stack.
D9 E9 FLDL2T Valid Valid Push log
2
10 onto the FPU register stack.
D9 EA FLDL2E Valid Valid Push log
2
e onto the FPU register stack.
D9 EB FLDPI Valid Valid Push onto the FPU register stack.
D9 EC FLDLG2 Valid Valid Push log
10
2 onto the FPU register stack.
D9 ED FLDLN2 Valid Valid Push log
e
2 onto the FPU register stack.
D9 EE FLDZ Valid Valid Push +0.0 onto the FPU register stack.
N O T E S :
* See IA-32 Architecture Compatibility section below.
FLD1/FLDL2T/FLDL2E/FLDPI/FLDLG2/FLDLN2/FLDZLoad Constant
INSTRUCTION SET REFERENCE, A-M
3-300 Vol. 2A
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
FLDCWLoad x87 FPU Control Word
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-301
F LD C WLo a d x 8 7 F P U C o n t ro l Wo rd
D e scrip t io n
Loads the 16-bit source operand into the FPU control word. The source operand is a memory location. This instruc-
tion is typically used to establish or change the FPUs mode of operation.
If one or more exception flags are set in the FPU status word prior to loading a new FPU control word and the new
control word unmasks one or more of those exceptions, a floating-point exception will be generated upon execution
of the next floating-point instruction (except for the no-wait floating-point instructions, see the section titled Soft-
ware Exception Handling in Chapter 8 of the Intel 64 and IA-32 Architectures Software Developers Manual,
Volume 1). To avoid raising exceptions when changing FPU operating modes, clear any pending exceptions (using
the FCLEX or FNCLEX instruction) before loading the new control word.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
FPUControlWord SRC;
F P U F la g s Af fe ct e d
C0, C1, C2, C3 undefined.
F lo a t in g -P o in t E x ce p t io n s
None; however, this operation might unmask a pending exception in the FPU status word. That exception is then
generated upon execution of the next waiting floating-point instruction.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register is used to access memory and it contains a NULL segment
selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
D9 /5 FLDCW m2byte Valid Valid Load FPU control word from m2byte.
FLDCWLoad x87 FPU Control Word
INSTRUCTION SET REFERENCE, A-M
3-302 Vol. 2A
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
FLDENVLoad x87 FPU Environment
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-303
F LD E N V Lo a d x 8 7 F P U E n v iro n m e n t
D e scrip t io n
Loads the complete x87 FPU operating environment from memory into the FPU registers. The source operand spec-
ifies the first byte of the operating-environment data in memory. This data is typically written to the specified
memory location by a FSTENV or FNSTENV instruction.
The FPU operating environment consists of the FPU control word, status word, tag word, instruction pointer, data
pointer, and last opcode. Figures 8-9 through 8-12 in the Intel 64 and IA-32 Architectures Software Developers
Manual, Volume 1, show the layout in memory of the loaded environment, depending on the operating mode of the
processor (protected or real) and the current operand-size attribute (16-bit or 32-bit). In virtual-8086 mode, the
real mode layouts are used.
The FLDENV instruction should be executed in the same operating mode as the corresponding FSTENV/FNSTENV
instruction.
If one or more unmasked exception flags are set in the new FPU status word, a floating-point exception will be
generated upon execution of the next floating-point instruction (except for the no-wait floating-point instructions,
see the section titled Software Exception Handling in Chapter 8 of the Intel 64 and IA-32 Architectures Soft-
ware Developers Manual, Volume 1). To avoid generating exceptions when loading a new environment, clear all
the exception flags in the FPU status word that is being loaded.
If a page or limit fault occurs during the execution of this instruction, the state of the x87 FPU registers as seen by
the fault handler may be different than the state being loaded from memory. In such situations, the fault handler
should ignore the status of the x87 FPU registers, handle the fault, and return. The FLDENV instruction will then
complete the loading of the x87 FPU registers with no resulting context inconsistency.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
FPUControlWord SRC[FPUControlWord];
FPUStatusWord SRC[FPUStatusWord];
FPUTagWord SRC[FPUTagWord];
FPUDataPointer SRC[FPUDataPointer];
FPUInstructionPointer SRC[FPUInstructionPointer];
FPULastInstructionOpcode SRC[FPULastInstructionOpcode];
F P U F la g s Af fe ct e d
The C0, C1, C2, C3 flags are loaded.
F lo a t in g -P o in t E x ce p t io n s
None; however, if an unmasked exception is loaded in the status word, it is generated upon execution of the next
waiting floating-point instruction.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register is used to access memory and it contains a NULL segment
selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
D9 /4 FLDENV m14/28byte Valid Valid Load FPU environment from m14byte or m28byte.
FLDENVLoad x87 FPU Environment
INSTRUCTION SET REFERENCE, A-M
3-304 Vol. 2A
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
FMUL/FMULP/FIMULMultiply
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-305
F MU L/F MU LP /F I MU LMu lt ip ly
D e scrip t io n
Multiplies the destination and source operands and stores the product in the destination location. The destination
operand is always an FPU data register; the source operand can be an FPU data register or a memory location.
Source operands in memory can be in single-precision or double-precision floating-point format or in word or
doubleword integer format.
The no-operand version of the instruction multiplies the contents of the ST(1) register by the contents of the ST(0)
register and stores the product in the ST(1) register. The one-operand version multiplies the contents of the ST(0)
register by the contents of a memory location (either a floating point or an integer value) and stores the product in
the ST(0) register. The two-operand version, multiplies the contents of the ST(0) register by the contents of the
ST(i) register, or vice versa, with the result being stored in the register specified with the first operand (the desti-
nation operand).
The FMULP instructions perform the additional operation of popping the FPU register stack after storing the
product. To pop the register stack, the processor marks the ST(0) register as empty and increments the stack
pointer (TOP) by 1. The no-operand version of the floating-point multiply instructions always results in the register
stack being popped. In some assemblers, the mnemonic for this instruction is FMUL rather than FMULP.
The FIMUL instructions convert an integer source operand to double extended-
precision floating-point format before performing the multiplication.
The sign of the result is always the exclusive-OR of the source signs, even if one or more of the values being multi-
plied is 0 or . When the source operand is an integer 0, it is treated as a +0.
The following table shows the results obtained when multiplying various classes of numbers, assuming that neither
overflow nor underflow occurs.
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
D8 /1 FMUL m32fp Valid Valid Multiply ST(0) by m32fp and store result in ST(0).
DC /1 FMUL m64fp Valid Valid Multiply ST(0) by m64fp and store result in ST(0).
D8 C8+i FMUL ST(0), ST(i) Valid Valid Multiply ST(0) by ST(i) and store result in ST(0).
DC C8+i FMUL ST(i), ST(0) Valid Valid Multiply ST(i) by ST(0) and store result in ST(i).
DE C8+i FMULP ST(i), ST(0) Valid Valid Multiply ST(i) by ST(0), store result in ST(i), and pop the
register stack.
DE C9 FMULP Valid Valid Multiply ST(1) by ST(0), store result in ST(1), and pop
the register stack.
DA /1 FIMUL m32int Valid Valid Multiply ST(0) by m32int and store result in ST(0).
DE /1 FIMUL m16int Valid Valid Multiply ST(0) by m16int and store result in ST(0).
FMUL/FMULP/FIMULMultiply
INSTRUCTION SET REFERENCE, A-M
3-306 Vol. 2A
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
IF Instruction = FIMUL
THEN
DEST DEST ConvertToDoubleExtendedPrecisionFP(SRC);
ELSE (* Source operand is floating-point value *)
DEST DEST SRC;
FI;
IF Instruction = FMULP
THEN
PopRegisterStack;
FI;
F P U F la g s Af fe ct e d
C1 Set to 0 if stack underflow occurred.
Set if result was rounded up; cleared otherwise.
C0, C2, C3 Undefined.
F lo a t in g -P o in t E x ce p t io n s
#IS Stack underflow occurred.
#IA Operand is an SNaN value or unsupported format.
One operand is 0 and the other is .
#D Source operand is a denormal value.
#U Result is too small for destination format.
#O Result is too large for destination format.
#P Value cannot be represented exactly in destination format.
Ta b le 3 -3 9 . F MU L/F MU LP /F I MU L R e su lt s
D E S T
F 0 + 0 + F + NaN
+ + * * NaN
F + + F + 0 0 F NaN
I + + F + 0 0 F NaN
S R C 0 * + 0 + 0 0 0 * NaN
+ 0 * 0 0 + 0 + 0 * NaN
+ I F 0 + 0 + F + NaN
+ F F 0 + 0 + F + NaN
+ * * + + NaN
NaN NaN NaN NaN NaN NaN NaN NaN
N O T E S :
F Means finite floating-point value.
I Means Integer.
* Indicates invalid-arithmetic-operand (#IA) exception.
FMUL/FMULP/FIMULMultiply
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-307
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register is used to access memory and it contains a NULL segment
selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
FNOPNo Operation
INSTRUCTION SET REFERENCE, A-M
3-308 Vol. 2A
F N O P N o O p e ra t io n
D e scrip t io n
Performs no FPU operation. This instruction takes up space in the instruction stream but does not affect the FPU or
machine context, except the EIP register.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
F P U F la g s Af fe ct e d
C0, C1, C2, C3 undefined.
F lo a t in g -P o in t E x ce p t io n s
None.
P ro t e ct e d Mo d e E x ce p t io n s
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as in protected mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
D9 D0 FNOP Valid Valid No operation is performed.
FPATANPartial Arctangent
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-309
F P ATAN P a rt ia l Arct a n g e n t
D e scrip t io n
Computes the arctangent of the source operand in register ST(1) divided by the source operand in register ST(0),
stores the result in ST(1), and pops the FPU register stack. The result in register ST(0) has the same sign as the
source operand ST(1) and a magnitude less than +.
The FPATAN instruction returns the angle between the X axis and the line from the origin to the point (X,Y), where
Y (the ordinate) is ST(1) and X (the abscissa) is ST(0). The angle depends on the sign of X and Y independently,
not just on the sign of the ratio Y/X. This is because a point (X,Y) is in the second quadrant, resulting in an angle
between /2 and , while a point (X,Y) is in the fourth quadrant, resulting in an angle between 0 and /2. A point
(X,Y) is in the third quadrant, giving an angle between /2 and .
The following table shows the results obtained when computing the arctangent of various classes of numbers,
assuming that underflow does not occur.
There is no restriction on the range of source operands that FPATAN can accept.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
I A-3 2 Arch it e ct u re C o m p a t ib ilit y
The source operands for this instruction are restricted for the 80287 math coprocessor to the following range:
0 |ST(1)| < |ST(0)| < +
O p co d e * I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
D9 F3 FPATAN Valid Valid Replace ST(1) with arctan(ST(1)/ST(0)) and pop the register stack.
NOTES:
* See IA-32 Architecture Compatibility section below.
Ta b le 3 -4 0 . F P ATAN R e su lt s
S T (0 )
F 0 + 0 + F + NaN
3/4* /2 /2 /2 /2 /4* NaN
S T (1 ) F -p to /2 /2 /2 /2 to 0 - 0 NaN
0 -p -p -p* 0* 0 0 NaN
+ 0 +p +p + * + 0* + 0 + 0 NaN
+ F +p + to +/2 + /2 +/2 +/2 to +0 + 0 NaN
+ +3/4* +/2 +/2 +/2 + /2 + /4* NaN
NaN NaN NaN NaN NaN NaN NaN NaN
N O T E S :
F Means finite floating-point value.
* Table 8-10 in the Intel64 and IA-32 Architectures Software Developers Manual, Volume 1, specifies that the ratios 0/0 and /
generate the floating-point invalid arithmetic-operation exception and, if this exception is masked, the floating-point QNaN indefi-
nite value is returned. With the FPATAN instruction, the 0/0 or / value is actually not calculated using division. Instead, the arct-
angent of the two variables is derived from a standard mathematical formulation that is generalized to allow complex numbers as
arguments. In this complex variable formulation, arctangent(0,0) etc. has well defined values. These values are needed to develop
a library to compute transcendental functions with complex arguments, based on the FPU functions that only allow floating-point
values as arguments.
FPATANPartial Arctangent
INSTRUCTION SET REFERENCE, A-M
3-310 Vol. 2A
O p e ra t io n
ST(1) arctan(ST(1) / ST(0));
PopRegisterStack;
F P U F la g s Af fe ct e d
C1 Set to 0 if stack underflow occurred.
Set if result was rounded up; cleared otherwise.
C0, C2, C3 Undefined.
F lo a t in g -P o in t E x ce p t io n s
#IS Stack underflow occurred.
#IA Source operand is an SNaN value or unsupported format.
#D Source operand is a denormal value.
#U Result is too small for destination format.
#P Value cannot be represented exactly in destination format.
P ro t e ct e d Mo d e E x ce p t io n s
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as in protected mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
FPREMPartial Remainder
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-311
F P R E MP a rt ia l R e m a in d e r
D e scrip t io n
Computes the remainder obtained from dividing the value in the ST(0) register (the dividend) by the value in the
ST(1) register (the divisor or modulus), and stores the result in ST(0). The remainder represents the following
value:
Remainder ST(0) (Q ST(1))
Here, Q is an integer value that is obtained by truncating the floating-point number quotient of [ST(0) / ST(1)]
toward zero. The sign of the remainder is the same as the sign of the dividend. The magnitude of the remainder is
less than that of the modulus, unless a partial remainder was computed (as described below).
This instruction produces an exact result; the inexact-result exception does not occur and the rounding control has
no effect. The following table shows the results obtained when computing the remainder of various classes of
numbers, assuming that underflow does not occur.
When the result is 0, its sign is the same as that of the dividend. When the modulus is , the result is equal to the
value in ST(0).
The FPREM instruction does not compute the remainder specified in IEEE Std 754. The IEEE specified remainder
can be computed with the FPREM1 instruction. The FPREM instruction is provided for compatibility with the Intel
8087 and Intel287 math coprocessors.
The FPREM instruction gets its name partial remainder because of the way it computes the remainder. This
instruction arrives at a remainder through iterative subtraction. It can, however, reduce the exponent of ST(0) by
no more than 63 in one execution of the instruction. If the instruction succeeds in producing a remainder that is
less than the modulus, the operation is complete and the C2 flag in the FPU status word is cleared. Otherwise, C2
is set, and the result in ST(0) is called the partial remainder. The exponent of the partial remainder will be less
than the exponent of the original dividend by at least 32. Software can re-execute the instruction (using the partial
remainder in ST(0) as the dividend) until C2 is cleared. (Note that while executing such a remainder-computation
loop, a higher-priority interrupting routine that needs the FPU can force a context switch in-between the instruc-
tions in the loop.)
An important use of the FPREM instruction is to reduce the arguments of periodic functions. When reduction is
complete, the instruction stores the three least-significant bits of the quotient in the C3, C1, and C0 flags of the FPU
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
D9 F8 FPREM Valid Valid Replace ST(0) with the remainder obtained from dividing
ST(0) by ST(1).
Ta b le 3 -4 1 . F P R E M R e su lt s
S T (1 )
- -F -0 +0 +F + NaN
- * * * * * * NaN
S T (0 ) -F ST(0) -F or -0 ** ** -F or -0 ST(0) NaN
-0 -0 -0 * * -0 -0 NaN
+0 +0 +0 * * +0 +0 NaN
+F ST(0) +F or +0 ** ** +F or +0 ST(0) NaN
+ * * * * * * NaN
NaN NaN NaN NaN NaN NaN NaN NaN
N O T E S :
F Means finite floating-point value.
* Indicates floating-point invalid-arithmetic-operand (#IA) exception.
**Indicates floating-point zero-divide (#Z) exception.
FPREMPartial Remainder
INSTRUCTION SET REFERENCE, A-M
3-312 Vol. 2A
status word. This information is important in argument reduction for the tangent function (using a modulus of /4),
because it locates the original angle in the correct one of eight sectors of the unit circle.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
D exponent(ST(0)) exponent(ST(1));
IF D < 64
THEN
Q Integer(TruncateTowardZero(ST(0) / ST(1)));
ST(0) ST(0) (ST(1) Q);
C2 0;
C0, C3, C1 LeastSignificantBits(Q); (* Q2, Q1, Q0 *)
ELSE
C2 1;
N An implementation-dependent number between 32 and 63;
QQ Integer(TruncateTowardZero((ST(0) / ST(1)) / 2
(D N)
));
ST(0) ST(0) (ST(1) QQ 2
(D N)
);
FI;
F P U F la g s Af fe ct e d
C0 Set to bit 2 (Q2) of the quotient.
C1 Set to 0 if stack underflow occurred; otherwise, set to least significant bit of quotient (Q0).
C2 Set to 0 if reduction complete; set to 1 if incomplete.
C3 Set to bit 1 (Q1) of the quotient.
F lo a t in g -P o in t E x ce p t io n s
#IS Stack underflow occurred.
#IA Source operand is an SNaN value, modulus is 0, dividend is , or unsupported format.
#D Source operand is a denormal value.
#U Result is too small for destination format.
P ro t e ct e d Mo d e E x ce p t io n s
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as in protected mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
FPREM1Partial Remainder
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-313
F P R E M1 P a rt ia l R e m a in d e r
D e scrip t io n
Computes the IEEE remainder obtained from dividing the value in the ST(0) register (the dividend) by the value in
the ST(1) register (the divisor or modulus), and stores the result in ST(0). The remainder represents the following
value:
Remainder ST(0) (Q ST(1))
Here, Q is an integer value that is obtained by rounding the floating-point number quotient of [ST(0) / ST(1)]
toward the nearest integer value. The magnitude of the remainder is less than or equal to half the magnitude of the
modulus, unless a partial remainder was computed (as described below).
This instruction produces an exact result; the precision (inexact) exception does not occur and the rounding control
has no effect. The following table shows the results obtained when computing the remainder of various classes of
numbers, assuming that underflow does not occur.
When the result is 0, its sign is the same as that of the dividend. When the modulus is , the result is equal to the
value in ST(0).
The FPREM1 instruction computes the remainder specified in IEEE Standard 754. This instruction operates differ-
ently from the FPREM instruction in the way that it rounds the quotient of ST(0) divided by ST(1) to an integer (see
the Operation section below).
Like the FPREM instruction, FPREM1 computes the remainder through iterative subtraction, but can reduce the
exponent of ST(0) by no more than 63 in one execution of the instruction. If the instruction succeeds in producing
a remainder that is less than one half the modulus, the operation is complete and the C2 flag in the FPU status word
is cleared. Otherwise, C2 is set, and the result in ST(0) is called the partial remainder. The exponent of the partial
remainder will be less than the exponent of the original dividend by at least 32. Software can re-execute the
instruction (using the partial remainder in ST(0) as the dividend) until C2 is cleared. (Note that while executing
such a remainder-computation loop, a higher-priority interrupting routine that needs the FPU can force a context
switch in-between the instructions in the loop.)
An important use of the FPREM1 instruction is to reduce the arguments of periodic functions. When reduction is
complete, the instruction stores the three least-significant bits of the quotient in the C3, C1, and C0 flags of the FPU
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
D9 F5 FPREM1 Valid Valid Replace ST(0) with the IEEE remainder obtained fromdividing
ST(0) by ST(1).
Ta b le 3 -4 2 . F P R E M1 R e su lt s
S T (1 )
F 0 + 0 + F + NaN
* * * * * * NaN
S T (0 ) F ST(0) F or 0 ** ** F or 0 ST(0) NaN
0 0 0 * * 0 -0 NaN
+ 0 + 0 + 0 * * + 0 +0 NaN
+ F ST(0) F or + 0 ** ** F or + 0 ST(0) NaN
+ * * * * * * NaN
NaN NaN NaN NaN NaN NaN NaN NaN
N O T E S :
F Means finite floating-point value.
* Indicates floating-point invalid-arithmetic-operand (#IA) exception.
**Indicates floating-point zero-divide (#Z) exception.
FPREM1Partial Remainder
INSTRUCTION SET REFERENCE, A-M
3-314 Vol. 2A
status word. This information is important in argument reduction for the tangent function (using a modulus of /4),
because it locates the original angle in the correct one of eight sectors of the unit circle.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
D exponent(ST(0)) exponent(ST(1));
IF D < 64
THEN
Q Integer(RoundTowardNearestInteger(ST(0) / ST(1)));
ST(0) ST(0) (ST(1) Q);
C2 0;
C0, C3, C1 LeastSignificantBits(Q); (* Q2, Q1, Q0 *)
ELSE
C2 1;
N An implementation-dependent number between 32 and 63;
QQ Integer(TruncateTowardZero((ST(0) / ST(1)) / 2
(D N)
));
ST(0) ST(0) (ST(1) QQ 2
(D N)
);
FI;
F P U F la g s Af fe ct e d
C0 Set to bit 2 (Q2) of the quotient.
C1 Set to 0 if stack underflow occurred; otherwise, set to least significant bit of quotient (Q0).
C2 Set to 0 if reduction complete; set to 1 if incomplete.
C3 Set to bit 1 (Q1) of the quotient.
F lo a t in g -P o in t E x ce p t io n s
#IS Stack underflow occurred.
#IA Source operand is an SNaN value, modulus (divisor) is 0, dividend is , or unsupported
format.
#D Source operand is a denormal value.
#U Result is too small for destination format.
P ro t e ct e d Mo d e E x ce p t io n s
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as in protected mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
FPTANPartial Tangent
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-315
F P TAN P a rt ia l Ta n g e n t
D e scrip t io n
Computes the tangent of the source operand in register ST(0), stores the result in ST(0), and pushes a 1.0 onto
the FPU register stack. The source operand must be given in radians and must be less than 2
63
. The following
table shows the unmasked results obtained when computing the partial tangent of various classes of numbers,
assuming that underflow does not occur.
If the source operand is outside the acceptable range, the C2 flag in the FPU status word is set, and the value in
register ST(0) remains unchanged. The instruction does not raise an exception when the source operand is out of
range. It is up to the program to check the C2 flag for out-of-range conditions. Source values outside the range
2
63
to +2
63
can be reduced to the range of the instruction by subtracting an appropriate integer multiple of 2 or by
using the FPREM instruction with a divisor of 2. See the section titled Pi in Chapter 8 of the Intel 64 and IA-32
Architectures Software Developers Manual, Volume 1, for a discussion of the proper value to use for in
performing such reductions.
The value 1.0 is pushed onto the register stack after the tangent has been computed to maintain compatibility with
the Intel 8087 and Intel287 math coprocessors. This operation also simplifies the calculation of other trigonometric
functions. For instance, the cotangent (which is the reciprocal of the tangent) can be computed by executing a
FDIVR instruction after the FPTAN instruction.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
IF ST(0) < 2
63
THEN
C2 0;
ST(0) tan(ST(0));
TOP TOP 1;
ST(0) 1.0;
ELSE (* Source operand is out-of-range *)
C2 1;
FI;
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
D9 F2 FPTAN Valid Valid Replace ST(0) with its tangent and push 1 onto the FPU
stack.
Ta b le 3 -4 3 . F P TAN R e su lt s
S T (0 ) S R C S T (0 ) D E S T
*
F F to + F
0 - 0
+ 0 + 0
+ F F to + F
+ *
NaN NaN
N O T E S :
F Means finite floating-point value.
* Indicates floating-point invalid-arithmetic-operand (#IA) exception.
FPTANPartial Tangent
INSTRUCTION SET REFERENCE, A-M
3-316 Vol. 2A
F P U F la g s Af fe ct e d
C1 Set to 0 if stack underflow occurred; set to 1 if stack overflow occurred.
Set if result was rounded up; cleared otherwise.
C2 Set to 1 if outside range (2
63
< source operand < +2
63
); otherwise, set to 0.
C0, C3 Undefined.
F lo a t in g -P o in t E x ce p t io n s
#IS Stack underflow or overflow occurred.
#IA Source operand is an SNaN value, , or unsupported format.
#D Source operand is a denormal value.
#U Result is too small for destination format.
#P Value cannot be represented exactly in destination format.
P ro t e ct e d Mo d e E x ce p t io n s
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as in protected mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
FRNDINTRound to Integer
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-317
F R N D I N T R o u n d t o I n t e g e r
D e scrip t io n
Rounds the source value in the ST(0) register to the nearest integral value, depending on the current rounding
mode (setting of the RC field of the FPU control word), and stores the result in ST(0).
If the source value is , the value is not changed. If the source value is not an integral value, the floating-point
inexact-result exception (#P) is generated.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
ST(0) RoundToIntegralValue(ST(0));
F P U F la g s Af fe ct e d
C1 Set to 0 if stack underflow occurred.
Set if result was rounded up; cleared otherwise.
C0, C2, C3 Undefined.
F lo a t in g -P o in t E x ce p t io n s
#IS Stack underflow occurred.
#IA Source operand is an SNaN value or unsupported format.
#D Source operand is a denormal value.
#P Source operand is not an integral value.
P ro t e ct e d Mo d e E x ce p t io n s
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as in protected mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
D9 FC FRNDINT Valid Valid Round ST(0) to an integer.
FRSTORRestore x87 FPU State
INSTRUCTION SET REFERENCE, A-M
3-318 Vol. 2A
F R S T O R R e st o re x 8 7 F P U S t a t e
D e scrip t io n
Loads the FPU state (operating environment and register stack) from the memory area specified with the source
operand. This state data is typically written to the specified memory location by a previous FSAVE/FNSAVE instruc-
tion.
The FPU operating environment consists of the FPU control word, status word, tag word, instruction pointer, data
pointer, and last opcode. Figures 8-9 through 8-12 in the Intel 64 and IA-32 Architectures Software Developers
Manual, Volume 1, show the layout in memory of the stored environment, depending on the operating mode of the
processor (protected or real) and the current operand-size attribute (16-bit or 32-bit). In virtual-8086 mode, the
real mode layouts are used. The contents of the FPU register stack are stored in the 80 bytes immediately following
the operating environment image.
The FRSTOR instruction should be executed in the same operating mode as the corresponding FSAVE/FNSAVE
instruction.
If one or more unmasked exception bits are set in the new FPU status word, a floating-point exception will be
generated. To avoid raising exceptions when loading a new operating environment, clear all the exception flags in
the FPU status word that is being loaded.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
FPUControlWord SRC[FPUControlWord];
FPUStatusWord SRC[FPUStatusWord];
FPUTagWord SRC[FPUTagWord];
FPUDataPointer SRC[FPUDataPointer];
FPUInstructionPointer SRC[FPUInstructionPointer];
FPULastInstructionOpcode SRC[FPULastInstructionOpcode];
ST(0) SRC[ST(0)];
ST(1) SRC[ST(1)];
ST(2) SRC[ST(2)];
ST(3) SRC[ST(3)];
ST(4) SRC[ST(4)];
ST(5) SRC[ST(5)];
ST(6) SRC[ST(6)];
ST(7) SRC[ST(7)];
F P U F la g s Af fe ct e d
The C0, C1, C2, C3 flags are loaded.
F lo a t in g -P o in t E x ce p t io n s
None; however, this operation might unmask an existing exception that has been detected but not generated,
because it was masked. Here, the exception is generated at the completion of the instruction.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register is used to access memory and it contains a NULL segment
selector.
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
DD /4 FRSTOR m94/108byte Valid Valid Load FPU state fromm94byte or m108byte.
FRSTORRestore x87 FPU State
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-319
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
FSAVE/FNSAVEStore x87 FPU State
INSTRUCTION SET REFERENCE, A-M
3-320 Vol. 2A
F S AVE /F N S AVE S t o re x 8 7 F P U S t a t e
D e scrip t io n
Stores the current FPU state (operating environment and register stack) at the specified destination in memory,
and then re-initializes the FPU. The FSAVE instruction checks for and handles pending unmasked floating-point
exceptions before storing the FPU state; the FNSAVE instruction does not.
The FPU operating environment consists of the FPU control word, status word, tag word, instruction pointer, data
pointer, and last opcode. Figures 8-9 through 8-12 in the Intel 64 and IA-32 Architectures Software Developers
Manual, Volume 1, show the layout in memory of the stored environment, depending on the operating mode of the
processor (protected or real) and the current operand-size attribute (16-bit or 32-bit). In virtual-8086 mode, the
real mode layouts are used. The contents of the FPU register stack are stored in the 80 bytes immediately follow
the operating environment image.
The saved image reflects the state of the FPU after all floating-point instructions preceding the FSAVE/FNSAVE
instruction in the instruction stream have been executed.
After the FPU state has been saved, the FPU is reset to the same default values it is set to with the FINIT/FNINIT
instructions (see FINIT/FNINITInitialize Floating-Point Unit in this chapter).
The FSAVE/FNSAVE instructions are typically used when the operating system needs to perform a context switch,
an exception handler needs to use the FPU, or an application program needs to pass a clean FPU to a procedure.
The assembler issues two instructions for the FSAVE instruction (an FWAIT instruction followed by an FNSAVE
instruction), and the processor executes each of these instructions separately. If an exception is generated for
either of these instructions, the save EIP points to the instruction that caused the exception.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
I A-3 2 Arch it e ct u re C o m p a t ib ilit y
For Intel math coprocessors and FPUs prior to the Intel Pentium processor, an FWAIT instruction should be
executed before attempting to read from the memory image stored with a prior FSAVE/FNSAVE instruction. This
FWAIT instruction helps ensure that the storage operation has been completed.
When operating a Pentium or Intel486 processor in MS-DOS compatibility mode, it is possible (under unusual
circumstances) for an FNSAVE instruction to be interrupted prior to being executed to handle a pending FPU excep-
tion. See the section titled No-Wait FPU Instructions Can Get FPU Interrupt in Window in Appendix D of the Intel
64 and IA-32 Architectures Software Developers Manual, Volume 1, for a description of these circumstances. An
FNSAVE instruction cannot be interrupted in this way on a Pentium 4, Intel Xeon, or P6 family processor.
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
9B DD /6 FSAVE m94/108byte Valid Valid Store FPU state to m94byte or m108byte after
checking for pending unmasked floating-point
exceptions. Then re-initialize the FPU.
DD /6 FNSAVE
*
m94/108byte Valid Valid Store FPU environment to m94byte or m108byte
without checking for pending unmasked floating-
point exceptions. Then re-initialize the FPU.
N O T E S :
* See IA-32 Architecture Compatibility section below.
FSAVE/FNSAVEStore x87 FPU State
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-321
O p e ra t io n
(* Save FPU State and Registers *)
DEST[FPUControlWord] FPUControlWord;
DEST[FPUStatusWord] FPUStatusWord;
DEST[FPUTagWord] FPUTagWord;
DEST[FPUDataPointer] FPUDataPointer;
DEST[FPUInstructionPointer] FPUInstructionPointer;
DEST[FPULastInstructionOpcode] FPULastInstructionOpcode;
DEST[ST(0)] ST(0);
DEST[ST(1)] ST(1);
DEST[ST(2)] ST(2);
DEST[ST(3)] ST(3);
DEST[ST(4)] ST(4);
DEST[ST(5)] ST(5);
DEST[ST(6)] ST(6);
DEST[ST(7)] ST(7);
(* Initialize FPU *)
FPUControlWord 037FH;
FPUStatusWord 0;
FPUTagWord FFFFH;
FPUDataPointer 0;
FPUInstructionPointer 0;
FPULastInstructionOpcode 0;
F P U F la g s Af fe ct e d
The C0, C1, C2, and C3 flags are saved and then cleared.
F lo a t in g -P o in t E x ce p t io n s
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If destination is located in a non-writable segment.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register is used to access memory and it contains a NULL segment
selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#UD If the LOCK prefix is used.
FSAVE/FNSAVEStore x87 FPU State
INSTRUCTION SET REFERENCE, A-M
3-322 Vol. 2A
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
FSCALEScale
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-323
F S C ALE S ca le
D e scrip t io n
Truncates the value in the source operand (toward 0) to an integral value and adds that value to the exponent of
the destination operand. The destination and source operands are floating-point values located in registers ST(0)
and ST(1), respectively. This instruction provides rapid multiplication or division by integral powers of 2. The
following table shows the results obtained when scaling various classes of numbers, assuming that neither over-
flow nor underflow occurs.
In most cases, only the exponent is changed and the mantissa (significand) remains unchanged. However, when
the value being scaled in ST(0) is a denormal value, the mantissa is also changed and the result may turn out to be
a normalized number. Similarly, if overflow or underflow results from a scale operation, the resulting mantissa will
differ from the sources mantissa.
The FSCALE instruction can also be used to reverse the action of the FXTRACT instruction, as shown in the following
example:
FXTRACT;
FSCALE;
FSTP ST(1);
In this example, the FXTRACT instruction extracts the significand and exponent from the value in ST(0) and stores
them in ST(0) and ST(1) respectively. The FSCALE then scales the significand in ST(0) by the exponent in ST(1),
recreating the original value before the FXTRACT operation was performed. The FSTP ST(1) instruction overwrites
the exponent (extracted by the FXTRACT instruction) with the recreated value, which returns the stack to its orig-
inal state with only one register [ST(0)] occupied.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
ST(0) ST(0) 2
RoundTowardZero(ST(1))
;
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
D9 FD FSCALE Valid Valid Scale ST(0) by ST(1).
Ta b le 3 -4 4 . F S C ALE R e su lt s
S T (1 )
F 0 + 0 + F + NaN
NaN NaN
S T (0 ) F 0 F F F F NaN
0 0 0 0 0 0 NaN NaN
+ 0 + 0 + 0 + 0 + 0 + 0 NaN NaN
+ F + 0 + F + F + F + F + NaN
+ NaN + + + + + NaN
NaN NaN NaN NaN NaN NaN NaN NaN
N O T E S :
F Means finite floating-point value.
FSCALEScale
INSTRUCTION SET REFERENCE, A-M
3-324 Vol. 2A
F P U F la g s Af fe ct e d
C1 Set to 0 if stack underflow occurred.
Set if result was rounded up; cleared otherwise.
C0, C2, C3 Undefined.
F lo a t in g -P o in t E x ce p t io n s
#IS Stack underflow occurred.
#IA Source operand is an SNaN value or unsupported format.
#D Source operand is a denormal value.
#U Result is too small for destination format.
#O Result is too large for destination format.
#P Value cannot be represented exactly in destination format.
P ro t e ct e d Mo d e E x ce p t io n s
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as in protected mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
FSINSine
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-325
F S I N S in e
D e scrip t io n
Computes the sine of the source operand in register ST(0) and stores the result in ST(0). The source operand must
be given in radians and must be within the range 2
63
to +2
63
. The following table shows the results obtained when
taking the sine of various classes of numbers, assuming that underflow does not occur.
If the source operand is outside the acceptable range, the C2 flag in the FPU status word is set, and the value in
register ST(0) remains unchanged. The instruction does not raise an exception when the source operand is out of
range. It is up to the program to check the C2 flag for out-of-range conditions. Source values outside the range
2
63
to +2
63
can be reduced to the range of the instruction by subtracting an appropriate integer multiple of 2 or by
using the FPREM instruction with a divisor of 2. See the section titled Pi in Chapter 8 of the Intel 64 and IA-32
Architectures Software Developers Manual, Volume 1, for a discussion of the proper value to use for in
performing such reductions.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
IF -2
63
< ST(0) < 2
63
THEN
C2 0;
ST(0) sin(ST(0));
ELSE (* Source operand out of range *)
C2 1;
FI;
F P U F la g s Af fe ct e d
C1 Set to 0 if stack underflow occurred.
Set if result was rounded up; cleared otherwise.
C2 Set to 1 if outside range (2
63
< source operand < +2
63
); otherwise, set to 0.
C0, C3 Undefined.
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
D9 FE FSIN Valid Valid Replace ST(0) with its sine.
Ta b le 3 -4 5 . F S I N R e su lt s
S R C (S T (0 )) D E S T (S T (0 ))
*
F 1 to + 1
0 0
+ 0 + 0
+ F 1 to +1
+ *
NaN NaN
N O T E S :
F Means finite floating-point value.
* Indicates floating-point invalid-arithmetic-operand (#IA) exception.
FSINSine
INSTRUCTION SET REFERENCE, A-M
3-326 Vol. 2A
F lo a t in g -P o in t E x ce p t io n s
#IS Stack underflow occurred.
#IA Source operand is an SNaN value, , or unsupported format.
#D Source operand is a denormal value.
#P Value cannot be represented exactly in destination format.
P ro t e ct e d Mo d e E x ce p t io n s
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as in protected mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
FSINCOSSine and Cosine
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-327
F S I N C O S S in e a n d C o sin e
D e scrip t io n
Computes both the sine and the cosine of the source operand in register ST(0), stores the sine in ST(0), and
pushes the cosine onto the top of the FPU register stack. (This instruction is faster than executing the FSIN and
FCOS instructions in succession.)
The source operand must be given in radians and must be within the range 2
63
to +2
63
. The following table shows
the results obtained when taking the sine and cosine of various classes of numbers, assuming that underflow does
not occur.
If the source operand is outside the acceptable range, the C2 flag in the FPU status word is set, and the value in
register ST(0) remains unchanged. The instruction does not raise an exception when the source operand is out of
range. It is up to the program to check the C2 flag for out-of-range conditions. Source values outside the range
2
63
to +2
63
can be reduced to the range of the instruction by subtracting an appropriate integer multiple of 2 or by
using the FPREM instruction with a divisor of 2. See the section titled Pi in Chapter 8 of the Intel 64 and IA-32
Architectures Software Developers Manual, Volume 1, for a discussion of the proper value to use for in
performing such reductions.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
IF ST(0) < 2
63
THEN
C2 0;
TEMP cosine(ST(0));
ST(0) sine(ST(0));
TOP TOP 1;
ST(0) TEMP;
ELSE (* Source operand out of range *)
C2 1;
FI;
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
D9 FB FSINCOS Valid Valid Compute the sine and cosine of ST(0); replace ST(0) with the
sine, and push the cosine onto the register stack.
Ta b le 3 -4 6 . F S I N C O S R e su lt s
S R C D E S T
S T (0 ) S T (1 ) C o sin e S T (0 ) S in e
* *
F 1 to + 1 1 to + 1
0 + 1 0
+ 0 + 1 + 0
+ F 1 to + 1 1 to + 1
+ * *
NaN NaN NaN
N O T E S :
F Means finite floating-point value.
* Indicates floating-point invalid-arithmetic-operand (#IA) exception.
FSINCOSSine and Cosine
INSTRUCTION SET REFERENCE, A-M
3-328 Vol. 2A
F P U F la g s Af fe ct e d
C1 Set to 0 if stack underflow occurred; set to 1 of stack overflow occurs.
Set if result was rounded up; cleared otherwise.
C2 Set to 1 if outside range (2
63
< source operand < +2
63
); otherwise, set to 0.
C0, C3 Undefined.
F lo a t in g -P o in t E x ce p t io n s
#IS Stack underflow or overflow occurred.
#IA Source operand is an SNaN value, , or unsupported format.
#D Source operand is a denormal value.
#U Result is too small for destination format.
#P Value cannot be represented exactly in destination format.
P ro t e ct e d Mo d e E x ce p t io n s
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as in protected mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
FSQRTSquare Root
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-329
F S Q R T S q u a re R o o t
D e scrip t io n
Computes the square root of the source value in the ST(0) register and stores the result in ST(0).
The following table shows the results obtained when taking the square root of various classes of numbers,
assuming that neither overflow nor underflow occurs.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
ST(0) SquareRoot(ST(0));
F P U F la g s Af fe ct e d
C1 Set to 0 if stack underflow occurred.
Set if result was rounded up; cleared otherwise.
C0, C2, C3 Undefined.
F lo a t in g -P o in t E x ce p t io n s
#IS Stack underflow occurred.
#IA Source operand is an SNaN value or unsupported format.
Source operand is a negative value (except for 0).
#D Source operand is a denormal value.
#P Value cannot be represented exactly in destination format.
P ro t e ct e d Mo d e E x ce p t io n s
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#UD If the LOCK prefix is used.
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
D9 FA FSQRT Valid Valid Computes square root of ST(0) and stores the result in ST(0).
Ta b le 3 -4 7. F S Q R T R e su lt s
S R C (S T (0 )) D E S T (S T (0 ))
*
F *
0 0
+ 0 + 0
+ F + F
+ +
NaN NaN
N O T E S :
F Means finite floating-point value.
* Indicates floating-point invalid-arithmetic-operand (#IA) exception.
FSQRTSquare Root
INSTRUCTION SET REFERENCE, A-M
3-330 Vol. 2A
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as in protected mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
FST/FSTPStore Floating Point Value
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-331
F S T/F S T P S t o re F lo a t in g P o in t Va lu e
D e scrip t io n
The FST instruction copies the value in the ST(0) register to the destination operand, which can be a memory loca-
tion or another register in the FPU register stack. When storing the value in memory, the value is converted to
single-precision or double-precision floating-point format.
The FSTP instruction performs the same operation as the FST instruction and then pops the register stack. To pop
the register stack, the processor marks the ST(0) register as empty and increments the stack pointer (TOP) by 1.
The FSTP instruction can also store values in memory in double extended-precision floating-point format.
If the destination operand is a memory location, the operand specifies the address where the first byte of the desti-
nation value is to be stored. If the destination operand is a register, the operand specifies a register in the register
stack relative to the top of the stack.
If the destination size is single-precision or double-precision, the significand of the value being stored is rounded
to the width of the destination (according to the rounding mode specified by the RC field of the FPU control word),
and the exponent is converted to the width and bias of the destination format. If the value being stored is too large
for the destination format, a numeric overflow exception (#O) is generated and, if the exception is unmasked, no
value is stored in the destination operand. If the value being stored is a denormal value, the denormal exception
(#D) is not generated. This condition is simply signaled as a numeric underflow exception (#U) condition.
If the value being stored is 0, , or a NaN, the least-significant bits of the significand and the exponent are trun-
cated to fit the destination format. This operation preserves the values identity as a 0, , or NaN.
If the destination operand is a non-empty register, the invalid-operation exception is not generated.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
DEST ST(0);
IF Instruction = FSTP
THEN
PopRegisterStack;
FI;
F P U F la g s Af fe ct e d
C1 Set to 0 if stack underflow occurred.
Indicates rounding direction of if the floating-point inexact exception (#P) is generated: 0
not roundup; 1 roundup.
C0, C2, C3 Undefined.
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
D9 /2 FST m32fp Valid Valid Copy ST(0) to m32fp.
DD /2 FST m64fp Valid Valid Copy ST(0) to m64fp.
DD D0+i FST ST(i) Valid Valid Copy ST(0) to ST(i).
D9 /3 FSTP m32fp Valid Valid Copy ST(0) to m32fp and pop register stack.
DD /3 FSTP m64fp Valid Valid Copy ST(0) to m64fp and pop register stack.
DB /7 FSTP m80fp Valid Valid Copy ST(0) to m80fp and pop register stack.
DD D8+i FSTP ST(i) Valid Valid Copy ST(0) to ST(i) and pop register stack.
FST/FSTPStore Floating Point Value
INSTRUCTION SET REFERENCE, A-M
3-332 Vol. 2A
F lo a t in g -P o in t E x ce p t io n s
#IS Stack underflow occurred.
#IA If destination result is an SNaN value or unsupported format, except when the destination
format is in double extended-precision floating-point format.
#U Result is too small for the destination format.
#O Result is too large for the destination format.
#P Value cannot be represented exactly in destination format.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the destination is located in a non-writable segment.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register is used to access memory and it contains a NULL segment
selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
FSTCW/FNSTCWStore x87 FPU Control Word
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-333
F S T C W/F N S T C WS t o re x 8 7 F P U C o n t ro l Wo rd
D e scrip t io n
Stores the current value of the FPU control word at the specified destination in memory. The FSTCW instruction
checks for and handles pending unmasked floating-point exceptions before storing the control word; the FNSTCW
instruction does not.
The assembler issues two instructions for the FSTCW instruction (an FWAIT instruction followed by an FNSTCW
instruction), and the processor executes each of these instructions in separately. If an exception is generated for
either of these instructions, the save EIP points to the instruction that caused the exception.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
I A-3 2 Arch it e ct u re C o m p a t ib ilit y
When operating a Pentium or Intel486 processor in MS-DOS compatibility mode, it is possible (under unusual
circumstances) for an FNSTCW instruction to be interrupted prior to being executed to handle a pending FPU
exception. See the section titled No-Wait FPU Instructions Can Get FPU Interrupt in Window in Appendix D of the
Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1, for a description of these circum-
stances. An FNSTCW instruction cannot be interrupted in this way on a Pentium 4, Intel Xeon, or P6 family
processor.
O p e ra t io n
DEST FPUControlWord;
F P U F la g s Af fe ct e d
The C0, C1, C2, and C3 flags are undefined.
F lo a t in g -P o in t E x ce p t io n s
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the destination is located in a non-writable segment.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register is used to access memory and it contains a NULL segment
selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
9B D9 /7 FSTCW m2byte Valid Valid Store FPU control word to m2byte after checking for
pending unmasked floating-point exceptions.
D9 /7 FNSTCW
*
m2byte Valid Valid Store FPU control word to m2byte without checking for
pending unmasked floating-point exceptions.
N O T E S :
* See IA-32 Architecture Compatibility section below.
FSTCW/FNSTCWStore x87 FPU Control Word
INSTRUCTION SET REFERENCE, A-M
3-334 Vol. 2A
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
FSTENV/FNSTENVStore x87 FPU Environment
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-335
F S T E N V/F N S T E N V S t o re x 8 7 F P U E n v iro n m e n t
D e scrip t io n
Saves the current FPU operating environment at the memory location specified with the destination operand, and
then masks all floating-point exceptions. The FPU operating environment consists of the FPU control word, status
word, tag word, instruction pointer, data pointer, and last opcode. Figures 8-9 through 8-12 in the Intel 64 and
IA-32 Architectures Software Developers Manual, Volume 1, show the layout in memory of the stored environ-
ment, depending on the operating mode of the processor (protected or real) and the current operand-size attribute
(16-bit or 32-bit). In virtual-8086 mode, the real mode layouts are used.
The FSTENV instruction checks for and handles any pending unmasked floating-point exceptions before storing
the FPU environment; the FNSTENV instruction does not. The saved image reflects the state of the FPU after all
floating-point instructions preceding the FSTENV/FNSTENV instruction in the instruction stream have been
executed.
These instructions are often used by exception handlers because they provide access to the FPU instruction and
data pointers. The environment is typically saved in the stack. Masking all exceptions after saving the environment
prevents floating-point exceptions from interrupting the exception handler.
The assembler issues two instructions for the FSTENV instruction (an FWAIT instruction followed by an FNSTENV
instruction), and the processor executes each of these instructions separately. If an exception is generated for
either of these instructions, the save EIP points to the instruction that caused the exception.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
I A-3 2 Arch it e ct u re C o m p a t ib ilit y
When operating a Pentium or Intel486 processor in MS-DOS compatibility mode, it is possible (under unusual
circumstances) for an FNSTENV instruction to be interrupted prior to being executed to handle a pending FPU
exception. See the section titled No-Wait FPU Instructions Can Get FPU Interrupt in Window in Appendix D of the
Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1, for a description of these circum-
stances. An FNSTENV instruction cannot be interrupted in this way on a Pentium 4, Intel Xeon, or P6 family
processor.
O p e ra t io n
DEST[FPUControlWord] FPUControlWord;
DEST[FPUStatusWord] FPUStatusWord;
DEST[FPUTagWord] FPUTagWord;
DEST[FPUDataPointer] FPUDataPointer;
DEST[FPUInstructionPointer] FPUInstructionPointer;
DEST[FPULastInstructionOpcode] FPULastInstructionOpcode;
F P U F la g s Af fe ct e d
The C0, C1, C2, and C3 are undefined.
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
9B D9 /6 FSTENV m14/28byte Valid Valid Store FPU environment to m14byte or m28byte
after checking for pending unmasked floating-point
exceptions. Then mask all floating-point exceptions.
D9 /6 FNSTENV
*
m14/28byte Valid Valid Store FPU environment to m14byte or m28byte
without checking for pending unmasked floating-
point exceptions. Then mask all floating-
point exceptions.
N O T E S :
* See IA-32 Architecture Compatibility section below.
FSTENV/FNSTENVStore x87 FPU Environment
INSTRUCTION SET REFERENCE, A-M
3-336 Vol. 2A
F lo a t in g -P o in t E x ce p t io n s
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the destination is located in a non-writable segment.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register is used to access memory and it contains a NULL segment
selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
FSTSW/FNSTSWStore x87 FPU Status Word
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-337
F S T S W/F N S T S WS t o re x 8 7 F P U S t a t u s Wo rd
D e scrip t io n
Stores the current value of the x87 FPU status word in the destination location. The destination operand can be
either a two-byte memory location or the AX register. The FSTSW instruction checks for and handles pending
unmasked floating-point exceptions before storing the status word; the FNSTSW instruction does not.
The FNSTSW AX form of the instruction is used primarily in conditional branching (for instance, after an FPU
comparison instruction or an FPREM, FPREM1, or FXAM instruction), where the direction of the branch depends on
the state of the FPU condition code flags. (See the section titled Branching and Conditional Moves on FPU Condi-
tion Codes in Chapter 8 of the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1.) This
instruction can also be used to invoke exception handlers (by examining the exception flags) in environments that
do not use interrupts. When the FNSTSW AX instruction is executed, the AX register is updated before the
processor executes any further instructions. The status stored in the AX register is thus guaranteed to be from the
completion of the prior FPU instruction.
The assembler issues two instructions for the FSTSW instruction (an FWAIT instruction followed by an FNSTSW
instruction), and the processor executes each of these instructions separately. If an exception is generated for
either of these instructions, the save EIP points to the instruction that caused the exception.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
I A-3 2 Arch it e ct u re C o m p a t ib ilit y
When operating a Pentium or Intel486 processor in MS-DOS compatibility mode, it is possible (under unusual
circumstances) for an FNSTSW instruction to be interrupted prior to being executed to handle a pending FPU
exception. See the section titled No-Wait FPU Instructions Can Get FPU Interrupt in Window in Appendix D of the
Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1, for a description of these circum-
stances. An FNSTSW instruction cannot be interrupted in this way on a Pentium 4, Intel Xeon, or P6 family
processor.
O p e ra t io n
DEST FPUStatusWord;
F P U F la g s Af fe ct e d
The C0, C1, C2, and C3 are undefined.
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
9B DD /7 FSTSW m2byte Valid Valid Store FPU status word at m2byte after checking
for pending unmasked floating-point exceptions.
9B DF E0 FSTSW AX Valid Valid Store FPU status word in AX register after
checking for pending unmasked floating-point
exceptions.
DD /7 FNSTSW
*
m2byte Valid Valid Store FPU status word at m2byte without
checking for pending unmasked floating-point
exceptions.
DF E0 FNSTSW
*
AX Valid Valid Store FPU status word in AX register without
checking for pending unmasked floating-point
exceptions.
N O T E S :
* See IA-32 Architecture Compatibility section below.
FSTSW/FNSTSWStore x87 FPU Status Word
INSTRUCTION SET REFERENCE, A-M
3-338 Vol. 2A
F lo a t in g -P o in t E x ce p t io n s
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the destination is located in a non-writable segment.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register is used to access memory and it contains a NULL segment
selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
FSUB/FSUBP/FISUBSubtract
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-339
F S U B /F S U B P /F I S U B S u b t ra ct
D e scrip t io n
Subtracts the source operand from the destination operand and stores the difference in the destination location.
The destination operand is always an FPU data register; the source operand can be a register or a memory location.
Source operands in memory can be in single-precision or double-precision floating-point format or in word or
doubleword integer format.
The no-operand version of the instruction subtracts the contents of the ST(0) register from the ST(1) register and
stores the result in ST(1). The one-operand version subtracts the contents of a memory location (either a floating-
point or an integer value) from the contents of the ST(0) register and stores the result in ST(0). The two-operand
version, subtracts the contents of the ST(0) register from the ST(i) register or vice versa.
The FSUBP instructions perform the additional operation of popping the FPU register stack following the subtrac-
tion. To pop the register stack, the processor marks the ST(0) register as empty and increments the stack pointer
(TOP) by 1. The no-operand version of the floating-point subtract instructions always results in the register stack
being popped. In some assemblers, the mnemonic for this instruction is FSUB rather than FSUBP.
The FISUB instructions convert an integer source operand to double extended-precision floating-point format
before performing the subtraction.
Table 3-48 shows the results obtained when subtracting various classes of numbers from one another, assuming
that neither overflow nor underflow occurs. Here, the SRC value is subtracted from the DEST value (DEST SRC =
result).
When the difference between two operands of like sign is 0, the result is +0, except for the round toward mode,
in which case the result is 0. This instruction also guarantees that +0 (0) = +0, and that 0 (+0) = 0. When the
source operand is an integer 0, it is treated as a +0.
When one operand is , the result is of the expected sign. If both operands are of the same sign, an invalid-
operation exception is generated.
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
D8 /4 FSUB m32fp Valid Valid Subtract m32fp from ST(0) and store result in ST(0).
DC /4 FSUB m64fp Valid Valid Subtract m64fp from ST(0) and store result in ST(0).
D8 E0+i FSUB ST(0), ST(i) Valid Valid Subtract ST(i) from ST(0) and store result in ST(0).
DC E8+i FSUB ST(i), ST(0) Valid Valid Subtract ST(0) fromST(i) and store result in ST(i).
DE E8+i FSUBP ST(i), ST(0) Valid Valid Subtract ST(0) from ST(i), store result in ST(i), and
pop register stack.
DE E9 FSUBP Valid Valid Subtract ST(0) fromST(1), store result in ST(1), and
pop register stack.
DA /4 FISUB m32int Valid Valid Subtract m32int from ST(0) and store result in ST(0).
DE /4 FISUB m16int Valid Valid Subtract m16int from ST(0) and store result in ST(0).
FSUB/FSUBP/FISUBSubtract
INSTRUCTION SET REFERENCE, A-M
3-340 Vol. 2A
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
IF Instruction =FISUB
THEN
DEST DEST ConvertToDoubleExtendedPrecisionFP(SRC);
ELSE (* Source operand is floating-point value *)
DEST DEST SRC;
FI;
IF Instruction =FSUBP
THEN
PopRegisterStack;
FI;
F P U F la g s Af fe ct e d
C1 Set to 0 if stack underflow occurred.
Set if result was rounded up; cleared otherwise.
C0, C2, C3 Undefined.
F lo a t in g -P o in t E x ce p t io n s
#IS Stack underflow occurred.
#IA Operand is an SNaN value or unsupported format.
Operands are infinities of like sign.
#D Source operand is a denormal value.
#U Result is too small for destination format.
#O Result is too large for destination format.
#P Value cannot be represented exactly in destination format.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register is used to access memory and it contains a NULL segment
selector.
Ta b le 3 -4 8 . F S U B /F S U B P /F I S U B R e su lt s
S R C
F or I 0 + 0 + F or + I + NaN
* NaN
F + F or 0 DEST DEST F NaN
D E S T 0 + SRC 0 0 SRC NaN
+ 0 + SRC + 0 0 SRC NaN
+ F + + F DEST DEST F or 0 NaN
+ + + + + + * NaN
NaN NaN NaN NaN NaN NaN NaN NaN
N O T E S :
F Means finite floating-point value.
I Means integer.
* Indicates floating-point invalid-arithmetic-operand (#IA) exception.
FSUB/FSUBP/FISUBSubtract
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-341
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
FSUBR/FSUBRP/FISUBRReverse Subtract
INSTRUCTION SET REFERENCE, A-M
3-342 Vol. 2A
F S U B R /F S U B R P /F I S U B R R e v e rse S u b t ra ct
D e scrip t io n
Subtracts the destination operand from the source operand and stores the difference in the destination location.
The destination operand is always an FPU register; the source operand can be a register or a memory location.
Source operands in memory can be in single-precision or double-precision floating-point format or in word or
doubleword integer format.
These instructions perform the reverse operations of the FSUB, FSUBP, and FISUB instructions. They are provided
to support more efficient coding.
The no-operand version of the instruction subtracts the contents of the ST(1) register from the ST(0) register and
stores the result in ST(1). The one-operand version subtracts the contents of the ST(0) register from the contents
of a memory location (either a floating-point or an integer value) and stores the result in ST(0). The two-operand
version, subtracts the contents of the ST(i) register from the ST(0) register or vice versa.
The FSUBRP instructions perform the additional operation of popping the FPU register stack following the subtrac-
tion. To pop the register stack, the processor marks the ST(0) register as empty and increments the stack pointer
(TOP) by 1. The no-operand version of the floating-point reverse subtract instructions always results in the register
stack being popped. In some assemblers, the mnemonic for this instruction is FSUBR rather than FSUBRP.
The FISUBR instructions convert an integer source operand to double extended-precision floating-point format
before performing the subtraction.
The following table shows the results obtained when subtracting various classes of numbers from one another,
assuming that neither overflow nor underflow occurs. Here, the DEST value is subtracted from the SRC value (SRC
DEST = result).
When the difference between two operands of like sign is 0, the result is +0, except for the round toward mode,
in which case the result is 0. This instruction also guarantees that +0 (0) = +0, and that 0 (+0) = 0. When the
source operand is an integer 0, it is treated as a +0.
When one operand is , the result is of the expected sign. If both operands are of the same sign, an invalid-
operation exception is generated.
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
D8 /5 FSUBR m32fp Valid Valid Subtract ST(0) fromm32fp and store result in ST(0).
DC /5 FSUBR m64fp Valid Valid Subtract ST(0) fromm64fp and store result in ST(0).
D8 E8+i FSUBR ST(0), ST(i) Valid Valid Subtract ST(0) from ST(i) and store result in ST(0).
DC E0+i FSUBR ST(i), ST(0) Valid Valid Subtract ST(i) from ST(0) and store result in ST(i).
DE E0+i FSUBRP ST(i), ST(0) Valid Valid Subtract ST(i) from ST(0), store result in ST(i), and
pop register stack.
DE E1 FSUBRP Valid Valid Subtract ST(1) fromST(0), store result in ST(1), and
pop register stack.
DA /5 FISUBR m32int Valid Valid Subtract ST(0) from m32int and store result in ST(0).
DE /5 FISUBR m16int Valid Valid Subtract ST(0) from m16int and store result in ST(0).
FSUBR/FSUBRP/FISUBRReverse Subtract
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-343
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
IF Instruction =FISUBR
THEN
DEST ConvertToDoubleExtendedPrecisionFP(SRC) DEST;
ELSE (* Source operand is floating-point value *)
DEST SRC DEST; FI;
IF Instruction =FSUBRP
THEN
PopRegisterStack; FI;
F P U F la g s Af fe ct e d
C1 Set to 0 if stack underflow occurred.
Set if result was rounded up; cleared otherwise.
C0, C2, C3 Undefined.
F lo a t in g -P o in t E x ce p t io n s
#IS Stack underflow occurred.
#IA Operand is an SNaN value or unsupported format.
Operands are infinities of like sign.
#D Source operand is a denormal value.
#U Result is too small for destination format.
#O Result is too large for destination format.
#P Value cannot be represented exactly in destination format.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register is used to access memory and it contains a NULL segment
selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
Ta b le 3 -4 9 . F S U B R /F S U B R P /F I S U B R R e su lt s
S R C
F or I 0 +0 +F or +I + NaN
* + + + + + NaN
F F or 0 DEST DEST + F + NaN
D E S T 0 SRC 0 + 0 SRC + NaN
+ 0 SRC 0 0 SRC + NaN
+ F F DEST DEST F or 0 + NaN
+ * NaN
NaN NaN NaN NaN NaN NaN NaN NaN
N O T E S :
F Means finite floating-point value.
I Means integer.
* Indicates floating-point invalid-arithmetic-operand (#IA) exception.
FSUBR/FSUBRP/FISUBRReverse Subtract
INSTRUCTION SET REFERENCE, A-M
3-344 Vol. 2A
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
FTSTTEST
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-345
F T S T T E S T
D e scrip t io n
Compares the value in the ST(0) register with 0.0 and sets the condition code flags C0, C2, and C3 in the FPU
status word according to the results (see table below).
This instruction performs an unordered comparison. An unordered comparison also checks the class of the
numbers being compared (see FXAMExamine ModR/M in this chapter). If the value in register ST(0) is a NaN or
is in an undefined format, the condition flags are set to unordered and the invalid operation exception is gener-
ated.
The sign of zero is ignored, so that ( 0.0 +0.0).
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
CASE (relation of operands) OF
Not comparable: C3, C2, C0 111;
ST(0) > 0.0: C3, C2, C0 000;
ST(0) < 0.0: C3, C2, C0 001;
ST(0) = 0.0: C3, C2, C0 100;
ESAC;
F P U F la g s Af fe ct e d
C1 Set to 0.
C0, C2, C3 See Table 3-50.
F lo a t in g -P o in t E x ce p t io n s
#IS Stack underflow occurred.
#IA The source operand is a NaN value or is in an unsupported format.
#D The source operand is a denormal value.
P ro t e ct e d Mo d e E x ce p t io n s
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as in protected mode.
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
D9 E4 FTST Valid Valid Compare ST(0) with 0.0.
Ta b le 3 -5 0 . F T S T R e su lt s
C o n d it io n C 3 C 2 C 0
ST(0) > 0.0 0 0 0
ST(0) < 0.0 0 0 1
ST(0) = 0.0 1 0 0
Unordered 1 1 1
FTSTTEST
INSTRUCTION SET REFERENCE, A-M
3-346 Vol. 2A
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
FUCOM/FUCOMP/FUCOMPPUnordered Compare Floating Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-347
F U C O M/F U C O MP /F U C O MP P U n o rd e re d C o m p a re F lo a t in g P o in t Va lu e s
D e scrip t io n
Performs an unordered comparison of the contents of register ST(0) and ST(i) and sets condition code flags C0, C2,
and C3 in the FPU status word according to the results (see the table below). If no operand is specified, the
contents of registers ST(0) and ST(1) are compared. The sign of zero is ignored, so that 0.0 is equal to +0.0.
An unordered comparison checks the class of the numbers being compared (see FXAMExamine ModR/M in this
chapter). The FUCOM/FUCOMP/FUCOMPP instructions perform the same operations as the FCOM/FCOMP/FCOMPP
instructions. The only difference is that the FUCOM/FUCOMP/FUCOMPP instructions raise the invalid-arithmetic-
operand exception (#IA) only when either or both operands are an SNaN or are in an unsupported format; QNaNs
cause the condition code flags to be set to unordered, but do not cause an exception to be generated. The
FCOM/FCOMP/FCOMPP instructions raise an invalid-operation exception when either or both of the operands are a
NaN value of any kind or are in an unsupported format.
As with the FCOM/FCOMP/FCOMPP instructions, if the operation results in an invalid-arithmetic-operand exception
being raised, the condition code flags are set only if the exception is masked.
The FUCOMP instruction pops the register stack following the comparison operation and the FUCOMPP instruction
pops the register stack twice following the comparison operation. To pop the register stack, the processor marks
the ST(0) register as empty and increments the stack pointer (TOP) by 1.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
CASE (relation of operands) OF
ST > SRC: C3, C2, C0 000;
ST < SRC: C3, C2, C0 001;
ST = SRC: C3, C2, C0 100;
ESAC;
IF ST(0) or SRC = QNaN, but not SNaN or unsupported format
THEN
C3, C2, C0 111;
ELSE (* ST(0) or SRC is SNaN or unsupported format *)
#IA;
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
DD E0+i FUCOM ST(i) Valid Valid Compare ST(0) with ST(i).
DD E1 FUCOM Valid Valid Compare ST(0) with ST(1).
DD E8+i FUCOMP ST(i) Valid Valid Compare ST(0) with ST(i) and pop register stack.
DD E9 FUCOMP Valid Valid Compare ST(0) with ST(1) and pop register stack.
DA E9 FUCOMPP Valid Valid Compare ST(0) with ST(1) and pop register stack twice.
Ta b le 3 -5 1 . F U C O M/F U C O MP /F U C O MP P R e su lt s
C o m p a riso n R e su lt s* C 3 C 2 C 0
ST0 > ST(i) 0 0 0
ST0 < ST(i) 0 0 1
ST0 = ST(i) 1 0 0
Unordered 1 1 1
N O T E S :
* Flags not set if unmasked invalid-arithmetic-operand (#IA) exception is generated.
FUCOM/FUCOMP/FUCOMPPUnordered Compare Floating Point Values
INSTRUCTION SET REFERENCE, A-M
3-348 Vol. 2A
IF FPUControlWord.IM = 1
THEN
C3, C2, C0 111;
FI;
FI;
IF Instruction = FUCOMP
THEN
PopRegisterStack;
FI;
IF Instruction = FUCOMPP
THEN
PopRegisterStack;
FI;
F P U F la g s Af fe ct e d
C1 Set to 0 if stack underflow occurred.
C0, C2, C3 See Table 3-51.
F lo a t in g -P o in t E x ce p t io n s
#IS Stack underflow occurred.
#IA One or both operands are SNaN values or have unsupported formats. Detection of a QNaN
value in and of itself does not raise an invalid-operand exception.
#D One or both operands are denormal values.
P ro t e ct e d Mo d e E x ce p t io n s
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as in protected mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
FXAMExamine ModR/M
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-349
F XAME x a m in e Mo d R /M
D e scrip t io n
Examines the contents of the ST(0) register and sets the condition code flags C0, C2, and C3 in the FPU status word
to indicate the class of value or number in the register (see the table below).
.
The C1 flag is set to the sign of the value in ST(0), regardless of whether the register is empty or full.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
C1 sign bit of ST; (* 0 for positive, 1 for negative *)
CASE (class of value or number in ST(0)) OF
Unsupported:C3, C2, C0 000;
NaN: C3, C2, C0 001;
Normal: C3, C2, C0 010;
Infinity: C3, C2, C0 011;
Zero: C3, C2, C0 100;
Empty: C3, C2, C0 101;
Denormal: C3, C2, C0 110;
ESAC;
F P U F la g s Af fe ct e d
C1 Sign of value in ST(0).
C0, C2, C3 See Table 3-52.
F lo a t in g -P o in t E x ce p t io n s
None.
P ro t e ct e d Mo d e E x ce p t io n s
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#UD If the LOCK prefix is used.
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
D9 E5 FXAM Valid Valid Classify value or number in ST(0).
Ta b le 3 -5 2 . F XAM R e su lt s
C la ss C 3 C 2 C 0
Unsupported 0 0 0
NaN 0 0 1
Normal finite number 0 1 0
Infinity 0 1 1
Zero 1 0 0
Empty 1 0 1
Denormal number 1 1 0
FXAMExamine ModR/M
INSTRUCTION SET REFERENCE, A-M
3-350 Vol. 2A
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as in protected mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
FXCHExchange Register Contents
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-351
F XC H E x ch a n g e R e g ist e r C o n t e n t s
D e scrip t io n
Exchanges the contents of registers ST(0) and ST(i). If no source operand is specified, the contents of ST(0) and
ST(1) are exchanged.
This instruction provides a simple means of moving values in the FPU register stack to the top of the stack [ST(0)],
so that they can be operated on by those floating-point instructions that can only operate on values in ST(0). For
example, the following instruction sequence takes the square root of the third register from the top of the register
stack:
FXCH ST(3);
FSQRT;
FXCH ST(3);
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
IF (Number-of-operands) is 1
THEN
temp ST(0);
ST(0) SRC;
SRC temp;
ELSE
temp ST(0);
ST(0) ST(1);
ST(1) temp;
FI;
F P U F la g s Af fe ct e d
C1 Set to 0 if stack underflow occurred; otherwise, set to 1.
C0, C2, C3 Undefined.
F lo a t in g -P o in t E x ce p t io n s
#IS Stack underflow occurred.
P ro t e ct e d Mo d e E x ce p t io n s
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as in protected mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
D9 C8+i FXCH ST(i) Valid Valid Exchange the contents of ST(0) and ST(i).
D9 C9 FXCH Valid Valid Exchange the contents of ST(0) and ST(1).
FXCHExchange Register Contents
INSTRUCTION SET REFERENCE, A-M
3-352 Vol. 2A
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
FXRSTORRestore x87 FPU, MMX , XMM, and MXCSR State
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-353
F XR S T O R R e sto re x 8 7 F P U , MMX , XMM, a n d MXC S R S t a t e
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Reloads the x87 FPU, MMX technology, XMM, and MXCSR registers from the 512-byte memory image specified in
the source operand. This data should have been written to memory previously using the FXSAVE instruction, and
in the same format as required by the operating modes. The first byte of the data should be located on a 16-byte
boundary. There are three distinct layouts of the FXSAVE state map: one for legacy and compatibility mode, a
second format for 64-bit mode FXSAVE/FXRSTOR with REX.W=0, and the third format is for 64-bit mode with
FXSAVE64/FXRSTOR64. Table 3-53 shows the layout of the legacy/compatibility mode state information in
memory and describes the fields in the memory image for the FXRSTOR and FXSAVE instructions. Table 3-56
shows the layout of the 64-bit mode state information when REX.W is set (FXSAVE64/FXRSTOR64). Table 3-57
shows the layout of the 64-bit mode state information when REX.W is clear (FXSAVE/FXRSTOR).
The state image referenced with an FXRSTOR instruction must have been saved using an FXSAVE instruction or be
in the same format as required by Table 3-53, Table 3-56, or Table 3-57. Referencing a state image saved with an
FSAVE, FNSAVE instruction or incompatible field layout will result in an incorrect state restoration.
The FXRSTOR instruction does not flush pending x87 FPU exceptions. To check and raise exceptions when loading
x87 FPU state information with the FXRSTOR instruction, use an FWAIT instruction after the FXRSTOR instruction.
If the OSFXSR bit in control register CR4 is not set, the FXRSTOR instruction may not restore the states of the XMM
and MXCSR registers. This behavior is implementation dependent.
If the MXCSR state contains an unmasked exception with a corresponding status flag also set, loading the register
with the FXRSTOR instruction will not result in a SIMD floating-point error condition being generated. Only the next
occurrence of this unmasked exception will result in the exception being generated.
Bits 16 through 32 of the MXCSR register are defined as reserved and should be set to 0. Attempting to write a 1
in any of these bits from the saved state image will result in a general protection exception (#GP) being generated.
Bytes 464:511 of an FXSAVE image are available for software use. FXRSTOR ignores the content of bytes 464:511
in an FXSAVE state image.
O p e ra t io n
(x87 FPU, MMX, XMM7-XMM0, MXCSR) Load(SRC);
x 8 7 F P U a n d S I MD F lo a t in g -P o in t E x ce p t io n s
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) For an illegal memory operand effective address in the CS, DS, ES, FS or GS segments.
If a memory operand is not aligned on a 16-byte boundary, regardless of segment. (See align-
ment check exception [#AC] below.)
For an attempt to set reserved bits in MXCSR.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F AE /1
FXRSTOR m512byte
M Valid Valid Restore the x87 FPU, MMX, XMM, and MXCSR
register state fromm512byte.
REX.W+0F AE /1
FXRSTOR64 m512byte
M Valid N.E. Restore the x87 FPU, MMX, XMM, and MXCSR
register state fromm512byte.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
M ModRM:r/m(r) NA NA NA
FXRSTORRestore x87 FPU, MMX , XMM, and MXCSR State
INSTRUCTION SET REFERENCE, A-M
3-354 Vol. 2A
#SS(0) For an illegal address in the SS segment.
#PF(fault-code) For a page fault.
#NM If CR0.TS[bit 3] = 1.
If CR0.EM[bit 2] = 1.
#UD If CPUID.01H:EDX.FXSR[bit 24] = 0.
If instruction is preceded by a LOCK prefix.
#AC If this exception is disabled a general protection exception (#GP) is signaled if the memory
operand is not aligned on a 16-byte boundary, as described above. If the alignment check
exception (#AC) is enabled (and the CPL is 3), signaling of #AC is not guaranteed and may
vary with implementation, as follows. In all implementations where #AC is not signaled, a
general protection exception is signaled in its place. In addition, the width of the alignment
check may also vary with implementation. For instance, for a given implementation, an align-
ment check exception might be signaled for a 2-byte misalignment, whereas a general protec-
tion exception might be signaled for all other misalignments (4-, 8-, or 16-byte
misalignments).
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand is not aligned on a 16-byte boundary, regardless of segment.
If any part of the operand lies outside the effective address space from 0 to FFFFH.
For an attempt to set reserved bits in MXCSR.
#NM If CR0.TS[bit 3] = 1.
If CR0.EM[bit 2] = 1.
#UD If CPUID.01H:EDX.FXSR[bit 24] = 0.
If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in real address mode.
#PF(fault-code) For a page fault.
#AC For unaligned memory reference.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
If memory operand is not aligned on a 16-byte boundary, regardless of segment.
For an attempt to set reserved bits in MXCSR.
#PF(fault-code) For a page fault.
#NM If CR0.TS[bit 3] = 1.
If CR0.EM[bit 2] = 1.
#UD If CPUID.01H:EDX.FXSR[bit 24] = 0.
If instruction is preceded by a LOCK prefix.
#AC If this exception is disabled a general protection exception (#GP) is signaled if the memory
operand is not aligned on a 16-byte boundary, as described above. If the alignment check
exception (#AC) is enabled (and the CPL is 3), signaling of #AC is not guaranteed and may
vary with implementation, as follows. In all implementations where #AC is not signaled, a
FXRSTORRestore x87 FPU, MMX , XMM, and MXCSR State
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-355
general protection exception is signaled in its place. In addition, the width of the alignment
check may also vary with implementation. For instance, for a given implementation, an align-
ment check exception might be signaled for a 2-byte misalignment, whereas a general protec-
tion exception might be signaled for all other misalignments (4-, 8-, or 16-byte
misalignments).
FXSAVESave x87 FPU, MMX Technology, and SSE State
INSTRUCTION SET REFERENCE, A-M
3-356 Vol. 2A
F XS AVE S a v e x 8 7 F P U , MMX Te ch n o lo g y, a n d S S E S t a t e
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Saves the current state of the x87 FPU, MMX technology, XMM, and MXCSR registers to a 512-byte memory loca-
tion specified in the destination operand. The content layout of the 512 byte region depends on whether the
processor is operating in non-64-bit operating modes or 64-bit sub-mode of IA-32e mode.
Bytes 464:511 are available to software use. The processor does not write to bytes 464:511 of an FXSAVE area.
The operation of FXSAVE in non-64-bit modes is described first.
N o n -6 4 -B it Mo d e O p e ra t io n
Table 3-53 shows the layout of the state information in memory when the processor is operating in legacy modes.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F AE /0
FXSAVE m512byte
M Valid Valid Save the x87 FPU, MMX, XMM, and MXCSR
register state to m512byte.
REX.W+0F AE /0
FXSAVE64 m512byte
M Valid N.E. Save the x87 FPU, MMX, XMM, and MXCSR
register state to m512byte.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
M ModRM:r/m (w) NA NA NA
Ta b le 3 -5 3 . N o n -6 4 -b it -Mo d e La y o u t o f F X S AVE a n d F XR S T O R
Me m o ry R e g io n
1 5 1 4 1 3 1 2 1 1 1 0 9 8 7 6 5 4 3 2 1 0
Rsvd FPU CS FPU IP FOP Rsvd FTW FSW FCW 0
MXCSR_MASK MXCSR Rsrvd FPU DS FPU DP 1 6
Reserved ST0/MM0 3 2
Reserved ST1/MM1 4 8
Reserved ST2/MM2 6 4
Reserved ST3/MM3 8 0
Reserved ST4/MM4 9 6
Reserved ST5/MM5 1 1 2
Reserved ST6/MM6 1 2 8
Reserved ST7/MM7 1 4 4
XMM0 1 6 0
XMM1 1 7 6
XMM2 1 9 2
XMM3 2 0 8
XMM4 2 2 4
XMM5 2 4 0
XMM6 2 5 6
XMM7 2 7 2
FXSAVESave x87 FPU, MMX Technology, and SSE State
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-357
The destination operand contains the first byte of the memory image, and it must be aligned on a 16-byte
boundary. A misaligned destination operand will result in a general-protection (#GP) exception being generated (or
in some cases, an alignment check exception [#AC]).
The FXSAVE instruction is used when an operating system needs to perform a context switch or when an exception
handler needs to save and examine the current state of the x87 FPU, MMX technology, and/or XMM and MXCSR
registers.
The fields in Table 3-53 are defined in Table 3-54.
Reserved 2 8 8
Reserved 3 0 4
Reserved 3 2 0
Reserved 3 3 6
Reserved 3 5 2
Reserved 3 6 8
Reserved 3 8 4
Reserved 4 0 0
Reserved 4 1 6
Reserved 4 3 2
Reserved 4 4 8
Available 4 6 4
Available 4 8 0
Available 4 9 6
Ta b le 3 -5 4 . F ie ld D e f in it io n s
F ie ld D e fin it io n
FCW x87 FPU Control Word (16 bits). See Figure 8-6 in the Intel64 and IA-32 Architectures Software
Developers Manual, Volume 1, for the layout of the x87 FPU control word.
FSW x87 FPU Status Word (16 bits). See Figure 8-4 in the Intel64 and IA-32 Architectures Software
Developers Manual, Volume 1, for the layout of the x87 FPU status word.
Abridged FTW x87 FPU Tag Word (8 bits). The tag information saved here is abridged, as described in the following
paragraphs.
FOP x87 FPU Opcode (16 bits). The lower 11 bits of this field contain the opcode, upper 5 bits are reserved.
See Figure 8-8 in the Intel64 and IA-32 Architectures Software Developers Manual, Volume 1, for
the layout of the x87 FPU opcode field.
FPU IP x87 FPU Instruction Pointer Offset (32 bits). The contents of this field differ depending on the current
addressing mode (32-bit or 16-bit) of the processor when the FXSAVE instruction was executed:
32-bit mode 32-bit IP offset.
16-bit mode low 16 bits are IP offset; high 16 bits are reserved.
See x87 FPU Instruction and Operand (Data) Pointers in Chapter 8 of the Intel64 and IA-32
Architectures Software Developers Manual, Volume 1, for a description of the x87 FPU instruction
pointer.
FPU CS x87 FPU Instruction Pointer Selector (16 bits). If CPUID.(EAX=07H,ECX=0H):EBX[bit 13] =1, the
processor deprecates the FPU CS and FPU DS values, and this field is saved as 0000H.
Ta b le 3 -5 3 . N o n -6 4 -b it -Mo d e La y o u t o f F X S AVE a n d F X R S T O R
Me m o ry R e g io n (C o n t d .)
1 5 1 4 1 3 1 2 1 1 1 0 9 8 7 6 5 4 3 2 1 0
FXSAVESave x87 FPU, MMX Technology, and SSE State
INSTRUCTION SET REFERENCE, A-M
3-358 Vol. 2A
The FXSAVE instruction saves an abridged version of the x87 FPU tag word in the FTW field (unlike the FSAVE
instruction, which saves the complete tag word). The tag information is saved in physical register order (R0
through R7), rather than in top-of-stack (TOS) order. With the FXSAVE instruction, however, only a single bit (1 for
valid or 0 for empty) is saved for each tag. For example, assume that the tag word is currently set as follows:
R7 R6 R5 R4 R3 R2 R1 R0
11 xx xx xx 11 11 11 11
Here, 11B indicates empty stack elements and xx indicates valid (00B), zero (01B), or special (10B).
For this example, the FXSAVE instruction saves only the following 8 bits of information:
R7 R6 R5 R4 R3 R2 R1 R0
0 1 1 1 0 0 0 0
Here, a 1 is saved for any valid, zero, or special tag, and a 0 is saved for any empty tag.
The operation of the FXSAVE instruction differs from that of the FSAVE instruction, the as follows:
FXSAVE instruction does not check for pending unmasked floating-point exceptions. (The FXSAVE operation in
this regard is similar to the operation of the FNSAVE instruction).
After the FXSAVE instruction has saved the state of the x87 FPU, MMX technology, XMM, and MXCSR registers,
the processor retains the contents of the registers. Because of this behavior, the FXSAVE instruction cannot be
used by an application program to pass a clean x87 FPU state to a procedure, since it retains the current
state. To clean the x87 FPU state, an application must explicitly execute an FINIT instruction after an FXSAVE
instruction to reinitialize the x87 FPU state.
The format of the memory image saved with the FXSAVE instruction is the same regardless of the current
addressing mode (32-bit or 16-bit) and operating mode (protected, real address, or system management).
FPU DP x87 FPU Instruction Operand (Data) Pointer Offset (32 bits). The contents of this field differ
depending on the current addressing mode (32-bit or 16-bit) of the processor when the FXSAVE
instruction was executed:
32-bit mode 32-bit DP offset.
16-bit mode low 16 bits are DP offset; high 16 bits are reserved.
See x87 FPU Instruction and Operand (Data) Pointers in Chapter 8 of the Intel64 and IA-32
Architectures Software Developers Manual, Volume 1, for a description of the x87 FPU operand
pointer.
FPU DS x87 FPU Instruction Operand (Data) Pointer Selector (16 bits). If CPUID.(EAX=07H,ECX=0H):EBX[bit
13] =1, the processor deprecates the FPU CS and FPU DS values, and this field is saved as 0000H.
MXCSR MXCSR Register State (32 bits). See Figure 10-3 in the Intel64 and IA-32 Architectures Software
Developers Manual, Volume 1, for the layout of the MXCSR register. If the OSFXSR bit in control
register CR4 is not set, the FXSAVE instruction may not save this register. This behavior is
implementation dependent.
MXCSR_
MASK
MXCSR_MASK (32 bits). This mask can be used to adjust values written to the MXCSR register,
ensuring that reserved bits are set to 0. Set the mask bits and flags in MXCSR to the mode of
operation desired for SSE and SSE2 SIMD floating-point instructions. See Guidelines for Writing to the
MXCSR Register in Chapter 11 of the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 1, for instructions for how to determine and use the MXCSR_MASK value.
ST0/MM0 through
ST7/MM7
x87 FPU or MMX technology registers. These 80-bit fields contain the x87 FPU data registers or the
MMX technology registers, depending on the state of the processor prior to the execution of the
FXSAVE instruction. If the processor had been executing x87 FPU instruction prior to the FXSAVE
instruction, the x87 FPU data registers are saved; if it had been executing MMX instructions (or SSE or
SSE2 instructions that operated on the MMX technology registers), the MMX technology registers are
saved. When the MMX technology registers are saved, the high 16 bits of the field are reserved.
XMM0 through XMM7 XMM registers (128 bits per field). If the OSFXSR bit in control register CR4 is not set, the FXSAVE
instruction may not save these registers. This behavior is implementation dependent.
Ta b le 3 -5 4 . F ie ld D e f in it io n s (C o n t d .)
F ie ld D e f in it io n
FXSAVESave x87 FPU, MMX Technology, and SSE State
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-359
This behavior differs from the FSAVE instructions, where the memory image format is different depending on
the addressing mode and operating mode. Because of the different image formats, the memory image saved
with the FXSAVE instruction cannot be restored correctly with the FRSTOR instruction, and likewise the state
saved with the FSAVE instruction cannot be restored correctly with the FXRSTOR instruction.
The FSAVE format for FTW can be recreated from the FTW valid bits and the stored 80-bit FP data (assuming the
stored data was not the contents of MMX technology registers) using Table 3-55.
The J-bit is defined to be the 1-bit binary integer to the left of the decimal place in the significand. The M-bit is
defined to be the most significant bit of the fractional portion of the significand (i.e., the bit immediately to the right
of the decimal place).
When the M-bit is the most significant bit of the fractional portion of the significand, it must be 0 if the fraction is
all 0s.
I A-3 2 e Mo d e O p e ra t io n
In compatibility sub-mode of IA-32e mode, legacy SSE registers, XMM0 through XMM7, are saved according to the
legacy FXSAVE map. In 64-bit mode, all of the SSE registers, XMM0 through XMM15, are saved. Additionally, there
are two different layouts of the FXSAVE map in 64-bit mode, corresponding to FXSAVE64 (which requires
REX.W=1) and FXSAVE (REX.W=0). In the FXSAVE64 map (Table 3-56), the FPU IP and FPU DP pointers are 64-bit
wide. In the FXSAVE map for 64-bit mode (Table 3-57), the FPU IP and FPU DP pointers are 32-bits.
Ta b le 3 -5 5 . R e cre a t in g F S AVE Fo rm a t
E x p o n e n t
a ll 1 s
E x p o n e n t
a ll 0 s
F ra ct io n
a ll 0 s
J a n d M
b it s
F T W v a lid b it
x 8 7 F T W
0 0 0 0x 1 Special 10
0 0 0 1x 1 Valid 00
0 0 1 00 1 Special 10
0 0 1 10 1 Valid 00
0 1 0 0x 1 Special 10
0 1 0 1x 1 Special 10
0 1 1 00 1 Zero 01
0 1 1 10 1 Special 10
1 0 0 1x 1 Special 10
1 0 0 1x 1 Special 10
1 0 1 00 1 Special 10
1 0 1 10 1 Special 10
For all legal combinations above. 0 Empty 11
Ta b le 3 -5 6 . La y o u t o f t h e 6 4 -b it -m o d e F XS AVE 6 4 Ma p
(re q u ire s R E X .W = 1 )
15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
FPU IP FOP Reserved FTW FSW FCW 0
MXCSR_MASK MXCSR FPU DP 1 6
Reserved ST0/MM0 3 2
Reserved ST1/MM1 4 8
Reserved ST2/MM2 6 4
Reserved ST3/MM3 8 0
Reserved ST4/MM4 9 6
Reserved ST5/MM5 1 1 2
FXSAVESave x87 FPU, MMX Technology, and SSE State
INSTRUCTION SET REFERENCE, A-M
3-360 Vol. 2A
Reserved ST6/MM6 1 2 8
Reserved ST7/MM7 1 4 4
XMM0 1 6 0
XMM1 1 7 6
XMM2 1 9 2
XMM3 2 0 8
XMM4 2 2 4
XMM5 2 4 0
XMM6 2 5 6
XMM7 2 7 2
XMM8 2 8 8
XMM9 3 0 4
XMM10 3 2 0
XMM11 3 3 6
XMM12 3 5 2
XMM13 3 6 8
XMM14 3 8 4
XMM15 4 0 0
Reserved 4 1 6
Reserved 4 3 2
Reserved 4 4 8
Available 4 6 4
Available 4 8 0
Available 4 9 6
Ta b le 3 -5 7. La y o u t o f t h e 6 4 -b it -m o d e F XS AVE Ma p (R E X .W = 0 )
15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
Reserved FPU CS FPU IP FOP Reserved FTW FSW FCW 0
MXCSR_MASK MXCSR Reserved FPU DS FPU DP 1 6
Reserved ST0/MM0 3 2
Reserved ST1/MM1 4 8
Reserved ST2/MM2 6 4
Reserved ST3/MM3 8 0
Reserved ST4/MM4 9 6
Reserved ST5/MM5 1 1 2
Reserved ST6/MM6 1 2 8
Reserved ST7/MM7 1 4 4
XMM0 1 6 0
Ta b le 3 -5 6 . La y o u t o f t h e 6 4 -b it -m o d e F XS AVE 6 4 Ma p
(re q u ire s R E X .W = 1 ) (C o n t d .)
15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
FXSAVESave x87 FPU, MMX Technology, and SSE State
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-361
O p e ra t io n
IF 64-Bit Mode
THEN
IF REX.W =1
THEN
DEST Save64BitPromotedFxsave(x87 FPU, MMX, XMM7-XMM0,
MXCSR);
ELSE
DEST Save64BitDefaultFxsave(x87 FPU, MMX, XMM7-XMM0, MXCSR);
FI;
ELSE
DEST SaveLegacyFxsave(x87 FPU, MMX, XMM7-XMM0, MXCSR);
FI;
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) For an illegal memory operand effective address in the CS, DS, ES, FS or GS segments.
If a memory operand is not aligned on a 16-byte boundary, regardless of segment. (See the
description of the alignment check exception [#AC] below.)
#SS(0) For an illegal address in the SS segment.
#PF(fault-code) For a page fault.
XMM1 1 7 6
XMM2 1 9 2
XMM3 2 0 8
XMM4 2 2 4
XMM5 2 4 0
XMM6 2 5 6
XMM7 2 7 2
XMM8 2 8 8
XMM9 3 0 4
XMM10 3 2 0
XMM11 3 3 6
XMM12 3 5 2
XMM13 3 6 8
XMM14 3 8 4
XMM15 4 0 0
Reserved 4 1 6
Reserved 4 3 2
Reserved 4 4 8
Available 4 6 4
Available 4 8 0
Available 4 9 6
Ta b le 3 -5 7. La y o u t o f t h e 6 4 -b it -m o d e F X S AVE Ma p (R E X.W = 0 ) (C o n t d .) (C o n t d .)
15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
FXSAVESave x87 FPU, MMX Technology, and SSE State
INSTRUCTION SET REFERENCE, A-M
3-362 Vol. 2A
#NM If CR0.TS[bit 3] = 1.
If CR0.EM[bit 2] = 1.
#UD If CPUID.01H:EDX.FXSR[bit 24] = 0.
#UD If the LOCK prefix is used.
#AC If this exception is disabled a general protection exception (#GP) is signaled if the memory
operand is not aligned on a 16-byte boundary, as described above. If the alignment check
exception (#AC) is enabled (and the CPL is 3), signaling of #AC is not guaranteed and may
vary with implementation, as follows. In all implementations where #AC is not signaled, a
general protection exception is signaled in its place. In addition, the width of the alignment
check may also vary with implementation. For instance, for a given implementation, an align-
ment check exception might be signaled for a 2-byte misalignment, whereas a general protec-
tion exception might be signaled for all other misalignments (4-, 8-, or 16-byte
misalignments).
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand is not aligned on a 16-byte boundary, regardless of segment.
If any part of the operand lies outside the effective address space from 0 to FFFFH.
#NM If CR0.TS[bit 3] = 1.
If CR0.EM[bit 2] = 1.
#UD If CPUID.01H:EDX.FXSR[bit 24] = 0.
If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in real address mode.
#PF(fault-code) For a page fault.
#AC For unaligned memory reference.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
If memory operand is not aligned on a 16-byte boundary, regardless of segment.
#PF(fault-code) For a page fault.
#NM If CR0.TS[bit 3] = 1.
If CR0.EM[bit 2] = 1.
#UD If CPUID.01H:EDX.FXSR[bit 24] = 0.
If the LOCK prefix is used.
#AC If this exception is disabled a general protection exception (#GP) is signaled if the memory
operand is not aligned on a 16-byte boundary, as described above. If the alignment check
exception (#AC) is enabled (and the CPL is 3), signaling of #AC is not guaranteed and may
vary with implementation, as follows. In all implementations where #AC is not signaled, a
general protection exception is signaled in its place. In addition, the width of the alignment
check may also vary with implementation. For instance, for a given implementation, an align-
ment check exception might be signaled for a 2-byte misalignment, whereas a general protec-
tion exception might be signaled for all other misalignments (4-, 8-, or 16-byte
misalignments).
FXSAVESave x87 FPU, MMX Technology, and SSE State
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-363
I m p le m e n t a t io n N o t e
The order in which the processor signals general-protection (#GP) and page-fault (#PF) exceptions when they
both occur on an instruction boundary is given in Table 5-2 in the Intel 64 and IA-32 Architectures Software
Developers Manual, Volume 3B. This order vary for FXSAVE for different processor implementations.
FXTRACTExtract Exponent and Significand
INSTRUCTION SET REFERENCE, A-M
3-364 Vol. 2A
F XT R AC T E x t ra ct E x p o n e n t a n d S ig n if ica n d
D e scrip t io n
Separates the source value in the ST(0) register into its exponent and significand, stores the exponent in ST(0),
and pushes the significand onto the register stack. Following this operation, the new top-of-stack register ST(0)
contains the value of the original significand expressed as a floating-point value. The sign and significand of this
value are the same as those found in the source operand, and the exponent is 3FFFH (biased value for a true expo-
nent of zero). The ST(1) register contains the value of the original operands true (unbiased) exponent expressed
as a floating-point value. (The operation performed by this instruction is a superset of the IEEE-recommended
logb(x) function.)
This instruction and the F2XM1 instruction are useful for performing power and range scaling operations. The
FXTRACT instruction is also useful for converting numbers in double extended-precision floating-point format to
decimal representations (e.g., for printing or displaying).
If the floating-point zero-divide exception (#Z) is masked and the source operand is zero, an exponent value of
is stored in register ST(1) and 0 with the sign of the source operand is stored in register ST(0).
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
TEMP Significand(ST(0));
ST(0) Exponent(ST(0));
TOP TOP 1;
ST(0) TEMP;
F P U F la g s Af fe ct e d
C1 Set to 0 if stack underflow occurred; set to 1 if stack overflow occurred.
C0, C2, C3 Undefined.
F lo a t in g -P o in t E x ce p t io n s
#IS Stack underflow or overflow occurred.
#IA Source operand is an SNaN value or unsupported format.
#Z ST(0) operand is 0.
#D Source operand is a denormal value.
P ro t e ct e d Mo d e E x ce p t io n s
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as in protected mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
O p co d e /
I n st ru ct io n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
D9 F4
FXTRACT
Valid Valid Separate value in ST(0) into exponent and significand, store
exponent in ST(0), and push the significand onto the register
stack.
FXTRACTExtract Exponent and Significand
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-365
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
FYL2XCompute y * log2x
INSTRUCTION SET REFERENCE, A-M
3-366 Vol. 2A
F YL2 XC o m p u t e y lo g
2
x
D e scrip t io n
Computes (ST(1) log
2
(ST(0))), stores the result in resister ST(1), and pops the FPU register stack. The source
operand in ST(0) must be a non-zero positive number.
The following table shows the results obtained when taking the log of various classes of numbers, assuming that
neither overflow nor underflow occurs.
If the divide-by-zero exception is masked and register ST(0) contains 0, the instruction returns with a sign that
is the opposite of the sign of the source operand in register ST(1).
The FYL2X instruction is designed with a built-in multiplication to optimize the calculation of logarithms with an
arbitrary positive base (b):
log
b
x (log
2
b)
1
log
2
x
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
ST(1) ST(1) log
2
ST(0);
PopRegisterStack;
F P U F la g s Af fe ct e d
C1 Set to 0 if stack underflow occurred.
Set if result was rounded up; cleared otherwise.
C0, C2, C3 Undefined.
F lo a t in g -P o in t E x ce p t io n s
#IS Stack underflow occurred.
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
D9 F1 FYL2X Valid Valid Replace ST(1) with (ST(1) log
2
ST(0)) and pop the
register stack.
Ta b le 3 -5 8 . F YL2 X R e su lt s
S T (0 )
F 0
+0<+F<+1
+ 1 + F > + 1 + NaN
* * + + * NaN
S T (1 ) F * * ** + F 0 F NaN
0 * * * + 0 0 0 * NaN
+ 0 * * * 0 + 0 + 0 * NaN
+ F * * ** F + 0 + F + NaN
+ * * * + + NaN
NaN NaN NaN NaN NaN NaN NaN NaN NaN
N O T E S :
F Means finite floating-point value.
* Indicates floating-point invalid-operation (#IA) exception.
**Indicates floating-point zero-divide (#Z) exception.
FYL2XCompute y * log2x
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-367
#IA Either operand is an SNaN or unsupported format.
Source operand in register ST(0) is a negative finite value
(not -0).
#Z Source operand in register ST(0) is 0.
#D Source operand is a denormal value.
#U Result is too small for destination format.
#O Result is too large for destination format.
#P Value cannot be represented exactly in destination format.
P ro t e ct e d Mo d e E x ce p t io n s
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as in protected mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
FYL2XP1Compute y * log2(x +1)
INSTRUCTION SET REFERENCE, A-M
3-368 Vol. 2A
F YL2 XP 1 C o m p u t e y lo g
2
(x +1 )
D e scrip t io n
Computes (ST(1) log
2
(ST(0) + 1.0)), stores the result in register ST(1), and pops the FPU register stack. The
source operand in ST(0) must be in the range:
The source operand in ST(1) can range from to +. If the ST(0) operand is outside of its acceptable range, the
result is undefined and software should not rely on an exception being generated. Under some circumstances
exceptions may be generated when ST(0) is out of range, but this behavior is implementation specific and not
guaranteed.
The following table shows the results obtained when taking the log epsilon of various classes of numbers, assuming
that underflow does not occur.
This instruction provides optimal accuracy for values of epsilon [the value in register ST(0)] that are close to 0. For
small epsilon () values, more significant digits can be retained by using the FYL2XP1 instruction than by using
(+1) as an argument to the FYL2X instruction. The (+1) expression is commonly found in compound interest and
annuity calculations. The result can be simply converted into a value in another logarithm base by including a scale
factor in the ST(1) source operand. The following equation is used to calculate the scale factor for a particular loga-
rithm base, where n is the logarithm base desired for the result of the FYL2XP1 instruction:
scale factor log
n
2
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
ST(1) ST(1) log
2
(ST(0) + 1.0);
PopRegisterStack;
F P U F la g s Af fe ct e d
C1 Set to 0 if stack underflow occurred.
Set if result was rounded up; cleared otherwise.
O p co d e I n st ru ct io n 6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
D9 F9 FYL2XP1 Valid Valid Replace ST(1) with ST(1) log
2
(ST(0) +1.0) and pop the
register stack.
Ta b le 3 -5 9 . F YL2 XP 1 R e su lt s
S T (0 )
(1 ( )) to 0 -0 +0 +0 to +(1 - ( )) NaN
+ * * NaN
S T (1 ) F +F +0 -0 F NaN
0 +0 +0 -0 0 NaN
+0 0 0 +0 +0 NaN
+F F 0 +0 +F NaN
+ * * + NaN
NaN NaN NaN NaN NaN NaN
N O T E S :
F Means finite floating-point value.
* Indicates floating-point invalid-operation (#IA) exception.
1 2 2 ( ) )to 1 2 2 ( )
2 2 2 2
FYL2XP1Compute y * log2(x +1)
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-369
C0, C2, C3 Undefined.
F lo a t in g -P o in t E x ce p t io n s
#IS Stack underflow occurred.
#IA Either operand is an SNaN value or unsupported format.
#D Source operand is a denormal value.
#U Result is too small for destination format.
#O Result is too large for destination format.
#P Value cannot be represented exactly in destination format.
P ro t e ct e d Mo d e E x ce p t io n s
#NM CR0.EM[bit 2] or CR0.TS[bit 3] = 1.
#MF If there is a pending x87 FPU exception.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as in protected mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
HADDPDPacked Double-FP Horizontal Add
INSTRUCTION SET REFERENCE, A-M
3-370 Vol. 2A
H AD D P D P a cke d D o u b le -F P H o rizo n t a l Ad d
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Adds the double-precision floating-point values in the high and low quadwords of the destination operand and
stores the result in the low quadword of the destination operand.
Adds the double-precision floating-point values in the high and low quadwords of the source operand and stores the
result in the high quadword of the destination operand.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
See Figure 3-15 for HADDPD; see Figure 3-16 for VHADDPD.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 7C /r
HADDPD xmm1, xmm2/m128
RM V/V SSE3 Horizontal add packed double-precision
floating-point values fromxmm2/m128 to
xmm1.
VEX.NDS.128.66.0F.WIG 7C /r
VHADDPD xmm1,xmm2, xmm3/m128
RVM V/V AVX Horizontal add packed double-precision
floating-point values from xmm2 and
xmm3/mem.
VEX.NDS.256.66.0F.WIG 7C /r
VHADDPD ymm1, ymm2, ymm3/m256
RVM V/V AVX Horizontal add packed double-precision
floating-point values from ymm2 and
ymm3/mem.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m(r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
F ig u re 3 -1 5 . H AD D P D P a cke d D o u b le -F P H o rizo n t a l Ad d
OM15993
HADDPD xmm1, xmm2/m128
xmm1
xmm2
/m128
[63:0] [127:64]
[127:64] [63:0]
[63:0] [127:64]
Result:
xmm1
xmm2/m128[63:0] +
xmm2/m128[127:64]
xmm1[63:0] + xmm1[127:64]
HADDPDPacked Double-FP Horizontal Add
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-371
F ig u re 3 -1 6 . V H AD D P D o p e ra t io n
128-bit Legacy SSE version: The second source can be an XMM register or an 128-bit memory location. The desti-
nation is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding
YMM register destination are unmodified.
VEX.128 encoded version: the first source operand is an XMM register or 128-bit memory location. The destination
operand is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand can be a YMM
register or a 256-bit memory location. The destination operand is a YMM register.
O p e ra t io n
H AD D P D (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[63:0] SRC1[127:64] +SRC1[63:0]
DEST[127:64] SRC2[127:64] +SRC2[63:0]
DEST[VLMAX-1:128] (Unmodified)
VH AD D P D (VE X.1 2 8 e n co d e d v e rsio n )
DEST[63:0] SRC1[127:64] +SRC1[63:0]
DEST[127:64] SRC2[127:64] +SRC2[63:0]
DEST[VLMAX-1:128] 0
VH AD D P D (VE X.2 5 6 e n co d e d v e rsio n )
DEST[63:0] SRC1[127:64] +SRC1[63:0]
DEST[127:64] SRC2[127:64] +SRC2[63:0]
DEST[191:128] SRC1[255:192] +SRC1[191:128]
DEST[255:192] SRC2[255:192] +SRC2[191:128]
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
VHADDPD: __m256d _mm256_hadd_pd (__m256d a, __m256d b);
HADDPD: __m128d _mm_hadd_pd (__m128d a, __m128d b);
E x ce p t io n s
When the source operand is a memory operand, the operand must be aligned on a 16-byte boundary or a general-
protection exception (#GP) will be generated.
Y2 + Y3 X2 + X3 Y0 + Y1 X0 + X1 DEST
X3 X2
SRC1
X1 X0
Y3 Y2 Y1 Y0
SRC2
HADDPDPacked Double-FP Horizontal Add
INSTRUCTION SET REFERENCE, A-M
3-372 Vol. 2A
N u m e ric E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 2.
HADDPSPacked Single-FP Horizontal Add
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-373
H AD D P S P a cke d S in g le -F P H o rizo n t a l Ad d
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Adds the single-precision floating-point values in the first and second dwords of the destination operand and stores
the result in the first dword of the destination operand.
Adds single-precision floating-point values in the third and fourth dword of the destination operand and stores the
result in the second dword of the destination operand.
Adds single-precision floating-point values in the first and second dword of the source operand and stores the
result in the third dword of the destination operand.
Adds single-precision floating-point values in the third and fourth dword of the source operand and stores the
result in the fourth dword of the destination operand.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
F2 0F 7C /r
HADDPS xmm1, xmm2/m128
RM V/V SSE3 Horizontal add packed single-precision
floating-point values from xmm2/m128 to
xmm1.
VEX.NDS.128.F2.0F.WIG 7C /r
VHADDPS xmm1, xmm2, xmm3/m128
RVM V/V AVX Horizontal add packed single-precision
floating-point values from xmm2 and
xmm3/mem.
VEX.NDS.256.F2.0F.WIG 7C /r
VHADDPS ymm1, ymm2, ymm3/m256
RVM V/V AVX Horizontal add packed single-precision
floating-point values from ymm2 and
ymm3/mem.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m(r) NA
HADDPSPacked Single-FP Horizontal Add
INSTRUCTION SET REFERENCE, A-M
3-374 Vol. 2A
See Figure 3-17 for HADDPS; see Figure 3-18 for VHADDPS.
F ig u re 3 -1 8 . V H AD D P S o p e ra t io n
128-bit Legacy SSE version: The second source can be an XMM register or an 128-bit memory location. The desti-
nation is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding
YMM register destination are unmodified.
VEX.128 encoded version: the first source operand is an XMM register or 128-bit memory location. The destination
operand is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand can be a YMM
register or a 256-bit memory location. The destination operand is a YMM register.
F ig u re 3 -1 7. H AD D P S P a cke d S in g le -F P H o rizo n t a l Ad d
OM15994
HADDPS xmm1, xmm2/m128
RESULT:
xmm1
xmm2/
m128
xmm1[31:0] +
xmm1[63:32]
[31:0]
xmm1[95:64] +
xmm1[127:96]
[63:32]
[63:32] [31:0]
xmm1 [31:0] [63:32]
xmm2/m128
[31:0] + xmm2/
m128[63:32]
[95:64]
xmm2/m128
[95:64] + xmm2/
m128[127:96]
[127:96]
[127:96] [95:64]
[95:64] [127:96]
Y6+Y7 X6+X7 Y2+Y3
X2+X3
DEST
SRC1
X0
SRC2
X1 X2 X3 X4 X5 X6 X7
Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7
X0+X1
Y4+Y5 X4+X5 Y0+Y1
HADDPSPacked Single-FP Horizontal Add
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-375
O p e ra t io n
H AD D P S (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[31:0] SRC1[63:32] +SRC1[31:0]
DEST[63:32] SRC1[127:96] +SRC1[95:64]
DEST[95:64] SRC2[63:32] +SRC2[31:0]
DEST[127:96] SRC2[127:96] +SRC2[95:64]
DEST[VLMAX-1:128] (Unmodified)
VH AD D P S (VE X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] SRC1[63:32] +SRC1[31:0]
DEST[63:32] SRC1[127:96] +SRC1[95:64]
DEST[95:64] SRC2[63:32] +SRC2[31:0]
DEST[127:96] SRC2[127:96] +SRC2[95:64]
DEST[VLMAX-1:128] 0
VH AD D P S (VE X.2 5 6 e n co d e d v e rsio n )
DEST[31:0] SRC1[63:32] +SRC1[31:0]
DEST[63:32] SRC1[127:96] +SRC1[95:64]
DEST[95:64] SRC2[63:32] +SRC2[31:0]
DEST[127:96] SRC2[127:96] +SRC2[95:64]
DEST[159:128] SRC1[191:160] +SRC1[159:128]
DEST[191:160] SRC1[255:224] +SRC1[223:192]
DEST[223:192] SRC2[191:160] +SRC2[159:128]
DEST[255:224] SRC2[255:224] +SRC2[223:192]
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
HADDPS: __m128 _mm_hadd_ps (__m128 a, __m128 b);
VHADDPS: __m256 _mm256_hadd_ps (__m256 a, __m256 b);
E x ce p t io n s
When the source operand is a memory operand, the operand must be aligned on a 16-byte boundary or a general-
protection exception (#GP) will be generated.
N u m e ric E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 2.
HLTHalt
INSTRUCTION SET REFERENCE, A-M
3-376 Vol. 2A
H LT H a lt
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Stops instruction execution and places the processor in a HALT state. An enabled interrupt (including NMI and
SMI), a debug exception, the BINIT# signal, the INIT# signal, or the RESET# signal will resume execution. If an
interrupt (including NMI) is used to resume execution after a HLT instruction, the saved instruction pointer
(CS:EIP) points to the instruction following the HLT instruction.
When a HLT instruction is executed on an Intel 64 or IA-32 processor supporting Intel Hyper-Threading Technology,
only the logical processor that executes the instruction is halted. The other logical processors in the physical
processor remain active, unless they are each individually halted by executing a HLT instruction.
The HLT instruction is a privileged instruction. When the processor is running in protected or virtual-8086 mode,
the privilege level of a program or procedure must be 0 to execute the HLT instruction.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
Enter Halt state;
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the current privilege level is not 0.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
None.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
F4 HLT NP Valid Valid Halt
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
HSUBPDPacked Double-FP Horizontal Subtract
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-377
H S U B P D P a cke d D o u b le -F P H o rizo n t a l S u b t ra ct
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
The HSUBPD instruction subtracts horizontally the packed DP FP numbers of both operands.
Subtracts the double-precision floating-point value in the high quadword of the destination operand from the low
quadword of the destination operand and stores the result in the low quadword of the destination operand.
Subtracts the double-precision floating-point value in the high quadword of the source operand from the low quad-
word of the source operand and stores the result in the high quadword of the destination operand.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
See Figure 3-19 for HSUBPD; see Figure 3-20 for VHSUBPD.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 7D /r
HSUBPD xmm1, xmm2/m128
RM V/V SSE3 Horizontal subtract packed double-precision
floating-point values from xmm2/m128 to
xmm1.
VEX.NDS.128.66.0F.WIG 7D /r
VHSUBPD xmm1,xmm2, xmm3/m128
RVM V/V AVX Horizontal subtract packed double-precision
floating-point values fromxmm2 and
xmm3/mem.
VEX.NDS.256.66.0F.WIG 7D /r
VHSUBPD ymm1, ymm2, ymm3/m256
RVM V/V AVX Horizontal subtract packed double-precision
floating-point values fromymm2 and
ymm3/mem.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
F ig u re 3 -1 9 . H S U B P D P a cke d D o u b le -F P H o rizo n t a l S u b t ra ct
OM15995
HSUBPD xmm1, xmm2/m128
xmm1
xmm2
/m128
[63:0] [127:64]
[127:64] [63:0]
[63:0] [127:64]
Result:
xmm1
xmm2/m128[63:0] -
xmm2/m128[127:64]
xmm1[63:0] - xmm1[127:64]
HSUBPDPacked Double-FP Horizontal Subtract
INSTRUCTION SET REFERENCE, A-M
3-378 Vol. 2A
F ig u re 3 -2 0 . V H S U B P D o p e ra t io n
128-bit Legacy SSE version: The second source can be an XMM register or an 128-bit memory location. The desti-
nation is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding
YMM register destination are unmodified.
VEX.128 encoded version: the first source operand is an XMM register or 128-bit memory location. The destination
operand is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand can be a YMM
register or a 256-bit memory location. The destination operand is a YMM register.
O p e ra t io n
H S U B P D (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[63:0] SRC1[63:0] - SRC1[127:64]
DEST[127:64] SRC2[63:0] - SRC2[127:64]
DEST[VLMAX-1:128] (Unmodified)
VH S U B P D (VE X.1 2 8 e n co d e d v e rsio n )
DEST[63:0] SRC1[63:0] - SRC1[127:64]
DEST[127:64] SRC2[63:0] - SRC2[127:64]
DEST[VLMAX-1:128] 0
VH S U B P D (VE X.2 5 6 e n co d e d v e rsio n )
DEST[63:0] SRC1[63:0] - SRC1[127:64]
DEST[127:64] SRC2[63:0] - SRC2[127:64]
DEST[191:128] SRC1[191:128] - SRC1[255:192]
DEST[255:192] SRC2[191:128] - SRC2[255:192]
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
HSUBPD: __m128d _mm_hsub_pd(__m128d a, __m128d b)
VHSUBPD: __m256d _mm256_hsub_pd (__m256d a, __m256d b);
E x ce p t io n s
When the source operand is a memory operand, the operand must be aligned on a 16-byte boundary or a general-
protection exception (#GP) will be generated.
Y2 - Y3 X2 - X3 Y0 - Y1 X0 - X1 DEST
X3 X2
SRC1
X1 X0
Y3 Y2 Y1 Y0
SRC2
HSUBPDPacked Double-FP Horizontal Subtract
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-379
N u m e ric E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 2.
HSUBPSPacked Single-FP Horizontal Subtract
INSTRUCTION SET REFERENCE, A-M
3-380 Vol. 2A
H S U B P S P a cke d S in g le -F P H o rizo n t a l S u b t ra ct
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Subtracts the single-precision floating-point value in the second dword of the destination operand from the first
dword of the destination operand and stores the result in the first dword of the destination operand.
Subtracts the single-precision floating-point value in the fourth dword of the destination operand from the third
dword of the destination operand and stores the result in the second dword of the destination operand.
Subtracts the single-precision floating-point value in the second dword of the source operand from the first dword
of the source operand and stores the result in the third dword of the destination operand.
Subtracts the single-precision floating-point value in the fourth dword of the source operand from the third dword
of the source operand and stores the result in the fourth dword of the destination operand.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
See Figure 3-21 for HSUBPS; see Figure 3-22 for VHSUBPS.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
F2 0F 7D /r
HSUBPS xmm1, xmm2/m128
RM V/V SSE3 Horizontal subtract packed single-precision
floating-point values from xmm2/m128 to
xmm1.
VEX.NDS.128.F2.0F.WIG 7D /r
VHSUBPS xmm1, xmm2, xmm3/m128
RVM V/V AVX Horizontal subtract packed single-precision
floating-point values from xmm2 and
xmm3/mem.
VEX.NDS.256.F2.0F.WIG 7D /r
VHSUBPS ymm1, ymm2, ymm3/m256
RVM V/V AVX Horizontal subtract packed single-precision
floating-point values from ymm2 and
ymm3/mem.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
HSUBPSPacked Single-FP Horizontal Subtract
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-381
F ig u re 3 -2 2 . V H S U B P S o p e ra t io n
128-bit Legacy SSE version: The second source can be an XMM register or an 128-bit memory location. The desti-
nation is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding
YMM register destination are unmodified.
VEX.128 encoded version: the first source operand is an XMM register or 128-bit memory location. The destination
operand is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand can be a YMM
register or a 256-bit memory location. The destination operand is a YMM register.
F ig u re 3 -2 1 . H S U B P S P a cke d S in g le -F P H o rizo n t a l S u b t ra ct
OM15996
HSUBPS xmm1, xmm2/m128
RESULT:
xmm1
xmm2/
m128
xmm1[31:0] -
xmm1[63:32]
[31:0]
xmm1[95:64] -
xmm1[127:96]
[63:32]
[63:32] [31:0]
xmm1 [31:0] [63:32]
xmm2/m128
[31:0] - xmm2/
m128[63:32]
[95:64]
xmm2/m128
[95:64] - xmm2/
m128[127:96]
[127:96]
[127:96] [95:64]
[95:64] [127:96]
Y6-Y7 X6-X7 Y2-Y3
X2-X3
DEST
SRC1
X0
SRC2
X1 X2 X3 X4 X5 X6 X7
Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7
X0-X1
Y4-Y5 X4-X5 Y0-Y1
HSUBPSPacked Single-FP Horizontal Subtract
INSTRUCTION SET REFERENCE, A-M
3-382 Vol. 2A
O p e ra t io n
H S U B P S (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[31:0] SRC1[31:0] - SRC1[63:32]
DEST[63:32] SRC1[95:64] - SRC1[127:96]
DEST[95:64] SRC2[31:0] - SRC2[63:32]
DEST[127:96] SRC2[95:64] - SRC2[127:96]
DEST[VLMAX-1:128] (Unmodified)
VH S U B P S (V E X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] SRC1[31:0] - SRC1[63:32]
DEST[63:32] SRC1[95:64] - SRC1[127:96]
DEST[95:64] SRC2[31:0] - SRC2[63:32]
DEST[127:96] SRC2[95:64] - SRC2[127:96]
DEST[VLMAX-1:128] 0
VH S U B P S (V E X.2 5 6 e n co d e d v e rsio n )
DEST[31:0] SRC1[31:0] - SRC1[63:32]
DEST[63:32] SRC1[95:64] - SRC1[127:96]
DEST[95:64] SRC2[31:0] - SRC2[63:32]
DEST[127:96] SRC2[95:64] - SRC2[127:96]
DEST[159:128] SRC1[159:128] - SRC1[191:160]
DEST[191:160] SRC1[223:192] - SRC1[255:224]
DEST[223:192] SRC2[159:128] - SRC2[191:160]
DEST[255:224] SRC2[223:192] - SRC2[255:224]
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
HSUBPS: __m128 _mm_hsub_ps(__m128 a, __m128 b);
VHSUBPS: __m256 _mm256_hsub_ps (__m256 a, __m256 b);
E x ce p t io n s
When the source operand is a memory operand, the operand must be aligned on a 16-byte boundary or a general-
protection exception (#GP) will be generated.
N u m e ric E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 2.
IDIVSigned Divide
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-383
I D I VS ig n e d D iv id e
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Divides the (signed) value in the AX, DX:AX, or EDX:EAX (dividend) by the source operand (divisor) and stores the
result in the AX (AH:AL), DX:AX, or EDX:EAX registers. The source operand can be a general-purpose register or a
memory location. The action of this instruction depends on the operand size (dividend/divisor).
Non-integral results are truncated (chopped) towards 0. The remainder is always less than the divisor in magni-
tude. Overflow is indicated with the #DE (divide error) exception rather than with the CF flag.
In 64-bit mode, the instructions default operation size is 32 bits. Use of the REX.R prefix permits access to addi-
tional registers (R8-R15). Use of the REX.W prefix promotes operation to 64 bits. In 64-bit mode when REX.W is
applied, the instruction divides the signed value in RDX:RAX by the source operand. RAX contains a 64-bit
quotient; RDX contains a 64-bit remainder.
See the summary chart at the beginning of this section for encoding data and limits. See Table 3-60.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
F6 /7 IDIV r/m8 M Valid Valid Signed divide AX by r/m8, with result stored in:
AL Quotient, AH Remainder.
REX +F6 /7 IDIV r/m8* M Valid N.E. Signed divide AX by r/m8, with result stored in
AL Quotient, AH Remainder.
F7 /7 IDIV r/m16 M Valid Valid Signed divide DX:AX by r/m16, with result
stored in AX Quotient, DX Remainder.
F7 /7 IDIV r/m32 M Valid Valid Signed divide EDX:EAX by r/m32, with result
stored in EAX Quotient, EDX Remainder.
REX.W +F7 /7 IDIV r/m64 M Valid N.E. Signed divide RDX:RAX by r/m64, with result
stored in RAX Quotient, RDX Remainder.
N O T E S :
* In 64-bit mode, r/m8 can not be encoded to access the following byte registers if a REX prefix is used: AH, BH, CH, DH.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
M ModRM:r/m (r) NA NA NA
Ta b le 3 -6 0 . I D I V R e su lt s
O p e ra n d S ize D iv id e n d D iv iso r Q u o t ie n t R e m a in d e r Q u o t ie n t R a n g e
Word/byte AX r/m8 AL AH 128 to +127
Doubleword/word DX:AX r/m16 AX DX 32,768 to +32,767
Quadword/doubleword EDX:EAX r/m32 EAX EDX 2
31
to 2
32
1
Doublequadword/ quadword RDX:RAX r/m64 RAX RDX 2
63
to 2
64
1
IDIVSigned Divide
INSTRUCTION SET REFERENCE, A-M
3-384 Vol. 2A
O p e ra t io n
IF SRC = 0
THEN #DE; (* Divide error *)
FI;
IF OperandSize = 8 (* Word/byte operation *)
THEN
temp AX / SRC; (* Signed division *)
IF (temp > 7FH) or (temp < 80H)
(* If a positive result is greater than 7FH or a negative result is less than 80H *)
THEN #DE; (* Divide error *)
ELSE
AL temp;
AH AX SignedModulus SRC;
FI;
ELSE IF OperandSize = 16 (* Doubleword/word operation *)
THEN
temp DX:AX / SRC; (* Signed division *)
IF (temp > 7FFFH) or (temp < 8000H)
(* If a positive result is greater than 7FFFH
or a negative result is less than 8000H *)
THEN
#DE; (* Divide error *)
ELSE
AX temp;
DX DX:AX SignedModulus SRC;
FI;
FI;
ELSE IF OperandSize =32 (* Quadword/doubleword operation *)
temp EDX:EAX / SRC; (* Signed division *)
IF (temp > 7FFFFFFFH) or (temp < 80000000H)
(* If a positive result is greater than 7FFFFFFFH
or a negative result is less than 80000000H *)
THEN
#DE; (* Divide error *)
ELSE
EAX temp;
EDX EDXE:AX SignedModulus SRC;
FI;
FI;
ELSE IF OperandSize =64 (* Doublequadword/quadword operation *)
temp RDX:RAX / SRC; (* Signed division *)
IF (temp > 7FFFFFFFFFFFFFFFH) or (temp < 8000000000000000H)
(* If a positive result is greater than 7FFFFFFFFFFFFFFFH
or a negative result is less than 8000000000000000H *)
THEN
#DE; (* Divide error *)
ELSE
RAX temp;
RDX RDE:RAX SignedModulus SRC;
FI;
FI;
FI;
IDIVSigned Divide
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-385
F la g s Af fe ct e d
The CF, OF, SF, ZF, AF, and PF flags are undefined.
P ro t e ct e d Mo d e E x ce p t io n s
#DE If the source operand (divisor) is 0.
The signed result (quotient) is too large for the destination.
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register is used to access memory and it contains a NULL segment
selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#DE If the source operand (divisor) is 0.
The signed result (quotient) is too large for the destination.
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#DE If the source operand (divisor) is 0.
The signed result (quotient) is too large for the destination.
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#DE If the source operand (divisor) is 0
If the quotient is too large for the designated register.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
IMULSigned Multiply
INSTRUCTION SET REFERENCE, A-M
3-386 Vol. 2A
I MU LS ig n e d Mu lt ip ly
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a signed multiplication of two operands. This instruction has three forms, depending on the number of
operands.
One-operand form This form is identical to that used by the MUL instruction. Here, the source operand (in
a general-purpose register or memory location) is multiplied by the value in the AL, AX, EAX, or RAX register
(depending on the operand size) and the product is stored in the AX, DX:AX, EDX:EAX, or RDX:RAX registers,
respectively.
Two-operand form With this form the destination operand (the first operand) is multiplied by the source
operand (second operand). The destination operand is a general-purpose register and the source operand is an
immediate value, a general-purpose register, or a memory location. The product is then stored in the
destination operand location.
Three-operand form This form requires a destination operand (the first operand) and two source operands
(the second and the third operands). Here, the first source operand (which can be a general-purpose register
or a memory location) is multiplied by the second source operand (an immediate value). The product is then
stored in the destination operand (a general-purpose register).
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
F6 /5 IMUL r/m8* M Valid Valid AX AL r/m byte.
F7 /5 IMUL r/m16 M Valid Valid DX:AX AX r/m word.
F7 /5 IMUL r/m32 M Valid Valid EDX:EAX EAX r/m32.
REX.W +F7 /5 IMUL r/m64 M Valid N.E. RDX:RAX RAX r/m64.
0F AF /r IMUL r16, r/m16 RM Valid Valid word register word register r/m16.
0F AF /r IMUL r32, r/m32 RM Valid Valid doubleword register doubleword register
r/m32.
REX.W +0F AF /r IMUL r64, r/m64 RM Valid N.E. Quadword register Quadword register
r/m64.
6B /r ib IMUL r16, r/m16, imm8 RMI Valid Valid word register r/m16 sign-extended
immediate byte.
6B /r ib IMUL r32, r/m32, imm8 RMI Valid Valid doubleword register r/m32 sign-
extended immediate byte.
REX.W +6B /r ib IMUL r64, r/m64, imm8 RMI Valid N.E. Quadword register r/m64 sign-extended
immediate byte.
69 /r iw IMUL r16, r/m16, imm16 RMI Valid Valid word register r/m16 immediate word.
69 /r id IMUL r32, r/m32, imm32 RMI Valid Valid doubleword register r/m32 immediate
doubleword.
REX.W +69 /r id IMUL r64, r/m64, imm32 RMI Valid N.E. Quadword register r/m64 immediate
doubleword.
N O T E S :
* In 64-bit mode, r/m8 can not be encoded to access the following byte registers if a REX prefix is used: AH, BH, CH, DH.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
M ModRM:r/m (r, w) NA NA NA
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RMI ModRM:reg (r, w) ModRM:r/m (r) imm8/16/32 NA
IMULSigned Multiply
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-387
When an immediate value is used as an operand, it is sign-extended to the length of the destination operand
format.
The CF and OF flags are set when significant bit (including the sign bit) are carried into the upper half of the result.
The CF and OF flags are cleared when the result (including the sign bit) fits exactly in the lower half of the result.
The three forms of the IMUL instruction are similar in that the length of the product is calculated to twice the length
of the operands. With the one-operand form, the product is stored exactly in the destination. With the two- and
three- operand forms, however, the result is truncated to the length of the destination before it is stored in the
destination register. Because of this truncation, the CF or OF flag should be tested to ensure that no significant bits
are lost.
The two- and three-operand forms may also be used with unsigned operands because the lower half of the product
is the same regardless if the operands are signed or unsigned. The CF and OF flags, however, cannot be used to
determine if the upper half of the result is non-zero.
In 64-bit mode, the instructions default operation size is 32 bits. Use of the REX.R prefix permits access to addi-
tional registers (R8-R15). Use of the REX.W prefix promotes operation to 64 bits. Use of REX.W modifies the three
forms of the instruction as follows.
One-operand form The source operand (in a 64-bit general-purpose register or memory location) is
multiplied by the value in the RAX register and the product is stored in the RDX:RAX registers.
Two-operand form The source operand is promoted to 64 bits if it is a register or a memory location. If the
source operand is an immediate, it is sign extended to 64 bits. The destination operand is promoted to 64 bits.
Three-operand form The first source operand (either a register or a memory location) and destination
operand are promoted to 64 bits.
O p e ra t io n
IF (NumberOfOperands = 1)
THEN IF (OperandSize = 8)
THEN
AX AL SRC (* Signed multiplication *)
IF AL = AX
THEN CF 0; OF 0;
ELSE CF 1; OF 1; FI;
ELSE IF OperandSize = 16
THEN
DX:AX AX SRC (* Signed multiplication *)
IF sign_extend_to_32 (AX) = DX:AX
THEN CF 0; OF 0;
ELSE CF 1; OF 1; FI;
ELSE IF OperandSize = 32
THEN
EDX:EAX EAX SRC (* Signed multiplication *)
IF EAX = EDX:EAX
THEN CF 0; OF 0;
ELSE CF 1; OF 1; FI;
ELSE (* OperandSize = 64 *)
RDX:RAX RAX SRC (* Signed multiplication *)
IF RAX = RDX:RAX
THEN CF 0; OF 0;
ELSE CF 1; OF 1; FI;
FI;
FI;
ELSE IF (NumberOfOperands = 2)
THEN
temp DEST SRC (* Signed multiplication; temp is double DEST size *)
IMULSigned Multiply
INSTRUCTION SET REFERENCE, A-M
3-388 Vol. 2A
DEST DEST SRC (* Signed multiplication *)
IF temp DEST
THEN CF 1; OF 1;
ELSE CF 0; OF 0; FI;
ELSE (* NumberOfOperands = 3 *)
DEST SRC1 SRC2 (* Signed multiplication *)
temp SRC1 SRC2 (* Signed multiplication; temp is double SRC1 size *)
IF temp DEST
THEN CF 1; OF 1;
ELSE CF 0; OF 0; FI;
FI;
FI;
F la g s Af fe ct e d
For the one operand form of the instruction, the CF and OF flags are set when significant bits are carried into the
upper half of the result and cleared when the result fits exactly in the lower half of the result. For the two- and
three-operand forms of the instruction, the CF and OF flags are set when the result must be truncated to fit in the
destination operand size and cleared when the result fits exactly in the destination operand size. The SF, ZF, AF, and
PF flags are undefined.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register is used to access memory and it contains a NULL NULL
segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
IMULSigned Multiply
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-389
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
INInput fromPort
INSTRUCTION SET REFERENCE, A-M
3-390 Vol. 2A
I N I n p u t fro m P o rt
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Copies the value from the I/O port specified with the second operand (source operand) to the destination operand
(first operand). The source operand can be a byte-immediate or the DX register; the destination operand can be
register AL, AX, or EAX, depending on the size of the port being accessed (8, 16, or 32 bits, respectively). Using the
DX register as a source operand allows I/O port addresses from 0 to 65,535 to be accessed; using a byte imme-
diate allows I/O port addresses 0 to 255 to be accessed.
When accessing an 8-bit I/O port, the opcode determines the port size; when accessing a 16- and 32-bit I/O port,
the operand-size attribute determines the port size. At the machine code level, I/O instructions are shorter when
accessing 8-bit I/O ports. Here, the upper eight bits of the port address will be 0.
This instruction is only useful for accessing I/O ports located in the processors I/O address space. See Chapter 15,
Input/Output, in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1, for more infor-
mation on accessing I/O ports in the I/O address space.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
IF ((PE = 1) and ((CPL > IOPL) or (VM = 1)))
THEN (* Protected mode with CPL > IOPL or virtual-8086 mode *)
IF (Any I/O Permission Bit for I/O port being accessed = 1)
THEN (* I/O operation is not allowed *)
#GP(0);
ELSE ( * I/O operation is allowed *)
DEST SRC; (* Read from selected I/O port *)
FI;
ELSE (Real Mode or Protected Mode with CPL IOPL *)
DEST SRC; (* Read from selected I/O port *)
FI;
F la g s Af fe ct e d
None.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
E4 ib IN AL, imm8 I Valid Valid Input byte from imm8 I/O port address into
AL.
E5 ib IN AX, imm8 I Valid Valid Input word from imm8 I/O port address into
AX.
E5 ib IN EAX, imm8 I Valid Valid Input dword fromimm8 I/O port address into
EAX.
EC IN AL,DX NP Valid Valid Input byte from I/O port in DX into AL.
ED IN AX,DX NP Valid Valid Input word from I/O port in DX into AX.
ED IN EAX,DX NP Valid Valid Input doubleword from I/O port in DX into
EAX.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
I imm8 NA NA NA
NP NA NA NA NA
INInput fromPort
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-391
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the CPL is greater than (has less privilege) the I/O privilege level (IOPL) and any of the
corresponding I/O permission bits in TSS for the I/O port being accessed is 1.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If any of the I/O permission bits in the TSS for the I/O port being accessed is 1.
#PF(fault-code) If a page fault occurs.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#GP(0) If the CPL is greater than (has less privilege) the I/O privilege level (IOPL) and any of the
corresponding I/O permission bits in TSS for the I/O port being accessed is 1.
#UD If the LOCK prefix is used.
INCIncrement by 1
INSTRUCTION SET REFERENCE, A-M
3-392 Vol. 2A
I N C I n cre m e n t b y 1
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Adds 1 to the destination operand, while preserving the state of the CF flag. The destination operand can be a
register or a memory location. This instruction allows a loop counter to be updated without disturbing the CF flag.
(Use a ADD instruction with an immediate operand of 1 to perform an increment operation that does updates the
CF flag.)
This instruction can be used with a LOCK prefix to allow the instruction to be executed atomically.
In 64-bit mode, INC r16 and INC r32 are not encodable (because opcodes 40H through 47H are REX prefixes).
Otherwise, the instructions 64-bit mode default operation size is 32 bits. Use of the REX.R prefix permits access to
additional registers (R8-R15). Use of the REX.W prefix promotes operation to 64 bits.
O p e ra t io n
DEST DEST + 1;
AF la g s Af fe ct e d
The CF flag is not affected. The OF, SF, ZF, AF, and PF flags are set according to the result.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the destination operand is located in a non-writable segment.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register is used to access memory and it contains a NULLsegment
selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used but the destination is not a memory operand.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
FE /0 INC r/m8 M Valid Valid Increment r/m byte by 1.
REX +FE /0 INC r/m8
*
M Valid N.E. Increment r/m byte by 1.
FF /0 INC r/m16 M Valid Valid Increment r/m word by 1.
FF /0 INC r/m32 M Valid Valid Increment r/m doubleword by 1.
REX.W +FF /0 INC r/m64 M Valid N.E. Increment r/m quadword by 1.
40+rw
**
INC r16 O N.E. Valid Increment word register by 1.
40+rd INC r32 O N.E. Valid Increment doubleword register by 1.
N O T E S :
* In 64-bit mode, r/m8 can not be encoded to access the following byte registers if a REX prefix is used: AH, BH, CH, DH.
**40H through 47H are REX prefixes in 64-bit mode.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
M ModRM:r/m (r, w) NA NA NA
O opcode +rd (r, w) NA NA NA
INCIncrement by 1
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-393
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used but the destination is not a memory operand.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used but the destination is not a memory operand.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used but the destination is not a memory operand.
INS/INSB/INSW/INSDInput fromPort to String
INSTRUCTION SET REFERENCE, A-M
3-394 Vol. 2A
I N S /I N S B /I N S W/I N S D I n p u t fro m P o rt t o S t rin g
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Copies the data from the I/O port specified with the source operand (second operand) to the destination operand
(first operand). The source operand is an I/O port address (from 0 to 65,535) that is read from the DX register. The
destination operand is a memory location, the address of which is read from either the ES:DI, ES:EDI or the RDI
registers (depending on the address-size attribute of the instruction, 16, 32 or 64, respectively). (The ES segment
cannot be overridden with a segment override prefix.) The size of the I/O port being accessed (that is, the size of
the source and destination operands) is determined by the opcode for an 8-bit I/O port or by the operand-size
attribute of the instruction for a 16- or 32-bit I/O port.
At the assembly-code level, two forms of this instruction are allowed: the explicit-operands form and the no-
operands form. The explicit-operands form (specified with the INS mnemonic) allows the source and destination
operands to be specified explicitly. Here, the source operand must be DX, and the destination operand should be
a symbol that indicates the size of the I/O port and the destination address. This explicit-operands form is provided
to allow documentation; however, note that the documentation provided by this form can be misleading. That is,
the destination operand symbol must specify the correct type (size) of the operand (byte, word, or doubleword),
but it does not have to specify the correct location. The location is always specified by the ES:(E)DI registers,
which must be loaded correctly before the INS instruction is executed.
The no-operands form provides short forms of the byte, word, and doubleword versions of the INS instructions.
Here also DX is assumed by the processor to be the source operand and ES:(E)DI is assumed to be the destination
operand. The size of the I/O port is specified with the choice of mnemonic: INSB (byte), INSW (word), or INSD
(doubleword).
After the byte, word, or doubleword is transfer from the I/O port to the memory location, the DI/EDI/RDI register
is incremented or decremented automatically according to the setting of the DF flag in the EFLAGS register. (If the
DF flag is 0, the (E)DI register is incremented; if the DF flag is 1, the (E)DI register is decremented.) The (E)DI
register is incremented or decremented by 1 for byte operations, by 2 for word operations, or by 4 for doubleword
operations.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
6C INS m8, DX NP Valid Valid Input byte from I/O port specified in DX into
memory location specified in ES:(E)DI or RDI.*
6D INS m16, DX NP Valid Valid Input word from I/O port specified in DX into
memory location specified in ES:(E)DI or RDI.
1
6D INS m32, DX NP Valid Valid Input doubleword fromI/O port specified in DX
into memory location specified in ES:(E)DI or
RDI.
1
6C INSB NP Valid Valid Input byte from I/O port specified in DX into
memory location specified with ES:(E)DI or
RDI.
1
6D INSW NP Valid Valid Input word from I/O port specified in DX into
memory location specified in ES:(E)DI or RDI.
1
6D INSD NP Valid Valid Input doubleword fromI/O port specified in DX
into memory location specified in ES:(E)DI or
RDI.
1
N O T E S :
* In 64-bit mode, only 64-bit (RDI) and 32-bit (EDI) address sizes are supported. In non-64-bit mode, only 32-bit (EDI) and 16-bit (DI)
address sizes are supported.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
INS/INSB/INSW/INSDInput fromPort to String
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-395
The INS, INSB, INSW, and INSD instructions can be preceded by the REP prefix for block input of ECX bytes, words,
or doublewords. See REP/REPE/REPZ /REPNE/REPNZRepeat String Operation Prefix in Chapter 4 of the Intel
64 and IA-32 Architectures Software Developers Manual, Volume 2B, for a description of the REP prefix.
These instructions are only useful for accessing I/O ports located in the processors I/O address space. See Chapter
15, Input/Output, in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1, for more
information on accessing I/O ports in the I/O address space.
In 64-bit mode, default address size is 64 bits, 32 bit address size is supported using the prefix 67H. The address
of the memory destination is specified by RDI or EDI. 16-bit address size is not supported in 64-bit mode. The
operand size is not promoted.
O p e ra t io n
IF ((PE = 1) and ((CPL > IOPL) or (VM = 1)))
THEN (* Protected mode with CPL > IOPL or virtual-8086 mode *)
IF (Any I/O Permission Bit for I/O port being accessed = 1)
THEN (* I/O operation is not allowed *)
#GP(0);
ELSE (* I/O operation is allowed *)
DEST SRC; (* Read from I/O port *)
FI;
ELSE (Real Mode or Protected Mode with CPL IOPL *)
DEST SRC; (* Read from I/O port *)
FI;
Non-64-bit Mode:
IF (Byte transfer)
THEN IF DF = 0
THEN (E)DI (E)DI + 1;
ELSE (E)DI (E)DI 1; FI;
ELSE IF (Word transfer)
THEN IF DF = 0
THEN (E)DI (E)DI + 2;
ELSE (E)DI (E)DI 2; FI;
ELSE (* Doubleword transfer *)
THEN IF DF = 0
THEN (E)DI (E)DI + 4;
ELSE (E)DI (E)DI 4; FI;
FI;
FI;
FI64-bit Mode:
IF (Byte transfer)
THEN IF DF = 0
THEN (E|R)DI (E|R)DI + 1;
ELSE (E|R)DI (E|R)DI 1; FI;
ELSE IF (Word transfer)
THEN IF DF = 0
THEN (E)DI (E)DI + 2;
ELSE (E)DI (E)DI 2; FI;
ELSE (* Doubleword transfer *)
THEN IF DF = 0
THEN (E|R)DI (E|R)DI + 4;
ELSE (E|R)DI (E|R)DI 4; FI;
INS/INSB/INSW/INSDInput fromPort to String
INSTRUCTION SET REFERENCE, A-M
3-396 Vol. 2A
FI;
FI;
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the CPL is greater than (has less privilege) the I/O privilege level (IOPL) and any of the
corresponding I/O permission bits in TSS for the I/O port being accessed is 1.
If the destination is located in a non-writable segment.
If an illegal memory operand effective address in the ES segments is given.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If any of the I/O permission bits in the TSS for the I/O port being accessed is 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the CPL is greater than (has less privilege) the I/O privilege level (IOPL) and any of the
corresponding I/O permission bits in TSS for the I/O port being accessed is 1.
If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
INSERTPS Insert Packed Single Precision Floating-Point Value
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-397
I N S E R T P S I n se rt P a cke d S in g le P re cisio n F lo a t in g -P o in t Va lu e
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
(register source form)
Select a single precision floating-point element from second source as indicated by Count_S bits of the immediate
operand and insert it into the first source at the location indicated by the Count_D bits of the immediate operand.
Store in the destination and zero out destination elements based on the ZMask bits of the immediate operand.
(memory source form)
Load a floating-point element from a 32-bit memory location and insert it into the first source at the location indi-
cated by the Count_D bits of the immediate operand. Store in the destination and zero out destination elements
based on the ZMask bits of the immediate operand.
128-bit Legacy SSE version: The first source register is an XMM register. The second source operand is either an
XMM register or a 32-bit memory location. The destination is not distinct from the first source XMM register and the
upper bits (VLMAX-1:128) of the corresponding YMM register destination are unmodified.
VEX.128 encoded version. The destination and first source register is an XMM register. The second source operand
is either an XMM register or a 32-bit memory location. The upper bits (VLMAX-1:128) of the corresponding YMM
register destination are zeroed.
If VINSERTPS is encoded with VEX.L= 1, an attempt to execute the instruction encoded with VEX.L= 1 will cause
an #UD exception.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 3A 21 /r ib
INSERTPS xmm1, xmm2/m32, imm8
RMI V/V SSE4_1 Insert a single precision floating-point value
selected by imm8 from xmm2/m32 into
xmm1 at the specified destination element
specified by imm8 and zero out destination
elements in xmm1 as indicated in imm8.
VEX.NDS.128.66.0F3A.WIG 21 /r ib
VINSERTPS xmm1, xmm2, xmm3/m32, imm8
RVMI V/V AVX Insert a single precision floating point value
selected by imm8 fromxmm3/m32 and merge
into xmm2 at the specified destination
element specified by imm8 and zero out
destination elements in xmm1 as indicated in
imm8.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RMI ModRM:reg (w) ModRM:r/m (r) imm8 NA
RVMI ModRM:reg (w) VEX.vvvv (r) ModRM:r/m(r) imm8
INSERTPS Insert Packed Single Precision Floating-Point Value
INSTRUCTION SET REFERENCE, A-M
3-398 Vol. 2A
O p e ra t io n
I N S E R T P S (1 2 8 -b it Le g a cy S S E v e rsio n )
IF (SRC =REG) THEN COUNT_S imm8[7:6]
ELSE COUNT_S 0
COUNT_D imm8[5:4]
ZMASK imm8[3:0]
CASE (COUNT_S) OF
0: TMP SRC[31:0]
1: TMP SRC[63:32]
2: TMP SRC[95:64]
3: TMP SRC[127:96]
ESAC;
CASE (COUNT_D) OF
0: TMP2[31:0] TMP
TMP2[127:32] DEST[127:32]
1: TMP2[63:32] TMP
TMP2[31:0] DEST[31:0]
TMP2[127:64] DEST[127:64]
2: TMP2[95:64] TMP
TMP2[63:0] DEST[63:0]
TMP2[127:96] DEST[127:96]
3: TMP2[127:96] TMP
TMP2[95:0] DEST[95:0]
ESAC;
IF (ZMASK[0] =1) THEN DEST[31:0] 00000000H
ELSE DEST[31:0] TMP2[31:0]
IF (ZMASK[1] =1) THEN DEST[63:32] 00000000H
ELSE DEST[63:32] TMP2[63:32]
IF (ZMASK[2] =1) THEN DEST[95:64] 00000000H
ELSE DEST[95:64] TMP2[95:64]
IF (ZMASK[3] =1) THEN DEST[127:96] 00000000H
ELSE DEST[127:96] TMP2[127:96]
DEST[VLMAX-1:128] (Unmodified)
VI N S E R T P S (VE X.1 2 8 e n co d e d v e rsio n )
IF (SRC =REG) THEN COUNT_S imm8[7:6]
ELSE COUNT_S 0
COUNT_D imm8[5:4]
ZMASK imm8[3:0]
CASE (COUNT_S) OF
0: TMP SRC2[31:0]
1: TMP SRC2[63:32]
2: TMP SRC2[95:64]
3: TMP SRC2[127:96]
ESAC;
CASE (COUNT_D) OF
0: TMP2[31:0] TMP
TMP2[127:32] SRC1[127:32]
1: TMP2[63:32] TMP
TMP2[31:0] SRC1[31:0]
TMP2[127:64] SRC1[127:64]
INSERTPS Insert Packed Single Precision Floating-Point Value
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-399
2: TMP2[95:64] TMP
TMP2[63:0] SRC1[63:0]
TMP2[127:96] SRC1[127:96]
3: TMP2[127:96] TMP
TMP2[95:0] SRC1[95:0]
ESAC;
IF (ZMASK[0] =1) THEN DEST[31:0] 00000000H
ELSE DEST[31:0] TMP2[31:0]
IF (ZMASK[1] =1) THEN DEST[63:32] 00000000H
ELSE DEST[63:32] TMP2[63:32]
IF (ZMASK[2] =1) THEN DEST[95:64] 00000000H
ELSE DEST[95:64] TMP2[95:64]
IF (ZMASK[3] =1) THEN DEST[127:96] 00000000H
ELSE DEST[127:96] TMP2[127:96]
DEST[VLMAX-1:128] 0
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
INSERTPS: __m128 _mm_insert_ps(__m128 dst, __m128 src, const int ndx);
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Exceptions Type 5.
INT n/INTO/INT 3Call to Interrupt Procedure
INSTRUCTION SET REFERENCE, A-M
3-400 Vol. 2A
I N T n/I N T O /I N T 3 C a ll t o I n t e rru p t P ro ce d u re
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
The INT n instruction generates a call to the interrupt or exception handler specified with the destination operand
(see the section titled Interrupts and Exceptions in Chapter 6 of the Intel 64 and IA-32 Architectures Software
Developers Manual, Volume 1). The destination operand specifies an interrupt vector number from 0 to 255,
encoded as an 8-bit unsigned intermediate value. Each interrupt vector number provides an index to a gate
descriptor in the IDT. The first 32 interrupt vector numbers are reserved by Intel for system use. Some of these
interrupts are used for internally generated exceptions.
The INT n instruction is the general mnemonic for executing a software-generated call to an interrupt handler. The
INTO instruction is a special mnemonic for calling overflow exception (#OF), interrupt vector number 4. The over-
flow interrupt checks the OF flag in the EFLAGS register and calls the overflow interrupt handler if the OF flag is set
to 1. (The INTO instruction cannot be used in 64-bit mode.)
The INT 3 instruction generates a special one byte opcode (CC) that is intended for calling the debug exception
handler. (This one byte form is valuable because it can be used to replace the first byte of any instruction with a
breakpoint, including other one byte instructions, without over-writing other code). To further support its function
as a debug breakpoint, the interrupt generated with the CC opcode also differs from the regular software interrupts
as follows:
Interrupt redirection does not happen when in VME mode; the interrupt is handled by a protected-mode
handler.
The virtual-8086 mode IOPL checks do not occur. The interrupt is taken without faulting at any IOPL level.
Note that the normal 2-byte opcode for INT 3 (CD03) does not have these special features. Intel and Microsoft
assemblers will not generate the CD03 opcode from any mnemonic, but this opcode can be created by direct
numeric code definition or by self-modifying code.
The action of the INT n instruction (including the INTO and INT 3 instructions) is similar to that of a far call made
with the CALL instruction. The primary difference is that with the INT n instruction, the EFLAGS register is pushed
onto the stack before the return address. (The return address is a far address consisting of the current values of
the CS and EIP registers.) Returns from interrupt procedures are handled with the IRET instruction, which pops the
EFLAGS information and return address from the stack.
The interrupt vector number specifies an interrupt descriptor in the interrupt descriptor table (IDT); that is, it
provides index into the IDT. The selected interrupt descriptor in turn contains a pointer to an interrupt or exception
handler procedure. In protected mode, the IDT contains an array of 8-byte descriptors, each of which is an inter-
rupt gate, trap gate, or task gate. In real-address mode, the IDT is an array of 4-byte far pointers (2-byte code
segment selector and a 2-byte instruction pointer), each of which point directly to a procedure in the selected
segment. (Note that in real-address mode, the IDT is called the interrupt vector table, and its pointers are called
interrupt vectors.)
The following decision table indicates which action in the lower portion of the table is taken given the conditions in
the upper portion of the table. Each Y in the lower section of the decision table represents a procedure defined in
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
CC INT 3 NP Valid Valid Interrupt 3trap to debugger.
CD ib INT imm8 I Valid Valid Interrupt vector number specified by
immediate byte.
CE INTO NP Invalid Valid Interrupt 4if overflow flag is 1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
I imm8 NA NA NA
INT n/INTO/INT 3Call to Interrupt Procedure
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-401
the Operation section for this instruction (except #GP).
When the processor is executing in virtual-8086 mode, the IOPL determines the action of the INT n instruction. If
the IOPL is less than 3, the processor generates a #GP(selector) exception; if the IOPL is 3, the processor executes
a protected mode interrupt to privilege level 0. The interrupt gate's DPL must be set to 3 and the target CPL of the
interrupt handler procedure must be 0 to execute the protected mode interrupt to privilege level 0.
The interrupt descriptor table register (IDTR) specifies the base linear address and limit of the IDT. The initial base
address value of the IDTR after the processor is powered up or reset is 0.
O p e ra t io n
The following operational description applies not only to the INT n and INTO instructions, but also to external inter-
rupts, nonmaskable interrupts (NMIs), and exceptions. Some of these events push onto the stack an error code.
The operational description specifies numerous checks whose failure may result in delivery of a nested exception.
In these cases, the original event is not delivered.
The operational description specifies the error code delivered by any nested exception. In some cases, the error
code is specified with a pseudofunction error_code(num,idt,ext), where idt and ext are bit values. The pseudofunc-
tion produces an error code as follows: (1) if idt is 0, the error code is (num & FCH) | ext; (2) if idt is 1, the error
code is (num 3) | 2 | ext.
In many cases, the pseudofunction error_code is invoked with a pseudovariable EXT. The value of EXT depends on
the nature of the event whose delivery encountered a nested exception: if that event is a software interrupt, EXT
is 0; otherwise, EXT is 1.
Ta b le 3 -6 1 . D e cisio n Ta b le
P E 0 1 1 1 1 1 1 1
V M 0 1 1
I O P L <3 =3
D P L/C P L
R E LAT I O N S H I P
DPL<
CPL
DPL>
CPL
DPL=
CPL or C
DPL<
CPL & NC

I N T E R R U P T T YP E S/W
G AT E T YP E Task Trap or
Interrupt
Trap or
Interrupt
Trap or
Interrupt
Trap or
Interrupt
Trap or
Interrupt
R E AL-AD D R E S S -MO D E Y
P R O T E C T E D -MO D E Y Y Y Y Y Y Y
T R AP -O R -I N T E R R U P T-
G AT E
Y Y Y Y Y
I N T E R -P R I VI LE G E -LE VE L-
I N T E R R U P T
Y
I N T R A-P R I VI LE G E -LE VE L-
I N T E R R U P T
Y
I N T E R R U P T-F R O M-
V I R T U AL-8 0 8 6 -MO D E
Y
TAS K -G AT E Y
# G P Y Y Y
N O T E S :
Don't Care.
Y Yes, action taken.
Blank Action not taken.
INT n/INTO/INT 3Call to Interrupt Procedure
INSTRUCTION SET REFERENCE, A-M
3-402 Vol. 2A
IF PE = 0
THEN
GOTO REAL-ADDRESS-MODE;
ELSE (* PE = 1 *)
IF (VM = 1 and IOPL < 3 AND INT n)
THEN
#GP(0); (* Bit 0 of error code is 0 because INT n *)
ELSE (* Protected mode, IA-32e mode, or virtual-8086 mode interrupt *)
IF (IA32_EFER.LMA = 0)
THEN (* Protected mode, or virtual-8086 mode interrupt *)
GOTO PROTECTED-MODE;
ELSE (* IA-32e mode interrupt *)
GOTO IA-32e-MODE;
FI;
FI;
FI;
REAL-ADDRESS-MODE:
IF ((vector_number 2) +3) is not within IDT limit
THEN #GP; FI;
IF stack not large enough for a 6-byte return information
THEN #SS; FI;
Push (EFLAGS[15:0]);
IF 0; (* Clear interrupt flag *)
TF 0; (* Clear trap flag *)
AC 0; (* Clear AC flag *)
Push(CS);
Push(IP);
(* No error codes are pushed in real-address mode*)
CS IDT(Descriptor (vector_number 2), selector));
EIP IDT(Descriptor (vector_number 2), offset)); (* 16 bit offset AND 0000FFFFH *)
END;
PROTECTED-MODE:
IF ((vector_number 3) +7) is not within IDT limits
or selected IDT descriptor is not an interrupt-, trap-, or task-gate type
THEN #GP(error_code(vector_number,1,EXT)); FI;
(* idt operand to error_code set because vector is used *)
IF software interrupt (* Generated by INT n, INT3, or INTO *)
THEN
IF gate DPL <CPL (* PE =1, DPL <CPL, software interrupt *)
THEN #GP(error_code(vector_number,1,0)); FI;
(* idt operand to error_code set because vector is used *)
(* ext operand to error_code is 0 because INT n, INT3, or INTO*)
FI;
IF gate not present
THEN #NP(error_code(vector_number,1,EXT)); FI;
(* idt operand to error_code set because vector is used *)
IF task gate (* Specified in the selected interrupt table descriptor *)
THEN GOTO TASK-GATE;
ELSE GOTO TRAP-OR-INTERRUPT-GATE; (* PE =1, trap/interrupt gate *)
FI;
END;
IA-32e-MODE:
IF INTO and CS.L =1 (64-bit mode)
THEN #UD;
INT n/INTO/INT 3Call to Interrupt Procedure
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-403
FI;
IF ((vector_number 4) +15) is not in IDT limits
or selected IDT descriptor is not an interrupt-, or trap-gate type
THEN #GP(error_code(vector_number,1,EXT));
(* idt operand to error_code set because vector is used *)
FI;
IF software interrupt (* Generated by INT n, INT 3, or INTO *)
THEN
IF gate DPL <CPL (* PE =1, DPL <CPL, software interrupt *)
THEN #GP(error_code(vector_number,1,0));
(* idt operand to error_code set because vector is used *)
(* ext operand to error_code is 0 because INT n, INT3, or INTO*)
FI;
FI;
IF gate not present
THEN #NP(error_code(vector_number,1,EXT));
(* idt operand to error_code set because vector is used *)
FI;
GOTO TRAP-OR-INTERRUPT-GATE; (* Trap/interrupt gate *)
END;
TASK-GATE: (* PE =1, task gate *)
Read TSS selector in task gate (IDT descriptor);
IF local/global bit is set to local or index not within GDT limits
THEN #GP(error_code(TSS selector,0,EXT)); FI;
(* idt operand to error_code is 0 because selector is used *)
Access TSS descriptor in GDT;
IF TSS descriptor specifies that the TSS is busy (low-order 5 bits set to 00001)
THEN #GP(TSS selector,0,EXT)); FI;
(* idt operand to error_code is 0 because selector is used *)
IF TSS not present
THEN #NP(TSS selector,0,EXT)); FI;
(* idt operand to error_code is 0 because selector is used *)
SWITCH-TASKS (with nesting) to TSS;
IF interrupt caused by fault with error code
THEN
IF stack limit does not allow push of error code
THEN #SS(EXT); FI;
Push(error code);
FI;
IF EIP not within code segment limit
THEN #GP(EXT); FI;
END;
TRAP-OR-INTERRUPT-GATE:
Read new code-segment selector for trap or interrupt gate (IDT descriptor);
IF new code-segment selector is NULL
THEN #GP(EXT); FI; (* Error code contains NULL selector *)
IF new code-segment selector is not within its descriptor table limits
THEN #GP(error_code(new code-segment selector,0,EXT)); FI;
(* idt operand to error_code is 0 because selector is used *)
Read descriptor referenced by new code-segment selector;
IF descriptor does not indicate a code segment or new code-segment DPL >CPL
THEN #GP(error_code(new code-segment selector,0,EXT)); FI;
(* idt operand to error_code is 0 because selector is used *)
IF new code-segment descriptor is not present,
INT n/INTO/INT 3Call to Interrupt Procedure
INSTRUCTION SET REFERENCE, A-M
3-404 Vol. 2A
THEN #NP(error_code(new code-segment selector,0,EXT)); FI;
(* idt operand to error_code is 0 because selector is used *)
IF new code segment is non-conforming with DPL <CPL
THEN
IF VM =0
THEN
GOTO INTER-PRIVILEGE-LEVEL-INTERRUPT;
(* PE =1, VM=0, interrupt or trap gate, nonconforming code segment,
DPL <CPL *)
ELSE (* VM =1 *)
IF new code-segment DPL 0
THEN #GP(error_code(new code-segment selector,0,EXT));
(* idt operand to error_code is 0 because selector is used *)
GOTO INTERRUPT-FROM-VIRTUAL-8086-MODE; FI;
(* PE =1, interrupt or trap gate, DPL <CPL, VM =1 *)
FI;
ELSE (* PE =1, interrupt or trap gate, DPL CPL *)
IF VM =1
THEN #GP(error_code(new code-segment selector,0,EXT));
(* idt operand to error_code is 0 because selector is used *)
IF new code segment is conforming or new code-segment DPL =CPL
THEN
GOTO INTRA-PRIVILEGE-LEVEL-INTERRUPT;
ELSE (* PE =1, interrupt or trap gate, nonconforming code segment, DPL >CPL *)
#GP(error_code(new code-segment selector,0,EXT));
(* idt operand to error_code is 0 because selector is used *)
FI;
FI;
END;
INTER-PRIVILEGE-LEVEL-INTERRUPT:
(* PE =1, interrupt or trap gate, non-conforming code segment, DPL <CPL *)
IF (IA32_EFER.LMA =0) (* Not IA-32e mode *)
THEN
(* Identify stack-segment selector for new privilege level in current TSS *)
IF current TSS is 32-bit
THEN
TSSstackAddress (new code-segment DPL 3) +4;
IF (TSSstackAddress +5) >current TSS limit
THEN #TS(error_code(current TSS selector,0,EXT)); FI;
(* idt operand to error_code is 0 because selector is used *)
NewSS 2 bytes loaded from (TSS base +TSSstackAddress +4);
NewESP 4 bytes loaded from (TSS base +TSSstackAddress);
ELSE (* current TSS is 16-bit *)
TSSstackAddress (new code-segment DPL 2) +2
IF (TSSstackAddress +3) >current TSS limit
THEN #TS(error_code(current TSS selector,0,EXT)); FI;
(* idt operand to error_code is 0 because selector is used *)
NewSS 2 bytes loaded from (TSS base +TSSstackAddress +2);
NewESP 2 bytes loaded from (TSS base +TSSstackAddress);
FI;
IF NewSS is NULL
THEN #TS(EXT); FI;
IF NewSS index is not within its descriptor-table limits
or NewSS RPL new code-segment DPL
INT n/INTO/INT 3Call to Interrupt Procedure
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-405
THEN #TS(error_code(NewSS,0,EXT)); FI;
(* idt operand to error_code is 0 because selector is used *)
Read new stack-segment descriptor for NewSS in GDT or LDT;
IF new stack-segment DPL new code-segment DPL
or new stack-segment Type does not indicate writable data segment
THEN #TS(error_code(NewSS,0,EXT)); FI;
(* idt operand to error_code is 0 because selector is used *)
IF NewSS is not present
THEN #SS(error_code(NewSS,0,EXT)); FI;
(* idt operand to error_code is 0 because selector is used *)
ELSE (* IA-32e mode *)
IF IDT-gate IST =0
THEN TSSstackAddress (new code-segment DPL 3) +4;
ELSE TSSstackAddress (IDT gate IST 3) +28;
FI;
IF (TSSstackAddress +7) >current TSS limit
THEN #TS(error_code(current TSS selector,0,EXT); FI;
(* idt operand to error_code is 0 because selector is used *)
NewRSP 8 bytes loaded from (current TSS base +TSSstackAddress);
NewSS new code-segment DPL; (* NULL selector with RPL =new CPL *)
FI;
IF IDT gate is 32-bit
THEN
IF new stack does not have roomfor 24 bytes (error code pushed)
or 20 bytes (no error code pushed)
THEN #SS(error_code(NewSS,0,EXT)); FI;
(* idt operand to error_code is 0 because selector is used *)
FI
ELSE
IF IDT gate is 16-bit
THEN
IF new stack does not have roomfor 12 bytes (error code pushed)
or 10 bytes (no error code pushed);
THEN #SS(error_code(NewSS,0,EXT)); FI;
(* idt operand to error_code is 0 because selector is used *)
ELSE (* 64-bit IDT gate*)
IF StackAddress is non-canonical
THEN #SS(EXT); FI; (* Error code contains NULL selector *)
FI;
FI;
IF (IA32_EFER.LMA =0) (* Not IA-32e mode *)
THEN
IF instruction pointer fromIDT gate is not within new code-segment limits
THEN #GP(EXT); FI; (* Error code contains NULL selector *)
ESP NewESP;
SS NewSS; (* Segment descriptor information also loaded *)
ELSE (* IA-32e mode *)
IF instruction pointer fromIDT gate contains a non-canonical address
THEN #GP(EXT); FI; (* Error code contains NULL selector *)
RSP NewRSP & FFFFFFFFFFFFFFF0H;
SS NewSS;
FI;
IF IDT gate is 32-bit
THEN
INT n/INTO/INT 3Call to Interrupt Procedure
INSTRUCTION SET REFERENCE, A-M
3-406 Vol. 2A
CS:EIP Gate(CS:EIP); (* Segment descriptor information also loaded *)
ELSE
IF IDT gate 16-bit
THEN
CS:IP Gate(CS:IP);
(* Segment descriptor information also loaded *)
ELSE (* 64-bit IDT gate *)
CS:RIP Gate(CS:RIP);
(* Segment descriptor information also loaded *)
FI;
FI;
IF IDT gate is 32-bit
THEN
Push(far pointer to old stack);
(* Old SS and ESP, 3 words padded to 4 *)
Push(EFLAGS);
Push(far pointer to return instruction);
(* Old CS and EIP, 3 words padded to 4 *)
Push(ErrorCode); (* If needed, 4 bytes *)
ELSE
IF IDT gate 16-bit
THEN
Push(far pointer to old stack);
(* Old SS and SP, 2 words *)
Push(EFLAGS(15-0]);
Push(far pointer to return instruction);
(* Old CS and IP, 2 words *)
Push(ErrorCode); (* If needed, 2 bytes *)
ELSE (* 64-bit IDT gate *)
Push(far pointer to old stack);
(* Old SS and SP, each an 8-byte push *)
Push(RFLAGS); (* 8-byte push *)
Push(far pointer to return instruction);
(* Old CS and RIP, each an 8-byte push *)
Push(ErrorCode); (* If needed, 8-bytes *)
FI;
FI;
CPL new code-segment DPL;
CS(RPL) CPL;
IF IDT gate is interrupt gate
THEN IF 0 (* Interrupt flag set to 0, interrupts disabled *); FI;
TF 0;
VM 0;
RF 0;
NT 0;
END;
INTERRUPT-FROM-VIRTUAL-8086-MODE:
(* Identify stack-segment selector for privilege level 0 in current TSS *)
IF current TSS is 32-bit
THEN
IF TSS limit <9
THEN #TS(error_code(current TSS selector,0,EXT)); FI;
(* idt operand to error_code is 0 because selector is used *)
NewSS 2 bytes loaded from (current TSS base +8);
INT n/INTO/INT 3Call to Interrupt Procedure
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-407
NewESP 4 bytes loaded from (current TSS base +4);
ELSE (* current TSS is 16-bit *)
IF TSS limit <5
THEN #TS(error_code(current TSS selector,0,EXT)); FI;
(* idt operand to error_code is 0 because selector is used *)
NewSS 2 bytes loaded from (current TSS base +4);
NewESP 2 bytes loaded from (current TSS base +2);
FI;
IF NewSS is NULL
THEN #TS(EXT); FI; (* Error code contains NULL selector *)
IF NewSS index is not within its descriptor table limits
or NewSS RPL 0
THEN #TS(error_code(NewSS,0,EXT)); FI;
(* idt operand to error_code is 0 because selector is used *)
Read new stack-segment descriptor for NewSS in GDT or LDT;
IF new stack-segment DPL 0 or stack segment does not indicate writable data segment
THEN #TS(error_code(NewSS,0,EXT)); FI;
(* idt operand to error_code is 0 because selector is used *)
IF new stack segment not present
THEN #SS(error_code(NewSS,0,EXT)); FI;
(* idt operand to error_code is 0 because selector is used *)
IF IDT gate is 32-bit
THEN
IF new stack does not have roomfor 40 bytes (error code pushed)
or 36 bytes (no error code pushed)
THEN #SS(error_code(NewSS,0,EXT)); FI;
(* idt operand to error_code is 0 because selector is used *)
ELSE (* IDT gate is 16-bit)
IF new stack does not have roomfor 20 bytes (error code pushed)
or 18 bytes (no error code pushed)
THEN #SS(error_code(NewSS,0,EXT)); FI;
(* idt operand to error_code is 0 because selector is used *)
FI;
IF instruction pointer fromIDT gate is not within new code-segment limits
THEN #GP(EXT); FI; (* Error code contains NULL selector *)
tempEFLAGS EFLAGS;
VM 0;
TF 0;
RF 0;
NT 0;
IF service through interrupt gate
THEN IF =0; FI;
TempSS SS;
TempESP ESP;
SS NewSS;
ESP NewESP;
(* Following pushes are 16 bits for 16-bit IDT gates and 32 bits for 32-bit IDT gates;
Segment selector pushes in 32-bit mode are padded to two words *)
Push(GS);
Push(FS);
Push(DS);
Push(ES);
Push(TempSS);
Push(TempESP);
INT n/INTO/INT 3Call to Interrupt Procedure
INSTRUCTION SET REFERENCE, A-M
3-408 Vol. 2A
Push(TempEFlags);
Push(CS);
Push(EIP);
GS 0; (* Segment registers made NULL, invalid for use in protected mode *)
FS 0;
DS 0;
ES 0;
CS:IP Gate(CS); (* Segment descriptor information also loaded *)
IF OperandSize = 32
THEN
EIP Gate(instruction pointer);
ELSE (* OperandSize is 16 *)
EIP Gate(instruction pointer) AND 0000FFFFH;
FI;
(* Start execution of new routine in Protected Mode *)
END;
INTRA-PRIVILEGE-LEVEL-INTERRUPT:
(* PE =1, DPL =CPL or conforming segment *)
IF IA32_EFER.LMA =1 (* IA-32e mode *)
IF IDT-descriptor IST 0
THEN
TSSstackAddress (IDT-descriptor IST 3) +28;
IF (TSSstackAddress +7) >TSS limit
THEN #TS(error_code(current TSS selector,0,EXT)); FI;
(* idt operand to error_code is 0 because selector is used *)
NewRSP 8 bytes loaded from (current TSS base +TSSstackAddress);
FI;
IF 32-bit gate (* implies IA32_EFER.LMA =0 *)
THEN
IF current stack does not have room for 16 bytes (error code pushed)
or 12 bytes (no error code pushed)
THEN #SS(EXT); FI; (* Error code contains NULL selector *)
ELSE IF 16-bit gate (* implies IA32_EFER.LMA =0 *)
IF current stack does not have room for 8 bytes (error code pushed)
or 6 bytes (no error code pushed)
THEN #SS(EXT); FI; (* Error code contains NULL selector *)
ELSE (* IA32_EFER.LMA =1, 64-bit gate*)
IF NewRSP contains a non-canonical address
THEN #SS(EXT); (* Error code contains NULL selector *)
FI;
FI;
IF (IA32_EFER.LMA =0) (* Not IA-32e mode *)
THEN
IF instruction pointer fromIDT gate is not within new code-segment limit
THEN #GP(EXT); FI; (* Error code contains NULL selector *)
ELSE
IF instruction pointer fromIDT gate contains a non-canonical address
THEN #GP(EXT); FI; (* Error code contains NULL selector *)
RSP NewRSP & FFFFFFFFFFFFFFF0H;
FI;
IF IDT gate is 32-bit (* implies IA32_EFER.LMA =0 *)
THEN
Push (EFLAGS);
Push (far pointer to return instruction); (* 3 words padded to 4 *)
INT n/INTO/INT 3Call to Interrupt Procedure
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-409
CS:EIP Gate(CS:EIP); (* Segment descriptor information also loaded *)
Push (ErrorCode); (* If any *)
ELSE
IF IDT gate is 16-bit (* implies IA32_EFER.LMA =0 *)
THEN
Push (FLAGS);
Push (far pointer to return location); (* 2 words *)
CS:IP Gate(CS:IP);
(* Segment descriptor information also loaded *)
Push (ErrorCode); (* If any *)
ELSE (* IA32_EFER.LMA =1, 64-bit gate*)
Push(far pointer to old stack);
(* Old SS and SP, each an 8-byte push *)
Push(RFLAGS); (* 8-byte push *)
Push(far pointer to return instruction);
(* Old CS and RIP, each an 8-byte push *)
Push(ErrorCode); (* If needed, 8 bytes *)
CS:RIP GATE(CS:RIP);
(* Segment descriptor information also loaded *)
FI;
FI;
CS(RPL) CPL;
IF IDT gate is interrupt gate
THEN IF 0; FI; (* Interrupt flag set to 0; interrupts disabled *)
TF 0;
NT 0;
VM 0;
RF 0;
END;
F la g s Af fe ct e d
The EFLAGS register is pushed onto the stack. The IF, TF, NT, AC, RF, and VM flags may be cleared, depending on
the mode of operation of the processor when the INT instruction is executed (see the Operation section). If the
interrupt uses a task gate, any flags may be set or cleared, controlled by the EFLAGS image in the new tasks TSS.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(error_code) If the instruction pointer in the IDT or in the interrupt-, trap-, or task gate is beyond the code
segment limits.
If the segment selector in the interrupt-, trap-, or task gate is NULL.
If an interrupt-, trap-, or task gate, code segment, or TSS segment selector index is outside
its descriptor table limits.
If the interrupt vector number is outside the IDT limits.
If an IDT descriptor is not an interrupt-, trap-, or task-descriptor.
If an interrupt is generated by the INT n, INT 3, or INTO instruction and the DPL of an inter-
rupt-, trap-, or task-descriptor is less than the CPL.
If the segment selector in an interrupt- or trap-gate does not point to a segment descriptor for
a code segment.
If the segment selector for a TSS has its local/global bit set for local.
If a TSS segment descriptor specifies that the TSS is busy or not available.
#SS(error_code) If pushing the return address, flags, or error code onto the stack exceeds the bounds of the
stack segment and no stack switch occurs.
If the SS register is being loaded and the segment pointed to is marked not present.
INT n/INTO/INT 3Call to Interrupt Procedure
INSTRUCTION SET REFERENCE, A-M
3-410 Vol. 2A
If pushing the return address, flags, error code, or stack segment pointer exceeds the bounds
of the new stack segment when a stack switch occurs.
#NP(error_code) If code segment, interrupt-, trap-, or task gate, or TSS is not present.
#TS(error_code) If the RPL of the stack segment selector in the TSS is not equal to the DPL of the code segment
being accessed by the interrupt or trap gate.
If DPL of the stack segment descriptor pointed to by the stack segment selector in the TSS is
not equal to the DPL of the code segment descriptor for the interrupt or trap gate.
If the stack segment selector in the TSS is NULL.
If the stack segment for the TSS is not a writable data segment.
If segment-selector index for stack segment is outside descriptor table limits.
#PF(fault-code) If a page fault occurs.
#UD If the LOCK prefix is used.
#AC(EXT) If alignment checking is enabled, the gate DPL is 3, and a stack push is unaligned.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the interrupt vector number is outside the IDT limits.
#SS If stack limit violation on push.
If pushing the return address, flags, or error code onto the stack exceeds the bounds of the
stack segment.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(error_code) (For INT n, INTO, or BOUND instruction) If the IOPL is less than 3 or the DPL of the interrupt-
, trap-, or task-gate descriptor is not equal to 3.
If the instruction pointer in the IDT or in the interrupt-, trap-, or task gate is beyond the code
segment limits.
If the segment selector in the interrupt-, trap-, or task gate is NULL.
If a interrupt-, trap-, or task gate, code segment, or TSS segment selector index is outside its
descriptor table limits.
If the interrupt vector number is outside the IDT limits.
If an IDT descriptor is not an interrupt-, trap-, or task-descriptor.
If an interrupt is generated by the INT n instruction and the DPL of an interrupt-, trap-, or task-
descriptor is less than the CPL.
If the segment selector in an interrupt- or trap-gate does not point to a segment descriptor for
a code segment.
If the segment selector for a TSS has its local/global bit set for local.
#SS(error_code) If the SS register is being loaded and the segment pointed to is marked not present.
If pushing the return address, flags, error code, stack segment pointer, or data segments
exceeds the bounds of the stack segment.
#NP(error_code) If code segment, interrupt-, trap-, or task gate, or TSS is not present.
#TS(error_code) If the RPL of the stack segment selector in the TSS is not equal to the DPL of the code segment
being accessed by the interrupt or trap gate.
If DPL of the stack segment descriptor for the TSSs stack segment is not equal to the DPL of
the code segment descriptor for the interrupt or trap gate.
If the stack segment selector in the TSS is NULL.
If the stack segment for the TSS is not a writable data segment.
If segment-selector index for stack segment is outside descriptor table limits.
#PF(fault-code) If a page fault occurs.
INT n/INTO/INT 3Call to Interrupt Procedure
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-411
#BP If the INT 3 instruction is executed.
#OF If the INTO instruction is executed and the OF flag is set.
#UD If the LOCK prefix is used.
#AC(EXT) If alignment checking is enabled, the gate DPL is 3, and a stack push is unaligned.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#GP(error_code) If the instruction pointer in the 64-bit interrupt gate or 64-bit trap gate is non-canonical.
If the segment selector in the 64-bit interrupt or trap gate is NULL.
If the interrupt vector number is outside the IDT limits.
If the interrupt vector number points to a gate which is in non-canonical space.
If the interrupt vector number points to a descriptor which is not a 64-bit interrupt gate or 64-
bit trap gate.
If the descriptor pointed to by the gate selector is outside the descriptor table limit.
If the descriptor pointed to by the gate selector is in non-canonical space.
If the descriptor pointed to by the gate selector is not a code segment.
If the descriptor pointed to by the gate selector doesnt have the L-bit set, or has both the L-
bit and D-bit set.
If the descriptor pointed to by the gate selector has DPL > CPL.
#SS(error_code) If a push of the old EFLAGS, CS selector, EIP, or error code is in non-canonical space with no
stack switch.
If a push of the old SS selector, ESP, EFLAGS, CS selector, EIP, or error code is in non-canonical
space on a stack switch (either CPL change or no-CPL with IST).
#NP(error_code) If the 64-bit interrupt-gate, 64-bit trap-gate, or code segment is not present.
#TS(error_code) If an attempt to load RSP from the TSS causes an access to non-canonical space.
If the RSP from the TSS is outside descriptor table limits.
#PF(fault-code) If a page fault occurs.
#UD If the LOCK prefix is used.
#AC(EXT) If alignment checking is enabled, the gate DPL is 3, and a stack push is unaligned.
INVDInvalidate Internal Caches
INSTRUCTION SET REFERENCE, A-M
3-412 Vol. 2A
I N VD I n v a lid a t e I n t e rn a l C a ch e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Invalidates (flushes) the processors internal caches and issues a special-function bus cycle that directs external
caches to also flush themselves. Data held in internal caches is not written back to main memory.
After executing this instruction, the processor does not wait for the external caches to complete their flushing oper-
ation before proceeding with instruction execution. It is the responsibility of hardware to respond to the cache flush
signal.
The INVD instruction is a privileged instruction. When the processor is running in protected mode, the CPL of a
program or procedure must be 0 to execute this instruction.
The INVD instruction may be used when the cache is used as temporary memory and the cache contents need to
be invalidated rather than written back to memory. When the cache is used as temporary memory, no external
device should be actively writing data to main memory.
Use this instruction with care. Data cached internally and not written back to main memory will be lost. Note that
any data from an external device to main memory (for example, via a PCIWrite) can be temporarily stored in the
caches; these data can be lost when an INVD instruction is executed. Unless there is a specific requirement or
benefit to flushing caches without writing back modified cache lines (for example, temporary memory, testing, or
fault recovery where cache coherency with main memory is not a concern), software should instead use the
WBINVD instruction.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
I A-3 2 Arch it e ct u re C o m p a t ib ilit y
The INVD instruction is implementation dependent; it may be implemented differently on different families of Intel
64 or IA-32 processors. This instruction is not supported on IA-32 processors earlier than the Intel486 processor.
O p e ra t io n
Flush(InternalCaches);
SignalFlush(ExternalCaches);
Continue (* Continue execution *)
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the current privilege level is not 0.
#UD If the LOCK prefix is used.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 08 INVD NP Valid Valid Flush internal caches; initiate flushing of
external caches.
N O T E S :
* See the IA-32 Architecture Compatibility section below.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
INVDInvalidate Internal Caches
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-413
R e a l-Ad d re ss Mo d e E x ce p t io n s
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) The INVD instruction cannot be executed in virtual-8086 mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
INVLPGInvalidate TLB Entry
INSTRUCTION SET REFERENCE, A-M
3-414 Vol. 2A
I N VLP G I n v a lid a t e T LB E n t ry
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Invalidates (flushes) the translation lookaside buffer (TLB) entry specified with the source operand. The source
operand is a memory address. The processor determines the page that contains that address and flushes the TLB
entry for that page.
The INVLPG instruction is a privileged instruction. When the processor is running in protected mode, the CPL must
be 0 to execute this instruction.
The INVLPG instruction normally flushes the TLB entry only for the specified page; however, in some cases, it may
flush more entries, even the entire TLB. The instruction is guaranteed to invalidates only TLB entries associated
with the current PCID. (If PCIDs are disabled CR4.PCIDE = 0 the current PCID is 000H.) The instruction also
invalidates any global TLB entries for the specified page, regardless of PCID.
For more details on operations that flush the TLB, see MOVMove to/from Control Registers and Section
4.10.4.1, Operations that Invalidate TLBs and Paging-Structure Caches, of the Intel 64 and IA-32 Architectures
Software Developers Manual, Volume 3A.
This instructions operation is the same in all non-64-bit modes. It also operates the same in 64-bit mode, except
if the memory address is in non-canonical form. In this case, INVLPG is the same as a NOP.
I A-3 2 Arch it e ct u re C o m p a t ib ilit y
The INVLPG instruction is implementation dependent, and its function may be implemented differently on different
families of Intel 64 or IA-32 processors. This instruction is not supported on IA-32 processors earlier than the
Intel486 processor.
O p e ra t io n
Flush(RelevantTLBEntries);
Continue; (* Continue execution *)
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the current privilege level is not 0.
#UD Operand is a register.
If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#UD Operand is a register.
If the LOCK prefix is used.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 01/7 INVLPG m M Valid Valid Invalidate TLB Entry for page that contains m.
N O T E S :
* See the IA-32 Architecture Compatibility section below.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
M ModRM:r/m (r) NA NA NA
INVLPGInvalidate TLB Entry
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-415
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) The INVLPG instruction cannot be executed at the virtual-8086 mode.
6 4 -B it Mo d e E x ce p t io n s
#GP(0) If the current privilege level is not 0.
#UD Operand is a register.
If the LOCK prefix is used.
INVPCIDInvalidate Process-Context Identifier
INSTRUCTION SET REFERENCE, A-M
3-416 Vol. 2A
I N VP C I D I n v a lid a t e P ro ce ss-C o n t e x t I d e n t ifie r
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Invalidates mappings in the translation lookaside buffers (TLBs) and paging-structure caches based on process-
context identifier (PCID). (See Section 4.10, Caching Translation Information, in Intel 64 and IA-32 Architecture
Software Developers Manual, Volume 3A.) Invalidation is based on the INVPCID type specified in the register
operand and the INVPCID descriptor specified in the memory operand.
Outside 64-bit mode, the register operand is always 32 bits, regardless of the value of CS.D. In 64-bit mode the
register operand has 64 bits.
There are four INVPCID types currently defined:
Individual-address invalidation: If the INVPCID type is 0, the logical processor invalidates mappingsexcept
global translationsfor the linear address and PCID specified in the INVPCID descriptor. In some cases, the
instruction may invalidate global translations or mappings for other linear addresses (or other PCIDs) as well.
Single-context invalidation: If the INVPCID type is 1, the logical processor invalidates all mappingsexcept
global translationsassociated with the PCID specified in the INVPCID descriptor. In some cases, the
instruction may invalidate global translations or mappings for other PCIDs as well.
All-context invalidation, including global translations: If the INVPCID type is 2, the logical processor invalidates
all mappingsincluding global translationsassociated with any PCID.
All-context invalidation: If the INVPCID type is 3, the logical processor invalidates all mappingsexcept global
translationsassociated with any PCID. In some case, the instruction may invalidate global translations as
well.
The INVPCID descriptor comprises 128 bits and consists of a PCID and a linear address as shown in Figure 3-23.
For INVPCID type 0, the processor uses the full 64 bits of the linear address even outside 64-bit mode; the linear
address is not used for other INVPCID types.
If CR4.PCIDE = 0, a logical processor does not cache information for any PCID other than 000H. In this case,
executions with INVPCID types 0 and 1 are allowed only if the PCID specified in the INVPCID descriptor is 000H;
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -
b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 38 82 /r RM NE/V INVPCID Invalidates entries in the TLBs and paging-structure
caches based on invalidation type in r32 and descrip-
tor in m128.
INVPCID r32, m128
66 0F 38 82 /r RM V/NE INVPCID Invalidates entries in the TLBs and paging-structure
caches based on invalidation type in r64 and descrip-
tor in m128.
INVPCID r64, m128
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (R) ModRM:r/m(R) NA NA
F ig u re 3 -2 3 . I N V P C I D D e scrip t o r
127 6463 0 11 12
Reserved (must be zero) Linear Address PCID
INVPCIDInvalidate Process-Context Identifier
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-417
executions with INVPCID types 2 and 3 invalidate mappings only for PCID 000H. Note that CR4.PCIDE must be 0
outside 64-bit mode (see Chapter 4.10.1, Process-Context Identifiers (PCIDs) of the Intel 64 and IA-32 Archi-
tectures Software Developers Manual, Volume 3A).
O p e ra t io n
INVPCID_TYPE value of register operand; // must be in the range of 03
INVPCID_DESC value of memory operand;
CASE INVPCID_TYPE OF
0: // individual-address invalidation
PCID INVPCID_DESC[11:0];
L_ADDR INVPCID_DESC[127:64];
Invalidate mappings for L_ADDR associated with PCID except global translations;
BREAK;
1: // single PCID invalidation
PCID INVPCID_DESC[11:0];
Invalidate all mappings associated with PCID except global translations;
BREAK;
2: // all PCID invalidation including global translations
Invalidate all mappings for all PCIDs, including global translations;
BREAK;
3: // all PCID invalidation retaining global translations
Invalidate all mappings for all PCIDs except global translations;
BREAK;
ESAC;
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
INVPCID: void _invpcid(unsigned __int32 type, void * descriptor);
S I MD F lo a t in g -P o in t E x ce p t io n s
None
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the current privilege level is not 0.
If the memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains an unusable segment.
If the source operand is located in an execute-only code segment.
If an invalid type is specified in the register operand, i.e., INVPCID_TYPE > 3.
If bits 63:12 of INVPCID_DESC are not all zero.
If INVPCID_TYPE is either 0 or 1 and INVPCID_DESC[11:0] is not zero.
If INVPCID_TYPE is 0 and the linear address in INVPCID_DESC[127:64] is not canonical.
#PF(fault-code) If a page fault occurs in accessing the memory operand.
#SS(0) If the memory operand effective address is outside the SS segment limit.
If the SS register contains an unusable segment.
#UD If if CPUID.(EAX=07H, ECX=0H):EBX.INVPCID (bit 10) = 0.
If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If an invalid type is specified in the register operand, i.e., INVPCID_TYPE > 3.
If bits 63:12 of INVPCID_DESC are not all zero.
INVPCIDInvalidate Process-Context Identifier
INSTRUCTION SET REFERENCE, A-M
3-418 Vol. 2A
If INVPCID_TYPE is either 0 or 1 and INVPCID_DESC[11:0] is not zero.
If INVPCID_TYPE is 0 and the linear address in INVPCID_DESC[127:64] is not canonical.
#UD If CPUID.(EAX=07H, ECX=0H):EBX.INVPCID (bit 10) = 0.
If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) The INVPCID instruction is not recognized in virtual-8086 mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#GP(0) If the current privilege level is not 0.
If the memory operand is in the CS, DS, ES, FS, or GS segments and the memory address is
in a non-canonical form.
If an invalid type is specified in the register operand, i.e., INVPCID_TYPE > 3.
If bits 63:12 of INVPCID_DESC are not all zero.
If CR4.PCIDE=0, INVPCID_TYPE is either 0 or 1, and INVPCID_DESC[11:0] is not zero.
If INVPCID_TYPE is 0 and the linear address in INVPCID_DESC[127:64] is not canonical.
#PF(fault-code) If a page fault occurs in accessing the memory operand.
#SS(0) If the memory destination operand is in the SS segment and the memory address is in a non-
canonical form.
#UD If the LOCK prefix is used.
If CPUID.(EAX=07H, ECX=0H):EBX.INVPCID (bit 10) = 0.
IRET/IRETDInterrupt Return
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-419
I R E T/I R E T D I n t e rru p t R e t u rn
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Returns program control from an exception or interrupt handler to a program or procedure that was interrupted by
an exception, an external interrupt, or a software-generated interrupt. These instructions are also used to perform
a return from a nested task. (A nested task is created when a CALL instruction is used to initiate a task switch or
when an interrupt or exception causes a task switch to an interrupt or exception handler.) See the section titled
Task Linking in Chapter 7 of the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3A.
IRET and IRETD are mnemonics for the same opcode. The IRETD mnemonic (interrupt return double) is intended
for use when returning from an interrupt when using the 32-bit operand size; however, most assemblers use the
IRET mnemonic interchangeably for both operand sizes.
In Real-Address Mode, the IRET instruction preforms a far return to the interrupted program or procedure. During
this operation, the processor pops the return instruction pointer, return code segment selector, and EFLAGS image
from the stack to the EIP, CS, and EFLAGS registers, respectively, and then resumes execution of the interrupted
program or procedure.
In Protected Mode, the action of the IRET instruction depends on the settings of the NT (nested task) and VM flags
in the EFLAGS register and the VM flag in the EFLAGS image stored on the current stack. Depending on the setting
of these flags, the processor performs the following types of interrupt returns:
Return from virtual-8086 mode.
Return to virtual-8086 mode.
Intra-privilege level return.
Inter-privilege level return.
Return from nested task (task switch).
If the NT flag (EFLAGS register) is cleared, the IRET instruction performs a far return from the interrupt procedure,
without a task switch. The code segment being returned to must be equally or less privileged than the interrupt
handler routine (as indicated by the RPL field of the code segment selector popped from the stack).
As with a real-address mode interrupt return, the IRET instruction pops the return instruction pointer, return code
segment selector, and EFLAGS image from the stack to the EIP, CS, and EFLAGS registers, respectively, and then
resumes execution of the interrupted program or procedure. If the return is to another privilege level, the IRET
instruction also pops the stack pointer and SS from the stack, before resuming program execution. If the return is
to virtual-8086 mode, the processor also pops the data segment registers from the stack.
If the NT flag is set, the IRET instruction performs a task switch (return) from a nested task (a task called with a
CALL instruction, an interrupt, or an exception) back to the calling or interrupted task. The updated state of the
task executing the IRET instruction is saved in its TSS. If the task is re-entered later, the code that follows the IRET
instruction is executed.
If the NT flag is set and the processor is in IA-32e mode, the IRET instruction causes a general protection excep-
tion.
In 64-bit mode, the instructions default operation size is 32 bits. Use of the REX.W prefix promotes operation to 64
bits (IRETQ). See the summary chart at the beginning of this section for encoding data and limits.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
CF IRET NP Valid Valid Interrupt return (16-bit operand size).
CF IRETD NP Valid Valid Interrupt return (32-bit operand size).
REX.W +CF IRETQ NP Valid N.E. Interrupt return (64-bit operand size).
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
IRET/IRETDInterrupt Return
INSTRUCTION SET REFERENCE, A-M
3-420 Vol. 2A
See Changes to Instruction Behavior in VMX Non-Root Operation in Chapter 25 of the Intel 64 and IA-32 Archi-
tectures Software Developers Manual, Volume 3C, for more information about the behavior of this instruction in
VMX non-root operation.
O p e ra t io n
IF PE =0
THEN
GOTO REAL-ADDRESS-MODE;
ELSE
IF (IA32_EFER.LMA = 0)
THEN (* Protected mode *)
GOTO PROTECTED-MODE;
ELSE (* IA-32e mode *)
GOTO IA-32e-MODE;
FI;
FI;
REAL-ADDRESS-MODE;
IF OperandSize =32
THEN
IF top 12 bytes of stack not within stack limits
THEN #SS; FI;
tempEIP 4 bytes at end of stack
IF tempEIP[31:16] is not zero THEN #GP(0); FI;
EIP Pop();
CS Pop(); (* 32-bit pop, high-order 16 bits discarded *)
tempEFLAGS Pop();
EFLAGS (tempEFLAGS AND 257FD5H) OR (EFLAGS AND 1A0000H);
ELSE (* OperandSize = 16 *)
IF top 6 bytes of stack are not within stack limits
THEN #SS; FI;
EIP Pop(); (* 16-bit pop; clear upper 16 bits *)
CS Pop(); (* 16-bit pop *)
EFLAGS[15:0] Pop();
FI;
END;
PROTECTED-MODE:
IF VM = 1 (* Virtual-8086 mode: PE = 1, VM = 1 *)
THEN
GOTO RETURN-FROM-VIRTUAL-8086-MODE; (* PE = 1, VM = 1 *)
FI;
IF NT = 1
THEN
GOTO TASK-RETURN; (* PE = 1, VM = 0, NT = 1 *)
FI;
IF OperandSize = 32
THEN
IF top 12 bytes of stack not within stack limits
THEN #SS(0); FI;
tempEIP Pop();
tempCS Pop();
tempEFLAGS Pop();
ELSE (* OperandSize = 16 *)
IF top 6 bytes of stack are not within stack limits
IRET/IRETDInterrupt Return
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-421
THEN #SS(0); FI;
tempEIP Pop();
tempCS Pop();
tempEFLAGS Pop();
tempEIP tempEIP AND FFFFH;
tempEFLAGS tempEFLAGS AND FFFFH;
FI;
IF tempEFLAGS(VM) = 1 and CPL = 0
THEN
GOTO RETURN-TO-VIRTUAL-8086-MODE;
ELSE
GOTO PROTECTED-MODE-RETURN;
FI;
IA-32e-MODE:
IF NT =1
THEN #GP(0);
ELSE IF OperandSize = 32
THEN
IF top 12 bytes of stack not within stack limits
THEN #SS(0); FI;
tempEIP Pop();
tempCS Pop();
tempEFLAGS Pop();
ELSE IF OperandSize = 16
THEN
IF top 6 bytes of stack are not within stack limits
THEN #SS(0); FI;
tempEIP Pop();
tempCS Pop();
tempEFLAGS Pop();
tempEIP tempEIP AND FFFFH;
tempEFLAGS tempEFLAGS AND FFFFH;
FI;
ELSE (* OperandSize = 64 *)
THEN
tempRIP Pop();
tempCS Pop();
tempEFLAGS Pop();
tempRSP Pop();
tempSS Pop();
FI;
GOTO IA-32e-MODE-RETURN;
RETURN-FROM-VIRTUAL-8086-MODE:
(* Processor is in virtual-8086 mode when IRET is executed and stays in virtual-8086 mode *)
IF IOPL = 3 (* Virtual mode: PE = 1, VM = 1, IOPL = 3 *)
THEN IF OperandSize = 32
THEN
IF top 12 bytes of stack not within stack limits
THEN #SS(0); FI;
IF instruction pointer not within code segment limits
THEN #GP(0); FI;
EIP Pop();
CS Pop(); (* 32-bit pop, high-order 16 bits discarded *)
IRET/IRETDInterrupt Return
INSTRUCTION SET REFERENCE, A-M
3-422 Vol. 2A
EFLAGS Pop();
(* VM, IOPL,VIP and VIF EFLAG bits not modified by pop *)
ELSE (* OperandSize = 16 *)
IF top 6 bytes of stack are not within stack limits
THEN #SS(0); FI;
IF instruction pointer not within code segment limits
THEN #GP(0); FI;
EIP Pop();
EIP EIP AND 0000FFFFH;
CS Pop(); (* 16-bit pop *)
EFLAGS[15:0] Pop(); (* IOPL in EFLAGS not modified by pop *)
FI;
ELSE
#GP(0); (* Trap to virtual-8086 monitor: PE = 1, VM = 1, IOPL < 3 *)
FI;
END;
RETURN-TO-VIRTUAL-8086-MODE:
(* Interrupted procedure was in virtual-8086 mode: PE = 1, CPL=0, VM = 1 in flag image *)
IF top 24 bytes of stack are not within stack segment limits
THEN #SS(0); FI;
IF instruction pointer not within code segment limits
THEN #GP(0); FI;
CS tempCS;
EIP tempEIP & FFFFH;
EFLAGS tempEFLAGS;
TempESP Pop();
TempSS Pop();
ES Pop(); (* Pop 2 words; throw away high-order word *)
DS Pop(); (* Pop 2 words; throw away high-order word *)
FS Pop(); (* Pop 2 words; throw away high-order word *)
GS Pop(); (* Pop 2 words; throw away high-order word *)
SS:ESP TempSS:TempESP;
CPL 3;
(* Resume execution in Virtual-8086 mode *)
END;
TASK-RETURN: (* PE = 1, VM = 0, NT = 1 *)
Read segment selector in link field of current TSS;
IF local/global bit is set to local
or index not within GDT limits
THEN #TS (TSS selector); FI;
Access TSS for task specified in link field of current TSS;
IF TSS descriptor type is not TSS or if the TSS is marked not busy
THEN #TS (TSS selector); FI;
IF TSS not present
THEN #NP(TSS selector); FI;
SWITCH-TASKS (without nesting) to TSS specified in link field of current TSS;
Mark the task just abandoned as NOT BUSY;
IF EIP is not within code segment limit
THEN #GP(0); FI;
END;
PROTECTED-MODE-RETURN: (* PE = 1 *)
IRET/IRETDInterrupt Return
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-423
IF return code segment selector is NULL
THEN GP(0); FI;
IF return code segment selector addresses descriptor beyond descriptor table limit
THEN GP(selector); FI;
Read segment descriptor pointed to by the return code segment selector;
IF return code segment descriptor is not a code segment
THEN #GP(selector); FI;
IF return code segment selector RPL < CPL
THEN #GP(selector); FI;
IF return code segment descriptor is conforming
and return code segment DPL > return code segment selector RPL
THEN #GP(selector); FI;
IF return code segment descriptor is not present
THEN #NP(selector); FI;
IF return code segment selector RPL > CPL
THEN GOTO RETURN-OUTER-PRIVILEGE-LEVEL;
ELSE GOTO RETURN-TO-SAME-PRIVILEGE-LEVEL; FI;
END;
RETURN-TO-SAME-PRIVILEGE-LEVEL: (* PE = 1, RPL = CPL *)
IF new mode 64-Bit Mode
THEN
IF tempEIP is not within code segment limits
THEN #GP(0); FI;
EIP tempEIP;
ELSE (* new mode =64-bit mode *)
IF tempRIP is non-canonical
THEN #GP(0); FI;
RIP tempRIP;
FI;
CS tempCS; (* Segment descriptor information also loaded *)
EFLAGS (CF, PF, AF, ZF, SF, TF, DF, OF, NT) tempEFLAGS;
IF OperandSize =32 or OperandSize =64
THEN EFLAGS(RF, AC, ID) tempEFLAGS; FI;
IF CPL IOPL
THEN EFLAGS(IF) tempEFLAGS; FI;
IF CPL =0
THEN (* VM =0 in flags image *)
EFLAGS(IOPL) tempEFLAGS;
IF OperandSize =32 or OperandSize =64
THEN EFLAGS(VIF, VIP) tempEFLAGS; FI;
FI;
END;
RETURN-TO-OUTER-PRIVILEGE-LEVEL:
IF OperandSize = 32
THEN
IF top 8 bytes on stack are not within limits
THEN #SS(0); FI;
ELSE (* OperandSize = 16 *)
IF top 4 bytes on stack are not within limits
THEN #SS(0); FI;
FI;
Read return segment selector;
IRET/IRETDInterrupt Return
INSTRUCTION SET REFERENCE, A-M
3-424 Vol. 2A
IF stack segment selector is NULL
THEN #GP(0); FI;
IF return stack segment selector index is not within its descriptor table limits
THEN #GP(SSselector); FI;
Read segment descriptor pointed to by return segment selector;
IF stack segment selector RPL RPL of the return code segment selector
or the stack segment descriptor does not indicate a a writable data segment;
or the stack segment DPL RPL of the return code segment selector
THEN #GP(SS selector); FI;
IF stack segment is not present
THEN #SS(SS selector); FI;
IF new mode 64-Bit Mode
THEN
IF tempEIP is not within code segment limits
THEN #GP(0); FI;
EIP tempEIP;
ELSE (* new mode =64-bit mode *)
IF tempRIP is non-canonical
THEN #GP(0); FI;
RIP tempRIP;
FI;
CS tempCS;
EFLAGS (CF, PF, AF, ZF, SF, TF, DF, OF, NT) tempEFLAGS;
IF OperandSize = 32
THEN EFLAGS(RF, AC, ID) tempEFLAGS; FI;
IF CPL IOPL
THEN EFLAGS(IF) tempEFLAGS; FI;
IF CPL = 0
THEN
EFLAGS(IOPL) tempEFLAGS;
IF OperandSize = 32
THEN EFLAGS(VM, VIF, VIP) tempEFLAGS; FI;
IF OperandSize =64
THEN EFLAGS(VIF, VIP) tempEFLAGS; FI;
FI;
CPL RPL of the return code segment selector;
FOR each of segment register (ES, FS, GS, and DS)
DO
IF segment register points to data or non-conforming code segment
and CPL > segment descriptor DPL (* Stored in hidden part of segment register *)
THEN (* Segment register invalid *)
SegmentSelector 0; (* NULL segment selector *)
FI;
OD;
END;
IA-32e-MODE-RETURN: (* IA32_EFER.LMA =1, PE = 1 *)
IF ( (return code segment selector is NULL) or (return RIP is non-canonical) or
(SS selector is NULL going back to compatibility mode) or
(SS selector is NULL going back to CPL3 64-bit mode) or
(RPL <>CPL going back to non-CPL3 64-bit mode for a NULL SS selector) )
THEN GP(0); FI;
IF return code segment selector addresses descriptor beyond descriptor table limit
THEN GP(selector); FI;
IRET/IRETDInterrupt Return
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-425
Read segment descriptor pointed to by the return code segment selector;
IF return code segment descriptor is not a code segment
THEN #GP(selector); FI;
IF return code segment selector RPL < CPL
THEN #GP(selector); FI;
IF return code segment descriptor is conforming
and return code segment DPL > return code segment selector RPL
THEN #GP(selector); FI;
IF return code segment descriptor is not present
THEN #NP(selector); FI;
IF return code segment selector RPL > CPL
THEN GOTO RETURN-OUTER-PRIVILEGE-LEVEL;
ELSE GOTO RETURN-TO-SAME-PRIVILEGE-LEVEL; FI;
END;
F la g s Af fe ct e d
All the flags and fields in the EFLAGS register are potentially modified, depending on the mode of operation of the
processor. If performing a return from a nested task to a previous task, the EFLAGS register will be modified
according to the EFLAGS image stored in the previous tasks TSS.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the return code or stack segment selector is NULL.
If the return instruction pointer is not within the return code segment limit.
#GP(selector) If a segment selector index is outside its descriptor table limits.
If the return code segment selector RPL is less than the CPL.
If the DPL of a conforming-code segment is greater than the return code segment selector
RPL.
If the DPL for a nonconforming-code segment is not equal to the RPL of the code segment
selector.
If the stack segment descriptor DPL is not equal to the RPL of the return code segment
selector.
If the stack segment is not a writable data segment.
If the stack segment selector RPL is not equal to the RPL of the return code segment selector.
If the segment descriptor for a code segment does not indicate it is a code segment.
If the segment selector for a TSS has its local/global bit set for local.
If a TSS segment descriptor specifies that the TSS is not busy.
If a TSS segment descriptor specifies that the TSS is not available.
#SS(0) If the top bytes of stack are not within stack limits.
#NP(selector) If the return code or stack segment is not present.
#PF(fault-code) If a page fault occurs.
#AC(0) If an unaligned memory reference occurs when the CPL is 3 and alignment checking is
enabled.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If the return instruction pointer is not within the return code segment limit.
#SS If the top bytes of stack are not within stack limits.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If the return instruction pointer is not within the return code segment limit.
IRET/IRETDInterrupt Return
INSTRUCTION SET REFERENCE, A-M
3-426 Vol. 2A
IF IOPL not equal to 3.
#PF(fault-code) If a page fault occurs.
#SS(0) If the top bytes of stack are not within stack limits.
#AC(0) If an unaligned memory reference occurs and alignment checking is enabled.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
#GP(0) If EFLAGS.NT[bit 14] = 1.
Other exceptions same as in Protected Mode.
6 4 -B it Mo d e E x ce p t io n s
#GP(0) If EFLAGS.NT[bit 14] = 1.
If the return code segment selector is NULL.
If the stack segment selector is NULL going back to compatibility mode.
If the stack segment selector is NULL going back to CPL3 64-bit mode.
If a NULL stack segment selector RPL is not equal to CPL going back to non-CPL3 64-bit mode.
If the return instruction pointer is not within the return code segment limit.
If the return instruction pointer is non-canonical.
#GP(Selector) If a segment selector index is outside its descriptor table limits.
If a segment descriptor memory address is non-canonical.
If the segment descriptor for a code segment does not indicate it is a code segment.
If the proposed new code segment descriptor has both the D-bit and L-bit set.
If the DPL for a nonconforming-code segment is not equal to the RPL of the code segment
selector.
If CPL is greater than the RPL of the code segment selector.
If the DPL of a conforming-code segment is greater than the return code segment selector
RPL.
If the stack segment is not a writable data segment.
If the stack segment descriptor DPL is not equal to the RPL of the return code segment
selector.
If the stack segment selector RPL is not equal to the RPL of the return code segment selector.
#SS(0) If an attempt to pop a value off the stack violates the SS limit.
If an attempt to pop a value off the stack causes a non-canonical address to be referenced.
#NP(selector) If the return code or stack segment is not present.
#PF(fault-code) If a page fault occurs.
#AC(0) If an unaligned memory reference occurs when the CPL is 3 and alignment checking is
enabled.
#UD If the LOCK prefix is used.
JccJump if Condition Is Met
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-427
JccJu m p if C o n d it io n I s Me t
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
77 cb JA rel8 D Valid Valid Jump short if above (CF=0 and ZF=0).
73 cb JAE rel8 D Valid Valid Jump short if above or equal (CF=0).
72 cb JB rel8 D Valid Valid Jump short if below (CF=1).
76 cb JBE rel8 D Valid Valid Jump short if below or equal (CF=1 or ZF=1).
72 cb JC rel8 D Valid Valid Jump short if carry (CF=1).
E3 cb JCXZ rel8 D N.E. Valid Jump short if CX register is 0.
E3 cb JECXZ rel8 D Valid Valid Jump short if ECX register is 0.
E3 cb JRCXZ rel8 D Valid N.E. Jump short if RCX register is 0.
74 cb JE rel8 D Valid Valid Jump short if equal (ZF=1).
7F cb JG rel8 D Valid Valid Jump short if greater (ZF=0 and SF=OF).
7D cb JGE rel8 D Valid Valid Jump short if greater or equal (SF=OF).
7C cb JL rel8 D Valid Valid Jump short if less (SF OF).
7E cb JLE rel8 D Valid Valid Jump short if less or equal (ZF=1 or SF OF).
76 cb JNA rel8 D Valid Valid Jump short if not above (CF=1 or ZF=1).
72 cb JNAE rel8 D Valid Valid Jump short if not above or equal (CF=1).
73 cb JNB rel8 D Valid Valid Jump short if not below (CF=0).
77 cb JNBE rel8 D Valid Valid Jump short if not below or equal (CF=0 and
ZF=0).
73 cb JNC rel8 D Valid Valid Jump short if not carry (CF=0).
75 cb JNE rel8 D Valid Valid Jump short if not equal (ZF=0).
7E cb JNG rel8 D Valid Valid Jump short if not greater (ZF=1 or SF OF).
7C cb JNGE rel8 D Valid Valid Jump short if not greater or equal (SF OF).
7D cb JNL rel8 D Valid Valid Jump short if not less (SF=OF).
7F cb JNLE rel8 D Valid Valid Jump short if not less or equal (ZF=0 and
SF=OF).
71 cb JNO rel8 D Valid Valid Jump short if not overflow (OF=0).
7B cb JNP rel8 D Valid Valid Jump short if not parity (PF=0).
79 cb JNS rel8 D Valid Valid Jump short if not sign (SF=0).
75 cb JNZ rel8 D Valid Valid Jump short if not zero (ZF=0).
70 cb JO rel8 D Valid Valid Jump short if overflow (OF=1).
7A cb JP rel8 D Valid Valid Jump short if parity (PF=1).
7A cb JPE rel8 D Valid Valid Jump short if parity even (PF=1).
7B cb JPO rel8 D Valid Valid Jump short if parity odd (PF=0).
78 cb JS rel8 D Valid Valid Jump short if sign (SF=1).
74 cb JZ rel8 D Valid Valid Jump short if zero (ZF 1).
0F 87 cw JA rel16 D N.S. Valid Jump near if above (CF=0 and ZF=0). Not
supported in 64-bit mode.
0F 87 cd JA rel32 D Valid Valid Jump near if above (CF=0 and ZF=0).
0F 83 cw JAE rel16 D N.S. Valid Jump near if above or equal (CF=0). Not
supported in 64-bit mode.
JccJump if Condition Is Met
INSTRUCTION SET REFERENCE, A-M
3-428 Vol. 2A
0F 83 cd JAE rel32 D Valid Valid Jump near if above or equal (CF=0).
0F 82 cw JB rel16 D N.S. Valid Jump near if below (CF=1). Not supported in
64-bit mode.
0F 82 cd JB rel32 D Valid Valid Jump near if below (CF=1).
0F 86 cw JBE rel16 D N.S. Valid Jump near if below or equal (CF=1 or ZF=1).
Not supported in 64-bit mode.
0F 86 cd JBE rel32 D Valid Valid Jump near if below or equal (CF=1 or ZF=1).
0F 82 cw JC rel16 D N.S. Valid Jump near if carry (CF=1). Not supported in
64-bit mode.
0F 82 cd JC rel32 D Valid Valid Jump near if carry (CF=1).
0F 84 cw JE rel16 D N.S. Valid Jump near if equal (ZF=1). Not supported in
64-bit mode.
0F 84 cd JE rel32 D Valid Valid Jump near if equal (ZF=1).
0F 84 cw JZ rel16 D N.S. Valid Jump near if 0 (ZF=1). Not supported in 64-bit
mode.
0F 84 cd JZ rel32 D Valid Valid Jump near if 0 (ZF=1).
0F 8F cw JG rel16 D N.S. Valid Jump near if greater (ZF=0 and SF=OF). Not
supported in 64-bit mode.
0F 8F cd JG rel32 D Valid Valid Jump near if greater (ZF=0 and SF=OF).
0F 8D cw JGE rel16 D N.S. Valid Jump near if greater or equal (SF=OF). Not
supported in 64-bit mode.
0F 8D cd JGE rel32 D Valid Valid Jump near if greater or equal (SF=OF).
0F 8C cw JL rel16 D N.S. Valid Jump near if less (SF OF). Not supported in
64-bit mode.
0F 8C cd JL rel32 D Valid Valid Jump near if less (SF OF).
0F 8E cw JLE rel16 D N.S. Valid Jump near if less or equal (ZF=1 or SF OF).
Not supported in 64-bit mode.
0F 8E cd JLE rel32 D Valid Valid Jump near if less or equal (ZF=1 or SF OF).
0F 86 cw JNA rel16 D N.S. Valid Jump near if not above (CF=1 or ZF=1). Not
supported in 64-bit mode.
0F 86 cd JNA rel32 D Valid Valid Jump near if not above (CF=1 or ZF=1).
0F 82 cw JNAE rel16 D N.S. Valid Jump near if not above or equal (CF=1). Not
supported in 64-bit mode.
0F 82 cd JNAE rel32 D Valid Valid Jump near if not above or equal (CF=1).
0F 83 cw JNB rel16 D N.S. Valid Jump near if not below (CF=0). Not supported
in 64-bit mode.
0F 83 cd JNB rel32 D Valid Valid Jump near if not below (CF=0).
0F 87 cw JNBE rel16 D N.S. Valid Jump near if not below or equal (CF=0 and
ZF=0). Not supported in 64-bit mode.
0F 87 cd JNBE rel32 D Valid Valid Jump near if not below or equal (CF=0 and
ZF=0).
0F 83 cw JNC rel16 D N.S. Valid Jump near if not carry (CF=0). Not supported
in 64-bit mode.
0F 83 cd JNC rel32 D Valid Valid Jump near if not carry (CF=0).
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
JccJump if Condition Is Met
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-429
0F 85 cw JNE rel16 D N.S. Valid Jump near if not equal (ZF=0). Not supported
in 64-bit mode.
0F 85 cd JNE rel32 D Valid Valid Jump near if not equal (ZF=0).
0F 8E cw JNG rel16 D N.S. Valid Jump near if not greater (ZF=1 or SF OF).
Not supported in 64-bit mode.
0F 8E cd JNG rel32 D Valid Valid Jump near if not greater (ZF=1 or SF OF).
0F 8C cw JNGE rel16 D N.S. Valid Jump near if not greater or equal (SF OF).
Not supported in 64-bit mode.
0F 8C cd JNGE rel32 D Valid Valid Jump near if not greater or equal (SF OF).
0F 8D cw JNL rel16 D N.S. Valid Jump near if not less (SF=OF). Not supported
in 64-bit mode.
0F 8D cd JNL rel32 D Valid Valid Jump near if not less (SF=OF).
0F 8F cw JNLE rel16 D N.S. Valid Jump near if not less or equal (ZF=0 and
SF=OF). Not supported in 64-bit mode.
0F 8F cd JNLE rel32 D Valid Valid Jump near if not less or equal (ZF=0 and
SF=OF).
0F 81 cw JNO rel16 D N.S. Valid Jump near if not overflow (OF=0). Not
supported in 64-bit mode.
0F 81 cd JNO rel32 D Valid Valid Jump near if not overflow (OF=0).
0F 8B cw JNP rel16 D N.S. Valid Jump near if not parity (PF=0). Not supported
in 64-bit mode.
0F 8B cd JNP rel32 D Valid Valid Jump near if not parity (PF=0).
0F 89 cw JNS rel16 D N.S. Valid Jump near if not sign (SF=0). Not supported in
64-bit mode.
0F 89 cd JNS rel32 D Valid Valid Jump near if not sign (SF=0).
0F 85 cw JNZ rel16 D N.S. Valid Jump near if not zero (ZF=0). Not supported in
64-bit mode.
0F 85 cd JNZ rel32 D Valid Valid Jump near if not zero (ZF=0).
0F 80 cw JO rel16 D N.S. Valid Jump near if overflow (OF=1). Not supported
in 64-bit mode.
0F 80 cd JO rel32 D Valid Valid Jump near if overflow (OF=1).
0F 8A cw JP rel16 D N.S. Valid Jump near if parity (PF=1). Not supported in
64-bit mode.
0F 8A cd JP rel32 D Valid Valid Jump near if parity (PF=1).
0F 8A cw JPE rel16 D N.S. Valid Jump near if parity even (PF=1). Not
supported in 64-bit mode.
0F 8A cd JPE rel32 D Valid Valid Jump near if parity even (PF=1).
0F 8B cw JPO rel16 D N.S. Valid Jump near if parity odd (PF=0). Not supported
in 64-bit mode.
0F 8B cd JPO rel32 D Valid Valid Jump near if parity odd (PF=0).
0F 88 cw JS rel16 D N.S. Valid Jump near if sign (SF=1). Not supported in 64-
bit mode.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
JccJump if Condition Is Met
INSTRUCTION SET REFERENCE, A-M
3-430 Vol. 2A
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Checks the state of one or more of the status flags in the EFLAGS register (CF, OF, PF, SF, and ZF) and, if the flags
are in the specified state (condition), performs a jump to the target instruction specified by the destination
operand. A condition code (cc) is associated with each instruction to indicate the condition being tested for. If the
condition is not satisfied, the jump is not performed and execution continues with the instruction following the Jcc
instruction.
The target instruction is specified with a relative offset (a signed offset relative to the current value of the instruc-
tion pointer in the EIP register). A relative offset (rel8, rel16, or rel32) is generally specified as a label in assembly
code, but at the machine code level, it is encoded as a signed, 8-bit or 32-bit immediate value, which is added to
the instruction pointer. Instruction coding is most efficient for offsets of 128 to +127. If the operand-size attribute
is 16, the upper two bytes of the EIP register are cleared, resulting in a maximum instruction pointer size of 16 bits.
The conditions for each Jcc mnemonic are given in the Description column of the table on the preceding page. The
terms less and greater are used for comparisons of signed integers and the terms above and below are used
for unsigned integers.
Because a particular state of the status flags can sometimes be interpreted in two ways, two mnemonics are
defined for some opcodes. For example, the JA (jump if above) instruction and the JNBE (jump if not below or
equal) instruction are alternate mnemonics for the opcode 77H.
The Jcc instruction does not support far jumps (jumps to other code segments). When the target for the conditional
jump is in a different segment, use the opposite condition from the condition being tested for the Jcc instruction,
and then access the target with an unconditional far jump (JMP instruction) to the other segment. For example, the
following conditional far jump is illegal:
JZ FARLABEL;
To accomplish this far jump, use the following two instructions:
JNZ BEYOND;
JMP FARLABEL;
BEYOND:
The JRCXZ, JECXZ and JCXZ instructions differ from other Jcc instructions because they do not check status flags.
Instead, they check RCX, ECX or CX for 0. The register checked is determined by the address-size attribute. These
instructions are useful when used at the beginning of a loop that terminates with a conditional loop instruction
(such as LOOPNE). They can be used to prevent an instruction sequence from entering a loop when RCX, ECX or CX
is 0. This would cause the loop to execute 2
64
, 2
32
or 64K times (not zero times).
All conditional jumps are converted to code fetches of one or two cache lines, regardless of jump address or cache-
ability.
In 64-bit mode, operand size is fixed at 64 bits. JMP Short is RIP = RIP + 8-bit offset sign extended to 64 bits. JMP
Near is RIP = RIP + 32-bit offset sign extended to 64-bits.
0F 88 cd JS rel32 D Valid Valid Jump near if sign (SF=1).
0F 84 cw JZ rel16 D N.S. Valid Jump near if 0 (ZF=1). Not supported in 64-bit
mode.
0F 84 cd JZ rel32 D Valid Valid Jump near if 0 (ZF=1).
Op/En Operand 1 Operand 2 Operand 3 Operand 4
D Offset NA NA NA
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
JccJump if Condition Is Met
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-431
O p e ra t io n
IF condition
THEN
tempEIP EIP +SignExtend(DEST);
IF OperandSize =16
THEN tempEIP tempEIP AND 0000FFFFH;
FI;
IF tempEIP is not within code segment limit
THEN #GP(0);
ELSE EIP tempEIP
FI;
FI;
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the offset being jumped to is beyond the limits of the CS segment.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If the offset being jumped to is beyond the limits of the CS segment or is outside of the effec-
tive address space from 0 to FFFFH. This condition can occur if a 32-bit address size override
prefix is used.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in real address mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#GP(0) If the memory address is in a non-canonical form.
#UD If the LOCK prefix is used.
JMPJump
INSTRUCTION SET REFERENCE, A-M
3-432 Vol. 2A
JMP Ju m p
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Transfers program control to a different point in the instruction stream without recording return information. The
destination (target) operand specifies the address of the instruction being jumped to. This operand can be an
immediate value, a general-purpose register, or a memory location.
This instruction can be used to execute four different types of jumps:
Near jumpA jump to an instruction within the current code segment (the segment currently pointed to by the
CS register), sometimes referred to as an intrasegment jump.
Short jumpA near jump where the jump range is limited to 128 to +127 from the current EIP value.
Far jumpA jump to an instruction located in a different segment than the current code segment but at the
same privilege level, sometimes referred to as an intersegment jump.
Task switchA jump to an instruction located in a different task.
A task switch can only be executed in protected mode (see Chapter 7, in the Intel 64 and IA-32 Architectures
Software Developers Manual, Volume 3A, for information on performing task switches with the JMP instruction).
Near and Short Jumps. When executing a near jump, the processor jumps to the address (within the current code
segment) that is specified with the target operand. The target operand specifies either an absolute offset (that is
an offset from the base of the code segment) or a relative offset (a signed displacement relative to the current
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
EB cb JMP rel8 D Valid Valid Jump short, RIP =RIP +8-bit displacement sign
extended to 64-bits
E9 cw JMP rel16 D N.S. Valid Jump near, relative, displacement relative to
next instruction. Not supported in 64-bit
mode.
E9 cd JMP rel32 D Valid Valid Jump near, relative, RIP =RIP +32-bit
displacement sign extended to 64-bits
FF /4 JMP r/m16 M N.S. Valid Jump near, absolute indirect, address =zero-
extended r/m16. Not supported in 64-bit
mode.
FF /4 JMP r/m32 M N.S. Valid Jump near, absolute indirect, address given in
r/m32. Not supported in 64-bit mode.
FF /4 JMP r/m64 M Valid N.E. Jump near, absolute indirect, RIP =64-Bit
offset fromregister or memory
EA cd JMP ptr16:16 D Inv. Valid Jump far, absolute, address given in operand
EA cp JMP ptr16:32 D Inv. Valid Jump far, absolute, address given in operand
FF /5 JMP m16:16 D Valid Valid Jump far, absolute indirect, address given in
m16:16
FF /5 JMP m16:32 D Valid Valid Jump far, absolute indirect, address given in
m16:32.
REX.W +FF /5 JMP m16:64 D Valid N.E. Jump far, absolute indirect, address given in
m16:64.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
D Offset NA NA NA
M ModRM:r/m (r) NA NA NA
JMPJump
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-433
value of the instruction pointer in the EIP register). A near jump to a relative offset of 8-bits (rel8) is referred to as
a short jump. The CS register is not changed on near and short jumps.
An absolute offset is specified indirectly in a general-purpose register or a memory location (r/m16 or r/m32). The
operand-size attribute determines the size of the target operand (16 or 32 bits). Absolute offsets are loaded
directly into the EIP register. If the operand-size attribute is 16, the upper two bytes of the EIP register are cleared,
resulting in a maximum instruction pointer size of 16 bits.
A relative offset (rel8, rel16, or rel32) is generally specified as a label in assembly code, but at the machine code
level, it is encoded as a signed 8-, 16-, or 32-bit immediate value. This value is added to the value in the EIP
register. (Here, the EIP register contains the address of the instruction following the JMP instruction). When using
relative offsets, the opcode (for short vs. near jumps) and the operand-size attribute (for near relative jumps)
determines the size of the target operand (8, 16, or 32 bits).
Far Jumps in Real-Address or Virtual-8086 Mode. When executing a far jump in real-address or virtual-8086 mode,
the processor jumps to the code segment and offset specified with the target operand. Here the target operand
specifies an absolute far address either directly with a pointer (ptr16:16 or ptr16:32) or indirectly with a memory
location (m16:16 or m16:32). With the pointer method, the segment and address of the called procedure is
encoded in the instruction, using a 4-byte (16-bit operand size) or 6-byte (32-bit operand size) far address imme-
diate. With the indirect method, the target operand specifies a memory location that contains a 4-byte (16-bit
operand size) or 6-byte (32-bit operand size) far address. The far address is loaded directly into the CS and EIP
registers. If the operand-size attribute is 16, the upper two bytes of the EIP register are cleared.
Far Jumps in Protected Mode. When the processor is operating in protected mode, the JMP instruction can be used
to perform the following three types of far jumps:
A far jump to a conforming or non-conforming code segment.
A far jump through a call gate.
A task switch.
(The JMP instruction cannot be used to perform inter-privilege-level far jumps.)
In protected mode, the processor always uses the segment selector part of the far address to access the corre-
sponding descriptor in the GDT or LDT. The descriptor type (code segment, call gate, task gate, or TSS) and access
rights determine the type of jump to be performed.
If the selected descriptor is for a code segment, a far jump to a code segment at the same privilege level is
performed. (If the selected code segment is at a different privilege level and the code segment is non-conforming,
a general-protection exception is generated.) A far jump to the same privilege level in protected mode is very
similar to one carried out in real-address or virtual-8086 mode. The target operand specifies an absolute far
address either directly with a pointer (ptr16:16 or ptr16:32) or indirectly with a memory location (m16:16 or
m16:32). The operand-size attribute determines the size of the offset (16 or 32 bits) in the far address. The new
code segment selector and its descriptor are loaded into CS register, and the offset from the instruction is loaded
into the EIP register. Note that a call gate (described in the next paragraph) can also be used to perform far call to
a code segment at the same privilege level. Using this mechanism provides an extra level of indirection and is the
preferred method of making jumps between 16-bit and 32-bit code segments.
When executing a far jump through a call gate, the segment selector specified by the target operand identifies the
call gate. (The offset part of the target operand is ignored.) The processor then jumps to the code segment speci-
fied in the call gate descriptor and begins executing the instruction at the offset specified in the call gate. No stack
switch occurs. Here again, the target operand can specify the far address of the call gate either directly with a
pointer (ptr16:16 or ptr16:32) or indirectly with a memory location (m16:16 or m16:32).
Executing a task switch with the JMP instruction is somewhat similar to executing a jump through a call gate. Here
the target operand specifies the segment selector of the task gate for the task being switched to (and the offset
part of the target operand is ignored). The task gate in turn points to the TSS for the task, which contains the
segment selectors for the tasks code and stack segments. The TSS also contains the EIP value for the next instruc-
tion that was to be executed before the task was suspended. This instruction pointer value is loaded into the EIP
register so that the task begins executing again at this next instruction.
The JMP instruction can also specify the segment selector of the TSS directly, which eliminates the indirection of the
task gate. See Chapter 7 in Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3A, for
detailed information on the mechanics of a task switch.
JMPJump
INSTRUCTION SET REFERENCE, A-M
3-434 Vol. 2A
Note that when you execute at task switch with a JMP instruction, the nested task flag (NT) is not set in the EFLAGS
register and the new TSSs previous task link field is not loaded with the old tasks TSS selector. A return to the
previous task can thus not be carried out by executing the IRET instruction. Switching tasks with the JMP instruc-
tion differs in this regard from the CALL instruction which does set the NT flag and save the previous task link infor-
mation, allowing a return to the calling task with an IRET instruction.
In 64-Bit Mode The instructions operation size is fixed at 64 bits. If a selector points to a gate, then RIP equals
the 64-bit displacement taken from gate; else RIP equals the zero-extended offset from the far pointer referenced
in the instruction.
See the summary chart at the beginning of this section for encoding data and limits.
O p e ra t io n
IF near jump
IF 64-bit Mode
THEN
IF near relative jump
THEN
tempRIP RIP + DEST; (* RIP is instruction following JMP instruction*)
ELSE (* Near absolute jump *)
tempRIP DEST;
FI;
ELSE
IF near relative jump
THEN
tempEIP EIP + DEST; (* EIP is instruction following JMP instruction*)
ELSE (* Near absolute jump *)
tempEIP DEST;
FI;
FI;
IF (IA32_EFER.LMA = 0 or target mode = Compatibility mode)
and tempEIP outside code segment limit
THEN #GP(0); FI
IF 64-bit mode and tempRIP is not canonical
THEN #GP(0);
FI;
IF OperandSize = 32
THEN
EIP tempEIP;
ELSE
IF OperandSize = 16
THEN (* OperandSize = 16 *)
EIP tempEIP AND 0000FFFFH;
ELSE (* OperandSize = 64)
RIP tempRIP;
FI;
FI;
FI;
IF far jump and (PE = 0 or (PE = 1 AND VM = 1)) (* Real-address or virtual-8086 mode *)
THEN
tempEIP DEST(Offset); (* DEST is ptr16:32 or [m16:32] *)
IF tempEIP is beyond code segment limit
THEN #GP(0); FI;
CS DEST(segment selector); (* DEST is ptr16:32 or [m16:32] *)
IF OperandSize = 32
JMPJump
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-435
THEN
EIP tempEIP; (* DEST is ptr16:32 or [m16:32] *)
ELSE (* OperandSize = 16 *)
EIP tempEIP AND 0000FFFFH; (* Clear upper 16 bits *)
FI;
FI;
IF far jump and (PE = 1 and VM = 0)
(* IA-32e mode or protected mode, not virtual-8086 mode *)
THEN
IF effective address in the CS, DS, ES, FS, GS, or SS segment is illegal
or segment selector in target operand NULL
THEN #GP(0); FI;
IF segment selector index not within descriptor table limits
THEN #GP(new selector); FI;
Read type and access rights of segment descriptor;
IF (EFER.LMA = 0)
THEN
IF segment type is not a conforming or nonconforming code
segment, call gate, task gate, or TSS
THEN #GP(segment selector); FI;
ELSE
IF segment type is not a conforming or nonconforming code segment
call gate
THEN #GP(segment selector); FI;
FI;
Depending on type and access rights:
GO TO CONFORMING-CODE-SEGMENT;
GO TO NONCONFORMING-CODE-SEGMENT;
GO TO CALL-GATE;
GO TO TASK-GATE;
GO TO TASK-STATE-SEGMENT;
ELSE
#GP(segment selector);
FI;
CONFORMING-CODE-SEGMENT:
IF L-Bit = 1 and D-BIT = 1 and IA32_EFER.LMA = 1
THEN GP(new code segment selector); FI;
IF DPL > CPL
THEN #GP(segment selector); FI;
IF segment not present
THEN #NP(segment selector); FI;
tempEIP DEST(Offset);
IF OperandSize = 16
THEN tempEIP tempEIP AND 0000FFFFH;
FI;
IF (IA32_EFER.LMA = 0 or target mode = Compatibility mode) and
tempEIP outside code segment limit
THEN #GP(0); FI
IF tempEIP is non-canonical
THEN #GP(0); FI;
CS DEST[segment selector]; (* Segment descriptor information also loaded *)
CS(RPL) CPL
EIP tempEIP;
END;
JMPJump
INSTRUCTION SET REFERENCE, A-M
3-436 Vol. 2A
NONCONFORMING-CODE-SEGMENT:
IF L-Bit = 1 and D-BIT = 1 and IA32_EFER.LMA = 1
THEN GP(new code segment selector); FI;
IF (RPL > CPL) OR (DPL CPL)
THEN #GP(code segment selector); FI;
IF segment not present
THEN #NP(segment selector); FI;
tempEIP DEST(Offset);
IF OperandSize = 16
THEN tempEIP tempEIP AND 0000FFFFH; FI;
IF (IA32_EFER.LMA = 0 OR target mode = Compatibility mode)
and tempEIP outside code segment limit
THEN #GP(0); FI
IF tempEIP is non-canonical THEN #GP(0); FI;
CS DEST[segment selector]; (* Segment descriptor information also loaded *)
CS(RPL) CPL;
EIP tempEIP;
END;
CALL-GATE:
IF call gate DPL < CPL
or call gate DPL < call gate segment-selector RPL
THEN #GP(call gate selector); FI;
IF call gate not present
THEN #NP(call gate selector); FI;
IF call gate code-segment selector is NULL
THEN #GP(0); FI;
IF call gate code-segment selector index outside descriptor table limits
THEN #GP(code segment selector); FI;
Read code segment descriptor;
IF code-segment segment descriptor does not indicate a code segment
or code-segment segment descriptor is conforming and DPL > CPL
or code-segment segment descriptor is non-conforming and DPL CPL
THEN #GP(code segment selector); FI;
IF IA32_EFER.LMA = 1 and (code-segment descriptor is not a 64-bit code segment
or code-segment segment descriptor has both L-Bit and D-bit set)
THEN #GP(code segment selector); FI;
IF code segment is not present
THEN #NP(code-segment selector); FI;
IF instruction pointer is not within code-segment limit
THEN #GP(0); FI;
tempEIP DEST(Offset);
IF GateSize = 16
THEN tempEIP tempEIP AND 0000FFFFH; FI;
IF (IA32_EFER.LMA = 0 OR target mode = Compatibility mode) AND tempEIP
outside code segment limit
THEN #GP(0); FI
CS DEST[SegmentSelector); (* Segment descriptor information also loaded *)
CS(RPL) CPL;
EIP tempEIP;
END;
TASK-GATE:
IF task gate DPL < CPL
or task gate DPL < task gate segment-selector RPL
JMPJump
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-437
THEN #GP(task gate selector); FI;
IF task gate not present
THEN #NP(gate selector); FI;
Read the TSS segment selector in the task-gate descriptor;
IF TSS segment selector local/global bit is set to local
or index not within GDT limits
or TSS descriptor specifies that the TSS is busy
THEN #GP(TSS selector); FI;
IF TSS not present
THEN #NP(TSS selector); FI;
SWITCH-TASKS to TSS;
IF EIP not within code segment limit
THEN #GP(0); FI;
END;
TASK-STATE-SEGMENT:
IF TSS DPL < CPL
or TSS DPL < TSS segment-selector RPL
or TSS descriptor indicates TSS not available
THEN #GP(TSS selector); FI;
IF TSS is not present
THEN #NP(TSS selector); FI;
SWITCH-TASKS to TSS;
IF EIP not within code segment limit
THEN #GP(0); FI;
END;
F la g s Af fe ct e d
All flags are affected if a task switch occurs; no flags are affected if a task switch does not occur.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If offset in target operand, call gate, or TSS is beyond the code segment limits.
If the segment selector in the destination operand, call gate, task gate, or TSS is NULL.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register is used to access memory and it contains a NULL segment
selector.
#GP(selector) If the segment selector index is outside descriptor table limits.
If the segment descriptor pointed to by the segment selector in the destination operand is not
for a conforming-code segment, nonconforming-code segment, call gate, task gate, or task
state segment.
If the DPL for a nonconforming-code segment is not equal to the CPL
(When not using a call gate.) If the RPL for the segments segment selector is greater than the
CPL.
If the DPL for a conforming-code segment is greater than the CPL.
If the DPL from a call-gate, task-gate, or TSS segment descriptor is less than the CPL or than
the RPL of the call-gate, task-gate, or TSSs segment selector.
If the segment descriptor for selector in a call gate does not indicate it is a code segment.
If the segment descriptor for the segment selector in a task gate does not indicate an available
TSS.
If the segment selector for a TSS has its local/global bit set for local.
If a TSS segment descriptor specifies that the TSS is busy or not available.
#SS(0) If a memory operand effective address is outside the SS segment limit.
JMPJump
INSTRUCTION SET REFERENCE, A-M
3-438 Vol. 2A
#NP (selector) If the code segment being accessed is not present.
If call gate, task gate, or TSS not present.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3. (Only occurs when fetching target from memory.)
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If the target operand is beyond the code segment limits.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made. (Only occurs
when fetching target from memory.)
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same as 64-bit mode exceptions.
6 4 -B it Mo d e E x ce p t io n s
#GP(0) If a memory address is non-canonical.
If target offset in destination operand is non-canonical.
If target offset in destination operand is beyond the new code segment limit.
If the segment selector in the destination operand is NULL.
If the code segment selector in the 64-bit gate is NULL.
#GP(selector) If the code segment or 64-bit call gate is outside descriptor table limits.
If the code segment or 64-bit call gate overlaps non-canonical space.
If the segment descriptor from a 64-bit call gate is in non-canonical space.
If the segment descriptor pointed to by the segment selector in the destination operand is not
for a conforming-code segment, nonconforming-code segment, 64-bit call gate.
If the segment descriptor pointed to by the segment selector in the destination operand is a
code segment, and has both the D-bit and the L-bit set.
If the DPL for a nonconforming-code segment is not equal to the CPL, or the RPL for the
segments segment selector is greater than the CPL.
If the DPL for a conforming-code segment is greater than the CPL.
If the DPL from a 64-bit call-gate is less than the CPL or than the RPL of the 64-bit call-gate.
If the upper type field of a 64-bit call gate is not 0x0.
If the segment selector from a 64-bit call gate is beyond the descriptor table limits.
If the code segment descriptor pointed to by the selector in the 64-bit gate doesn't have the L-
bit set and the D-bit clear.
If the segment descriptor for a segment selector from the 64-bit call gate does not indicate it
is a code segment.
JMPJump
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-439
If the code segment is non-confirming and CPL DPL.
If the code segment is confirming and CPL < DPL.
#NP(selector) If a code segment or 64-bit call gate is not present.
#UD (64-bit mode only) If a far jump is direct to an absolute address in memory.
If the LOCK prefix is used.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
LAHFLoad Status Flags into AH Register
INSTRUCTION SET REFERENCE, A-M
3-440 Vol. 2A
LAH F Lo a d S t a t u s F la g s in t o AH R e g ist e r
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
This instruction executes as described above in compatibility mode and legacy mode. It is valid in 64-bit mode only
if CPUID.80000001H:ECX.LAHF-SAHF[bit 0] = 1.
O p e ra t io n
IF 64-Bit Mode
THEN
IF CPUID.80000001H:ECX.LAHF-SAHF[bit 0] =1;
THEN AH RFLAGS(SF:ZF:0:AF:0:PF:1:CF);
ELSE #UD;
FI;
ELSE
AH EFLAGS(SF:ZF:0:AF:0:PF:1:CF);
FI;
F la g s Af fe ct e d
None. The state of the flags in the EFLAGS register is not affected.
P ro t e ct e d Mo d e E x ce p t io n s
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as in protected mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#UD If CPUID.80000001H:ECX.LAHF-SAHF[bit 0] = 0.
If the LOCK prefix is used.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
9F LAHF NP Invalid* Valid Load: AH EFLAGS(SF:ZF:0:AF:0:PF:1:CF).
N O T E S :
*Valid in specific steppings. See Description section.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
LARLoad Access Rights Byte
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-441
LAR Lo a d Acce ss R ig h t s B y t e
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Loads the access rights from the segment descriptor specified by the second operand (source operand) into the
first operand (destination operand) and sets the ZF flag in the flag register. The source operand (which can be a
register or a memory location) contains the segment selector for the segment descriptor being accessed. If the
source operand is a memory address, only 16 bits of data are accessed. The destination operand is a general-
purpose register.
The processor performs access checks as part of the loading process. Once loaded in the destination register, soft-
ware can perform additional checks on the access rights information.
The access rights for a segment descriptor include fields located in the second doubleword (bytes 47) of the
segment descriptor. The following fields are loaded by the LAR instruction:
Bits 7:0 are returned as 0
Bits 11:8 return the segment type.
Bit 12 returns the S flag.
Bits 14:13 return the DPL.
Bit 15 returns the P flag.
The following fields are returned only if the operand size is greater than 16 bits:
Bits 19:16 are undefined.
Bit 20 returns the software-available bit in the descriptor.
Bit 21 returns the L flag.
Bit 22 returns the D/B flag.
Bit 23 returns the G flag.
Bits 31:24 are returned as 0.
This instruction performs the following checks before it loads the access rights in the destination register:
Checks that the segment selector is not NULL.
Checks that the segment selector points to a descriptor that is within the limits of the GDT or LDT being
accessed
Checks that the descriptor type is valid for this instruction. All code and data segment descriptors are valid for
(can be accessed with) the LAR instruction. The valid system segment and gate descriptor types are given in
Table 3-62.
If the segment is not a conforming code segment, it checks that the specified segment descriptor is visible at
the CPL (that is, if the CPL and the RPL of the segment selector are less than or equal to the DPL of the segment
selector).
If the segment descriptor cannot be accessed or is an invalid type for the instruction, the ZF flag is cleared and no
access rights are loaded in the destination operand.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 02 /r LAR r16, r16/m16 RM Valid Valid r16 access rights referenced by r16/m16
0F 02 /r LAR reg, r32/m16
1
RM Valid Valid reg access rights referenced by r32/m16
N O T E S :
1. For all loads (regardless of source or destination sizing) only bits 16-0 are used. Other bits are ignored.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
LARLoad Access Rights Byte
INSTRUCTION SET REFERENCE, A-M
3-442 Vol. 2A
The LAR instruction can only be executed in protected mode and IA-32e mode.
O p e ra t io n
IF Offset(SRC) > descriptor table limit
THEN
ZF 0;
ELSE
SegmentDescriptor descriptor referenced by SRC;
IF SegmentDescriptor(Type) conforming code segment
and (CPL > DPL) or (RPL > DPL)
or SegmentDescriptor(Type) is not valid for instruction
THEN
ZF 0;
ELSE
DEST access rights from SegmentDescriptor as given in Description section;
ZF 1;
FI;
FI;
F la g s Af fe ct e d
The ZF flag is set to 1 if the access rights are loaded successfully; otherwise, it is cleared to 0.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register is used to access memory and it contains a NULL segment
selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
Ta b le 3 -6 2 . S e g m e n t a n d G a t e T y p e s
T y p e P ro t e ct e d Mo d e I A-3 2 e Mo d e
N a m e Va lid N a m e Va lid
0 Reserved No Reserved No
1 Available 16-bit TSS Yes Reserved No
2 LDT Yes LDT No
3 Busy 16-bit TSS Yes Reserved No
4 16-bit call gate Yes Reserved No
5 16-bit/32-bit task gate Yes Reserved No
6 16-bit interrupt gate No Reserved No
7 16-bit trap gate No Reserved No
8 Reserved No Reserved No
9 Available 32-bit TSS Yes Available 64-bit TSS Yes
A Reserved No Reserved No
B Busy 32-bit TSS Yes Busy 64-bit TSS Yes
C 32-bit call gate Yes 64-bit call gate Yes
D Reserved No Reserved No
E 32-bit interrupt gate No 64-bit interrupt gate No
F 32-bit trap gate No 64-bit trap gate No
LARLoad Access Rights Byte
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-443
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and the memory operand effective address is unaligned while
the current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#UD The LAR instruction is not recognized in real-address mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#UD The LAR instruction cannot be executed in virtual-8086 mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If the memory operand effective address referencing the SS segment is in a non-canonical
form.
#GP(0) If the memory operand effective address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and the memory operand effective address is unaligned while
the current privilege level is 3.
#UD If the LOCK prefix is used.
LDDQULoad Unaligned Integer 128 Bits
INSTRUCTION SET REFERENCE, A-M
3-444 Vol. 2A
LD D Q U Lo a d U n a lig n e d I n t e g e r 1 2 8 B it s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
The instruction is functionally similar to (V)MOVDQU ymm/xmm, m256/m128 for loading from memory. That is:
32/16 bytes of data starting at an address specified by the source memory operand (second operand) are fetched
from memory and placed in a destination register (first operand). The source operand need not be aligned on a
32/16-byte boundary. Up to 64/32 bytes may be loaded from memory; this is implementation dependent.
This instruction may improve performance relative to (V)MOVDQU if the source operand crosses a cache line
boundary. In situations that require the data loaded by (V)LDDQU be modified and stored to the same location, use
(V)MOVDQU or (V)MOVDQA instead of (V)LDDQU. To move a double quadword to or from memory locations that
are known to be aligned on 16-byte boundaries, use the (V)MOVDQA instruction.
I m p le m e n t a t io n N o t e s
If the source is aligned to a 32/16-byte boundary, based on the implementation, the 32/16 bytes may be
loaded more than once. For that reason, the usage of (V)LDDQU should be avoided when using uncached or
write-combining (WC) memory regions. For uncached or WC memory regions, keep using (V)MOVDQU.
This instruction is a replacement for (V)MOVDQU (load) in situations where cache line splits significantly affect
performance. It should not be used in situations where store-load forwarding is performance critical. If
performance of store-load forwarding is critical to the application, use (V)MOVDQA store-load pairs when data
is 256/128-bit aligned or (V)MOVDQU store-load pairs when data is 256/128-bit unaligned.
If the memory address is not aligned on 32/16-byte boundary, some implementations may load up to 64/32
bytes and return 32/16 bytes in the destination. Some processor implementations may issue multiple loads to
access the appropriate 32/16 bytes. Developers of multi-threaded or multi-processor software should be aware
that on these processors the loads will be performed in a non-atomic way.
If alignment checking is enabled (CR0.AM = 1, RFLAGS.AC = 1, and CPL = 3), an alignment-check exception
(#AC) may or may not be generated (depending on processor implementation) when the memory address is
not aligned on an 8-byte boundary.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b otherwise instructions will #UD.
O p e ra t io n
LD D Q U (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[127:0] SRC[127:0]
DEST[VLMAX-1:128] (Unmodified)
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
F2 0F F0 /r
LDDQU xmm1, mem
RM V/V SSE3 Load unaligned data frommem and return
double quadword in xmm1.
VEX.128.F2.0F.WIG F0 /r
VLDDQU xmm1, m128
RM V/V AVX Load unaligned packed integer values from
mem to xmm1.
VEX.256.F2.0F.WIG F0 /r
VLDDQU ymm1, m256
RM V/V AVX Load unaligned packed integer values from
mem to ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
LDDQULoad Unaligned Integer 128 Bits
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-445
VLD D Q U (VE X.1 2 8 e n co d e d v e rsio n )
DEST[127:0] SRC[127:0]
DEST[VLMAX-1:128] 0
VLD D Q U (VE X.2 5 6 e n co d e d v e rsio n )
DEST[255:0] SRC[255:0]
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
LDDQU: __m128i _mm_lddqu_si128 (__m128i * p);
LDDQU: __m256i _mm256_lddqu_si256 (__m256i * p);
N u m e ric E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4;
Note treatment of #AC varies.
LDMXCSRLoad MXCSR Register
INSTRUCTION SET REFERENCE, A-M
3-446 Vol. 2A
LD MXC S R Lo a d MXC S R R e g ist e r
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Loads the source operand into the MXCSR control/status register. The source operand is a 32-bit memory location.
See MXCSR Control and Status Register in Chapter 10, of the Intel 64 and IA-32 Architectures Software Devel-
opers Manual, Volume 1, for a description of the MXCSR register and its contents.
The LDMXCSR instruction is typically used in conjunction with the (V)STMXCSR instruction, which stores the
contents of the MXCSR register in memory.
The default MXCSR value at reset is 1F80H.
If a (V)LDMXCSR instruction clears a SIMD floating-point exception mask bit and sets the corresponding exception
flag bit, a SIMD floating-point exception will not be immediately generated. The exception will be generated only
upon the execution of the next instruction that meets both conditions below:
the instruction must operate on an XMM or YMM register operand,
the instruction causes that particular SIMD floating-point exception to be reported.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
If VLDMXCSR is encoded with VEX.L= 1, an attempt to execute the instruction encoded with VEX.L= 1 will cause an
#UD exception.
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b, otherwise instructions will #UD.
O p e ra t io n
MXCSR m32;
C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
_mm_setcsr(unsigned int i)
N u m e ric E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 5; additionally
#GP For an attempt to set reserved bits in MXCSR.
#UD If VEX.vvvv != 1111B.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F,AE,/2
LDMXCSR m32
M V/V SSE Load MXCSR register fromm32.
VEX.LZ.0F.WIG AE /2
VLDMXCSR m32
M V/V AVX Load MXCSR register fromm32.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
M ModRM:r/m (r) NA NA NA
LDS/LES/LFS/LGS/LSSLoad Far Pointer
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-447
LD S /LE S /LF S /LG S /LS S Lo a d Fa r P o in t e r
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Loads a far pointer (segment selector and offset) from the second operand (source operand) into a segment
register and the first operand (destination operand). The source operand specifies a 48-bit or a 32-bit pointer in
memory depending on the current setting of the operand-size attribute (32 bits or 16 bits, respectively). The
instruction opcode and the destination operand specify a segment register/general-purpose register pair. The 16-
bit segment selector from the source operand is loaded into the segment register specified with the opcode (DS,
SS, ES, FS, or GS). The 32-bit or 16-bit offset is loaded into the register specified with the destination operand.
If one of these instructions is executed in protected mode, additional information from the segment descriptor
pointed to by the segment selector in the source operand is loaded in the hidden part of the selected segment
register.
Also in protected mode, a NULL selector (values 0000 through 0003) can be loaded into DS, ES, FS, or GS registers
without causing a protection exception. (Any subsequent reference to a segment whose corresponding segment
register is loaded with a NULL selector, causes a general-protection exception (#GP) and no memory reference to
the segment occurs.)
In 64-bit mode, the instructions default operation size is 32 bits. Using a REX prefix in the form of REX.W promotes
operation to specify a source operand referencing an 80-bit pointer (16-bit selector, 64-bit offset) in memory.
Using a REX prefix in the form of REX.R permits access to additional registers (R8-R15). See the summary chart at
the beginning of this section for encoding data and limits.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
C5 /r LDS r16,m16:16 RM Invalid Valid Load DS:r16 with far pointer from memory.
C5 /r LDS r32,m16:32 RM Invalid Valid Load DS:r32 with far pointer from memory.
0F B2 /r LSS r16,m16:16 RM Valid Valid Load SS:r16 with far pointer from memory.
0F B2 /r LSS r32,m16:32 RM Valid Valid Load SS:r32 with far pointer from memory.
REX +0F B2 /r LSS r64,m16:64 RM Valid N.E. Load SS:r64 with far pointer from memory.
C4 /r LES r16,m16:16 RM Invalid Valid Load ES:r16 with far pointer from memory.
C4 /r LES r32,m16:32 RM Invalid Valid Load ES:r32 with far pointer from memory.
0F B4 /r LFS r16,m16:16 RM Valid Valid Load FS:r16 with far pointer from memory.
0F B4 /r LFS r32,m16:32 RM Valid Valid Load FS:r32 with far pointer from memory.
REX +0F B4 /r LFS r64,m16:64 RM Valid N.E. Load FS:r64 with far pointer from memory.
0F B5 /r LGS r16,m16:16 RM Valid Valid Load GS:r16 with far pointer from memory.
0F B5 /r LGS r32,m16:32 RM Valid Valid Load GS:r32 with far pointer from memory.
REX +0F B5 /r LGS r64,m16:64 RM Valid N.E. Load GS:r64 with far pointer from memory.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
LDS/LES/LFS/LGS/LSSLoad Far Pointer
INSTRUCTION SET REFERENCE, A-M
3-448 Vol. 2A
O p e ra t io n
64-BIT_MODE
IF SS is loaded
THEN
IF SegmentSelector = NULL and ( (RPL =3) or
(RPL 3 and RPL CPL) )
THEN #GP(0);
ELSE IF descriptor is in non-canonical space
THEN #GP(0); FI;
ELSE IF Segment selector index is not within descriptor table limits
or segment selector RPL CPL
or access rights indicate nonwritable data segment
or DPL CPL
THEN #GP(selector); FI;
ELSE IF Segment marked not present
THEN #SS(selector); FI;
FI;
SS SegmentSelector(SRC);
SS SegmentDescriptor([SRC]);
ELSE IF attempt to load DS, or ES
THEN #UD;
ELSE IF FS, or GS is loaded with non-NULL segment selector
THEN IF Segment selector index is not within descriptor table limits
or access rights indicate segment neither data nor readable code segment
or segment is data or nonconforming-code segment
and ( RPL >DPL or CPL > DPL)
THEN #GP(selector); FI;
ELSE IF Segment marked not present
THEN #NP(selector); FI;
FI;
SegmentRegister SegmentSelector(SRC) ;
SegmentRegister SegmentDescriptor([SRC]);
FI;
ELSE IF FS, or GS is loaded with a NULL selector:
THEN
SegmentRegister NULLSelector;
SegmentRegister(DescriptorValidBit) 0; FI; (* Hidden flag;
not accessible by software *)
FI;
DEST Offset(SRC);
PREOTECTED MODE OR COMPATIBILITY MODE;
IF SS is loaded
THEN
IF SegementSelector = NULL
THEN #GP(0);
ELSE IF Segment selector index is not within descriptor table limits
or segment selector RPL CPL
or access rights indicate nonwritable data segment
or DPL CPL
THEN #GP(selector); FI;
ELSE IF Segment marked not present
THEN #SS(selector); FI;
FI;
LDS/LES/LFS/LGS/LSSLoad Far Pointer
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-449
SS SegmentSelector(SRC);
SS SegmentDescriptor([SRC]);
ELSE IF DS, ES, FS, or GS is loaded with non-NULL segment selector
THEN IF Segment selector index is not within descriptor table limits
or access rights indicate segment neither data nor readable code segment
or segment is data or nonconforming-code segment
and (RPL >DPL or CPL > DPL)
THEN #GP(selector); FI;
ELSE IF Segment marked not present
THEN #NP(selector); FI;
FI;
SegmentRegister SegmentSelector(SRC) AND RPL;
SegmentRegister SegmentDescriptor([SRC]);
FI;
ELSE IF DS, ES, FS, or GS is loaded with a NULL selector:
THEN
SegmentRegister NULLSelector;
SegmentRegister(DescriptorValidBit) 0; FI; (* Hidden flag;
not accessible by software *)
FI;
DEST Offset(SRC);
Real-Address or Virtual-8086 Mode
SegmentRegister SegmentSelector(SRC); FI;
DEST Offset(SRC);
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#UD If source operand is not a memory location.
If the LOCK prefix is used.
#GP(0) If a NULL selector is loaded into the SS register.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register is used to access memory and it contains a NULL segment
selector.
#GP(selector) If the SS register is being loaded and any of the following is true: the segment selector index
is not within the descriptor table limits, the segment selector RPL is not equal to CPL, the
segment is a non-writable data segment, or DPL is not equal to CPL.
If the DS, ES, FS, or GS register is being loaded with a non-NULL segment selector and any of
the following is true: the segment selector index is not within descriptor table limits, the
segment is neither a data nor a readable code segment, or the segment is a data or noncon-
forming-code segment and both RPL and CPL are greater than DPL.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#SS(selector) If the SS register is being loaded and the segment is marked not present.
#NP(selector) If DS, ES, FS, or GS register is being loaded with a non-NULL segment selector and the
segment is marked not present.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
LDS/LES/LFS/LGS/LSSLoad Far Pointer
INSTRUCTION SET REFERENCE, A-M
3-450 Vol. 2A
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If source operand is not a memory location.
If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#UD If source operand is not a memory location.
If the LOCK prefix is used.
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#GP(0) If the memory address is in a non-canonical form.
If a NULL selector is attempted to be loaded into the SS register in compatibility mode.
If a NULL selector is attempted to be loaded into the SS register in CPL3 and 64-bit mode.
If a NULL selector is attempted to be loaded into the SS register in non-CPL3 and 64-bit mode
where its RPL is not equal to CPL.
#GP(Selector) If the FS, or GS register is being loaded with a non-NULL segment selector and any of the
following is true: the segment selector index is not within descriptor table limits, the memory
address of the descriptor is non-canonical, the segment is neither a data nor a readable code
segment, or the segment is a data or nonconforming-code segment and both RPL and CPL are
greater than DPL.
If the SS register is being loaded and any of the following is true: the segment selector index
is not within the descriptor table limits, the memory address of the descriptor is non-canonical,
the segment selector RPL is not equal to CPL, the segment is a nonwritable data segment, or
DPL is not equal to CPL.
#SS(0) If a memory operand effective address is non-canonical
#SS(Selector) If the SS register is being loaded and the segment is marked not present.
#NP(selector) If FS, or GS register is being loaded with a non-NULL segment selector and the segment is
marked not present.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If source operand is not a memory location.
If the LOCK prefix is used.
LEALoad Effective Address
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-451
LE ALo a d E ffe ct iv e Ad d re ss
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Computes the effective address of the second operand (the source operand) and stores it in the first operand
(destination operand). The source operand is a memory address (offset part) specified with one of the processors
addressing modes; the destination operand is a general-purpose register. The address-size and operand-size
attributes affect the action performed by this instruction, as shown in the following table. The operand-size
attribute of the instruction is determined by the chosen register; the address-size attribute is determined by the
attribute of the code segment.
Different assemblers may use different algorithms based on the size attribute and symbolic reference of the source
operand.
In 64-bit mode, the instructions destination operand is governed by operand size attribute, the default operand
size is 32 bits. Address calculation is governed by address size attribute, the default address size is 64-bits. In 64-
bit mode, address size of 16 bits is not encodable. See Table 3-64.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
8D /r LEA r16,m RM Valid Valid Store effective address for m in register r16.
8D /r LEA r32,m RM Valid Valid Store effective address for m in register r32.
REX.W +8D /r LEA r64,m RM Valid N.E. Store effective address for m in register r64.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m(r) NA NA
Ta b le 3 -6 3 . N o n -6 4 -b it Mo d e LE A O p e ra t io n w it h Ad d re ss a n d O p e ra n d S ize At t rib u t e s
O p e ra n d S ize Ad d re ss S ize Act io n P e rfo rm e d
16 16 16-bit effective address is calculated and stored in requested 16-bit register destination.
16 32 32-bit effective address is calculated. The lower 16 bits of the address are stored in the
requested 16-bit register destination.
32 16 16-bit effective address is calculated. The 16-bit address is zero-extended and stored in the
requested 32-bit register destination.
32 32 32-bit effective address is calculated and stored in the requested 32-bit register destination.
Ta b le 3 -6 4 . 6 4 -b it Mo d e LE A O p e ra t io n w it h Ad d re ss a n d O p e ra n d S ize At t rib u t e s
O p e ra n d S ize Ad d re ss S ize Act io n P e rfo rm e d
16 32 32-bit effective address is calculated (using 67H prefix). The lower 16 bits of the address are
stored in the requested 16-bit register destination (using 66H prefix).
16 64 64-bit effective address is calculated (default address size). The lower 16 bits of the address
are stored in the requested 16-bit register destination (using 66H prefix).
32 32 32-bit effective address is calculated (using 67H prefix) and stored in the requested 32-bit
register destination.
32 64 64-bit effective address is calculated (default address size) and the lower 32 bits of the
address are stored in the requested 32-bit register destination.
64 32 32-bit effective address is calculated (using 67H prefix), zero-extended to 64-bits, and stored
in the requested 64-bit register destination (using REX.W).
64 64 64-bit effective address is calculated (default address size) and all 64-bits of the address are
stored in the requested 64-bit register destination (using REX.W).
LEALoad Effective Address
INSTRUCTION SET REFERENCE, A-M
3-452 Vol. 2A
O p e ra t io n
IF OperandSize = 16 and AddressSize = 16
THEN
DEST EffectiveAddress(SRC); (* 16-bit address *)
ELSE IF OperandSize = 16 and AddressSize = 32
THEN
temp EffectiveAddress(SRC); (* 32-bit address *)
DEST temp[0:15]; (* 16-bit address *)
FI;
ELSE IF OperandSize = 32 and AddressSize = 16
THEN
temp EffectiveAddress(SRC); (* 16-bit address *)
DEST ZeroExtend(temp); (* 32-bit address *)
FI;
ELSE IF OperandSize = 32 and AddressSize = 32
THEN
DEST EffectiveAddress(SRC); (* 32-bit address *)
FI;
ELSE IF OperandSize = 16 and AddressSize = 64
THEN
temp EffectiveAddress(SRC); (* 64-bit address *)
DEST temp[0:15]; (* 16-bit address *)
FI;
ELSE IF OperandSize = 32 and AddressSize = 64
THEN
temp EffectiveAddress(SRC); (* 64-bit address *)
DEST temp[0:31]; (* 16-bit address *)
FI;
ELSE IF OperandSize = 64 and AddressSize = 64
THEN
DEST EffectiveAddress(SRC); (* 64-bit address *)
FI;
FI;
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#UD If source operand is not a memory location.
If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as in protected mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
LEALoad Effective Address
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-453
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
LEAVEHigh Level Procedure Exit
INSTRUCTION SET REFERENCE, A-M
3-454 Vol. 2A
LE AVE H ig h Le v e l P ro ce d u re E x it
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Releases the stack frame set up by an earlier ENTER instruction. The LEAVE instruction copies the frame pointer (in
the EBP register) into the stack pointer register (ESP), which releases the stack space allocated to the stack frame.
The old frame pointer (the frame pointer for the calling procedure that was saved by the ENTER instruction) is then
popped from the stack into the EBP register, restoring the calling procedures stack frame.
A RET instruction is commonly executed following a LEAVE instruction to return program control to the calling
procedure.
See Procedure Calls for Block-Structured Languages in Chapter 7 of the Intel 64 and IA-32 Architectures Soft-
ware Developers Manual, Volume 1, for detailed information on the use of the ENTER and LEAVE instructions.
In 64-bit mode, the instructions default operation size is 64 bits; 32-bit operation cannot be encoded. See the
summary chart at the beginning of this section for encoding data and limits.
O p e ra t io n
IF StackAddressSize = 32
THEN
ESP EBP;
ELSE IF StackAddressSize = 64
THEN RSP RBP; FI;
ELSE IF StackAddressSize = 16
THEN SP BP; FI;
FI;
IF OperandSize = 32
THEN EBP Pop();
ELSE IF OperandSize = 64
THEN RBP Pop(); FI;
ELSE IF OperandSize = 16
THEN BP Pop(); FI;
FI;
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#SS(0) If the EBP register points to a location that is not within the limits of the current stack
segment.
#PF(fault-code) If a page fault occurs.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
C9 LEAVE NP Valid Valid Set SP to BP, then pop BP.
C9 LEAVE NP N.E. Valid Set ESP to EBP, then pop EBP.
C9 LEAVE NP Valid N.E. Set RSP to RBP, then pop RBP.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
LEAVEHigh Level Procedure Exit
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-455
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If the EBP register points to a location outside of the effective address space from 0 to FFFFH.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If the EBP register points to a location outside of the effective address space from 0 to FFFFH.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If the stack address is in a non-canonical form.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
LFENCELoad Fence
INSTRUCTION SET REFERENCE, A-M
3-456 Vol. 2A
LF E N C E Lo a d Fe n ce
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a serializing operation on all load-from-memory instructions that were issued prior the LFENCE instruc-
tion. Specifically, LFENCE does not execute until all prior instructions have completed locally, and no later instruc-
tion begins execution until LFENCE completes. In particular, an instruction that loads from memory and that
precedes an LFENCE receives data from memory prior to completion of the LFENCE. (An LFENCE that follows an
instruction that stores to memory might complete before the data being stored have become globally visible.)
Instructions following an LFENCE may be fetched from memory before the LFENCE, but they will not execute until
the LFENCE completes.
Weakly ordered memory types can be used to achieve higher processor performance through such techniques as
out-of-order issue and speculative reads. The degree to which a consumer of data recognizes or knows that the
data is weakly ordered varies among applications and may be unknown to the producer of this data. The LFENCE
instruction provides a performance-efficient way of ensuring load ordering between routines that produce weakly-
ordered results and routines that consume that data.
Processors are free to fetch and cache data speculatively from regions of system memory that use the WB, WC, and
WT memory types. This speculative fetching can occur at any time and is not tied to instruction execution. Thus, it
is not ordered with respect to executions of the LFENCE instruction; data can be brought into the caches specula-
tively just before, during, or after the execution of an LFENCE instruction.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
Wait_On_Following_Instructions_Until(preceding_instructions_complete);
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
void _mm_lfence(void)
E x ce p t io n s (All Mo d e s o f O p e ra t io n )
#UD If CPUID.01H:EDX.SSE2[bit 26] = 0.
If the LOCK prefix is used.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F AE /5 LFENCE NP Valid Valid Serializes load operations.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
LGDT/LIDTLoad Global/Interrupt Descriptor Table Register
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-457
LG D T/LI D T Lo a d G lo b a l/I n t e rru p t D e scrip t o r Ta b le R e g ist e r
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Loads the values in the source operand into the global descriptor table register (GDTR) or the interrupt descriptor
table register (IDTR). The source operand specifies a 6-byte memory location that contains the base address (a
linear address) and the limit (size of table in bytes) of the global descriptor table (GDT) or the interrupt descriptor
table (IDT). If operand-size attribute is 32 bits, a 16-bit limit (lower 2 bytes of the 6-byte data operand) and a 32-
bit base address (upper 4 bytes of the data operand) are loaded into the register. If the operand-size attribute
is 16 bits, a 16-bit limit (lower 2 bytes) and a 24-bit base address (third, fourth, and fifth byte) are loaded. Here,
the high-order byte of the operand is not used and the high-order byte of the base address in the GDTR or IDTR is
filled with zeros.
The LGDT and LIDT instructions are used only in operating-system software; they are not used in application
programs. They are the only instructions that directly load a linear address (that is, not a segment-relative
address) and a limit in protected mode. They are commonly executed in real-address mode to allow processor
initialization prior to switching to protected mode.
In 64-bit mode, the instructions operand size is fixed at 8+2 bytes (an 8-byte base and a 2-byte limit). See the
summary chart at the beginning of this section for encoding data and limits.
See SGDTStore Global Descriptor Table Register in Chapter 4, Intel 64 and IA-32 Architectures Software
Developers Manual, Volume 2B, for information on storing the contents of the GDTR and IDTR.
O p e ra t io n
IF Instruction is LIDT
THEN
IF OperandSize = 16
THEN
IDTR(Limit) SRC[0:15];
IDTR(Base) SRC[16:47] AND 00FFFFFFH;
ELSE IF 32-bit Operand Size
THEN
IDTR(Limit) SRC[0:15];
IDTR(Base) SRC[16:47];
FI;
ELSE IF 64-bit Operand Size (* In 64-Bit Mode *)
THEN
IDTR(Limit) SRC[0:15];
IDTR(Base) SRC[16:79];
FI;
FI;
ELSE (* Instruction is LGDT *)
IF OperandSize = 16
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 01 /2 LGDT m16&32 M N.E. Valid Load m into GDTR.
0F 01 /3 LIDT m16&32 M N.E. Valid Load m into IDTR.
0F 01 /2 LGDT m16&64 M Valid N.E. Load m into GDTR.
0F 01 /3 LIDT m16&64 M Valid N.E. Load m into IDTR.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
M ModRM:r/m(r) NA NA NA
LGDT/LIDTLoad Global/Interrupt Descriptor Table Register
INSTRUCTION SET REFERENCE, A-M
3-458 Vol. 2A
THEN
GDTR(Limit) SRC[0:15];
GDTR(Base) SRC[16:47] AND 00FFFFFFH;
ELSE IF 32-bit Operand Size
THEN
GDTR(Limit) SRC[0:15];
GDTR(Base) SRC[16:47];
FI;
ELSE IF 64-bit Operand Size (* In 64-Bit Mode *)
THEN
GDTR(Limit) SRC[0:15];
GDTR(Base) SRC[16:79];
FI;
FI;
FI;
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#UD If source operand is not a memory location.
If the LOCK prefix is used.
#GP(0) If the current privilege level is not 0.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register is used to access memory and it contains a NULL segment
selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#UD If source operand is not a memory location.
If the LOCK prefix is used.
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#UD If source operand is not a memory location.
If the LOCK prefix is used.
#GP(0) The LGDT and LIDT instructions are not recognized in virtual-8086 mode.
#GP If the current privilege level is not 0.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
LGDT/LIDTLoad Global/Interrupt Descriptor Table Register
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-459
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the current privilege level is not 0.
If the memory address is in a non-canonical form.
#UD If source operand is not a memory location.
If the LOCK prefix is used.
#PF(fault-code) If a page fault occurs.
LLDTLoad Local Descriptor Table Register
INSTRUCTION SET REFERENCE, A-M
3-460 Vol. 2A
LLD T Lo a d Lo ca l D e scrip t o r Ta b le R e g ist e r
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Loads the source operand into the segment selector field of the local descriptor table register (LDTR). The source
operand (a general-purpose register or a memory location) contains a segment selector that points to a local
descriptor table (LDT). After the segment selector is loaded in the LDTR, the processor uses the segment selector
to locate the segment descriptor for the LDT in the global descriptor table (GDT). It then loads the segment limit
and base address for the LDT from the segment descriptor into the LDTR. The segment registers DS, ES, SS, FS,
GS, and CS are not affected by this instruction, nor is the LDTR field in the task state segment (TSS) for the current
task.
If bits 2-15 of the source operand are 0, LDTR is marked invalid and the LLDT instruction completes silently.
However, all subsequent references to descriptors in the LDT (except by the LAR, VERR, VERW or LSL instructions)
cause a general protection exception (#GP).
The operand-size attribute has no effect on this instruction.
The LLDT instruction is provided for use in operating-system software; it should not be used in application
programs. This instruction can only be executed in protected mode or 64-bit mode.
In 64-bit mode, the operand size is fixed at 16 bits.
O p e ra t io n
IF SRC(Offset) > descriptor table limit
THEN #GP(segment selector); FI;
IF segment selector is valid
Read segment descriptor;
IF SegmentDescriptor(Type) LDT
THEN #GP(segment selector); FI;
IF segment descriptor is not present
THEN #NP(segment selector); FI;
LDTR(SegmentSelector) SRC;
LDTR(SegmentDescriptor) GDTSegmentDescriptor;
ELSE LDTR INVALID
FI;
F la g s Af fe ct e d
None.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 00 /2 LLDT r/m16 M Valid Valid Load segment selector r/m16 into LDTR.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
M ModRM:r/m (r) NA NA NA
LLDTLoad Local Descriptor Table Register
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-461
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the current privilege level is not 0.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#GP(selector) If the selector operand does not point into the Global Descriptor Table or if the entry in the
GDT is not a Local Descriptor Table.
Segment selector is beyond GDT limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#NP(selector) If the LDT descriptor is not present.
#PF(fault-code) If a page fault occurs.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#UD The LLDT instruction is not recognized in real-address mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#UD The LLDT instruction is not recognized in virtual-8086 mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the current privilege level is not 0.
If the memory address is in a non-canonical form.
#GP(selector) If the selector operand does not point into the Global Descriptor Table or if the entry in the
GDT is not a Local Descriptor Table.
Segment selector is beyond GDT limit.
#NP(selector) If the LDT descriptor is not present.
#PF(fault-code) If a page fault occurs.
#UD If the LOCK prefix is used.
LMSWLoad Machine Status Word
INSTRUCTION SET REFERENCE, A-M
3-462 Vol. 2A
LMS WLo a d Ma ch in e S t a t u s Wo rd
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Loads the source operand into the machine status word, bits 0 through 15 of register CR0. The source operand can
be a 16-bit general-purpose register or a memory location. Only the low-order 4 bits of the source operand (which
contains the PE, MP, EM, and TS flags) are loaded into CR0. The PG, CD, NW, AM, WP, NE, and ET flags of CR0 are
not affected. The operand-size attribute has no effect on this instruction.
If the PE flag of the source operand (bit 0) is set to 1, the instruction causes the processor to switch to protected
mode. While in protected mode, the LMSW instruction cannot be used to clear the PE flag and force a switch back
to real-address mode.
The LMSW instruction is provided for use in operating-system software; it should not be used in application
programs. In protected or virtual-8086 mode, it can only be executed at CPL 0.
This instruction is provided for compatibility with the Intel 286 processor; programs and procedures intended to
run on the Pentium 4, Intel Xeon, P6 family, Pentium, Intel486, and Intel386 processors should use the MOV
(control registers) instruction to load the whole CR0 register. The MOV CR0 instruction can be used to set and clear
the PE flag in CR0, allowing a procedure or program to switch between protected and real-address modes.
This instruction is a serializing instruction.
This instructions operation is the same in non-64-bit modes and 64-bit mode. Note that the operand size is fixed
at 16 bits.
See Changes to Instruction Behavior in VMX Non-Root Operation in Chapter 25 of the Intel 64 and IA-32 Archi-
tectures Software Developers Manual, Volume 3C, for more information about the behavior of this instruction in
VMX non-root operation.
O p e ra t io n
CR0[0:3] SRC[0:3];
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the current privilege level is not 0.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register is used to access memory and it contains a NULL segment
selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#UD If the LOCK prefix is used.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 01 /6 LMSW r/m16 M Valid Valid Loads r/m16 in machine status word of CR0.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
M ModRM:r/m(r) NA NA NA
LMSWLoad Machine Status Word
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-463
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the current privilege level is not 0.
If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#UD If the LOCK prefix is used.
LOCKAssert LOCK# Signal Prefix
INSTRUCTION SET REFERENCE, A-M
3-464 Vol. 2A
LO C K Asse rt LO C K # S ig n a l P re fix
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Causes the processors LOCK# signal to be asserted during execution of the accompanying instruction (turns the
instruction into an atomic instruction). In a multiprocessor environment, the LOCK# signal ensures that the
processor has exclusive use of any shared memory while the signal is asserted.
Note that, in later Intel 64 and IA-32 processors (including the Pentium 4, Intel Xeon, and P6 family processors),
locking may occur without the LOCK# signal being asserted. See the IA-32 Architecture Compatibility section
below.
The LOCK prefix can be prepended only to the following instructions and only to those forms of the instructions
where the destination operand is a memory operand: ADD, ADC, AND, BTC, BTR, BTS, CMPXCHG, CMPXCH8B,
CMPXCHG16B, DEC, INC, NEG, NOT, OR, SBB, SUB, XOR, XADD, and XCHG. If the LOCK prefix is used with one of
these instructions and the source operand is a memory operand, an undefined opcode exception (#UD) may be
generated. An undefined opcode exception will also be generated if the LOCK prefix is used with any instruction not
in the above list. The XCHG instruction always asserts the LOCK# signal regardless of the presence or absence of
the LOCK prefix.
The LOCK prefix is typically used with the BTS instruction to perform a read-modify-write operation on a memory
location in shared memory environment.
The integrity of the LOCK prefix is not affected by the alignment of the memory field. Memory locking is observed
for arbitrarily misaligned fields.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
I A-3 2 Arch it e ct u re C o m p a t ib ilit y
Beginning with the P6 family processors, when the LOCK prefix is prefixed to an instruction and the memory area
being accessed is cached internally in the processor, the LOCK# signal is generally not asserted. Instead, only the
processors cache is locked. Here, the processors cache coherency mechanism ensures that the operation is
carried out atomically with regards to memory. See Effects of a Locked Operation on Internal Processor Caches
in Chapter 8 of Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3A, the for more informa-
tion on locking of caches.
O p e ra t io n
AssertLOCK#(DurationOfAccompaningInstruction);
F la g s Af fe ct e d
None.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
F0 LOCK NP Valid Valid Asserts LOCK# signal for duration of the
accompanying instruction.
N O T E S :
* See IA-32 Architecture Compatibility section below.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
LOCKAssert LOCK# Signal Prefix
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-465
P ro t e ct e d Mo d e E x ce p t io n s
#UD If the LOCK prefix is used with an instruction not listed: ADD, ADC, AND, BTC, BTR, BTS,
CMPXCHG, CMPXCH8B, CMPXCHG16B, DEC, INC, NEG, NOT, OR, SBB, SUB, XOR, XADD,
XCHG.
Other exceptions can be generated by the instruction when the LOCK prefix is applied.
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as in protected mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
LODS/LODSB/LODSW/LODSD/LODSQLoad String
INSTRUCTION SET REFERENCE, A-M
3-466 Vol. 2A
LO D S /LO D S B /LO D S W/LO D S D /LO D S Q Lo a d S t rin g
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Loads a byte, word, or doubleword from the source operand into the AL, AX, or EAX register, respectively. The
source operand is a memory location, the address of which is read from the DS:ESI or the DS:SI registers
(depending on the address-size attribute of the instruction, 32 or 16, respectively). The DS segment may be over-
ridden with a segment override prefix.
At the assembly-code level, two forms of this instruction are allowed: the explicit-operands form and the no-
operands form. The explicit-operands form (specified with the LODS mnemonic) allows the source operand to be
specified explicitly. Here, the source operand should be a symbol that indicates the size and location of the source
value. The destination operand is then automatically selected to match the size of the source operand (the AL
register for byte operands, AX for word operands, and EAX for doubleword operands). This explicit-operands form
is provided to allow documentation; however, note that the documentation provided by this form can be
misleading. That is, the source operand symbol must specify the correct type (size) of the operand (byte, word, or
doubleword), but it does not have to specify the correct location. The location is always specified by the DS:(E)SI
registers, which must be loaded correctly before the load string instruction is executed.
The no-operands form provides short forms of the byte, word, and doubleword versions of the LODS instructions.
Here also DS:(E)SI is assumed to be the source operand and the AL, AX, or EAX register is assumed to be the desti-
nation operand. The size of the source and destination operands is selected with the mnemonic: LODSB (byte
loaded into register AL), LODSW (word loaded into AX), or LODSD (doubleword loaded into EAX).
After the byte, word, or doubleword is transferred from the memory location into the AL, AX, or EAX register, the
(E)SI register is incremented or decremented automatically according to the setting of the DF flag in the EFLAGS
register. (If the DF flag is 0, the (E)SI register is incremented; if the DF flag is 1, the ESI register is decremented.)
The (E)SI register is incremented or decremented by 1 for byte operations, by 2 for word operations, or by 4 for
doubleword operations.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
AC LODS m8 NP Valid Valid For legacy mode, Load byte at address DS:(E)SI
into AL. For 64-bit mode load byte at address
(R)SI into AL.
AD LODS m16 NP Valid Valid For legacy mode, Load word at address
DS:(E)SI into AX. For 64-bit mode load word at
address (R)SI into AX.
AD LODS m32 NP Valid Valid For legacy mode, Load dword at address
DS:(E)SI into EAX. For 64-bit mode load dword
at address (R)SI into EAX.
REX.W +AD LODS m64 NP Valid N.E. Load qword at address (R)SI into RAX.
AC LODSB NP Valid Valid For legacy mode, Load byte at address DS:(E)SI
into AL. For 64-bit mode load byte at address
(R)SI into AL.
AD LODSW NP Valid Valid For legacy mode, Load word at address
DS:(E)SI into AX. For 64-bit mode load word at
address (R)SI into AX.
AD LODSD NP Valid Valid For legacy mode, Load dword at address
DS:(E)SI into EAX. For 64-bit mode load dword
at address (R)SI into EAX.
REX.W +AD LODSQ NP Valid N.E. Load qword at address (R)SI into RAX.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
LODS/LODSB/LODSW/LODSD/LODSQLoad String
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-467
In 64-bit mode, use of the REX.W prefix promotes operation to 64 bits. LODS/LODSQ load the quadword at address
(R)SI into RAX. The (R)SI register is then incremented or decremented automatically according to the setting of
the DF flag in the EFLAGS register.
The LODS, LODSB, LODSW, and LODSD instructions can be preceded by the REP prefix for block loads of ECX
bytes, words, or doublewords. More often, however, these instructions are used within a LOOP construct because
further processing of the data moved into the register is usually necessary before the next transfer can be made.
See REP/REPE/REPZ /REPNE/REPNZRepeat String Operation Prefix in Chapter 4 of the Intel 64 and IA-32
Architectures Software Developers Manual, Volume 2B, for a description of the REP prefix.
O p e ra t io n
IF AL SRC; (* Byte load *)
THEN AL SRC; (* Byte load *)
IF DF = 0
THEN (E)SI (E)SI + 1;
ELSE (E)SI (E)SI 1;
FI;
ELSE IF AX SRC; (* Word load *)
THEN IF DF = 0
THEN (E)SI (E)SI + 2;
ELSE (E)SI (E)SI 2;
IF;
FI;
ELSE IF EAX SRC; (* Doubleword load *)
THEN IF DF = 0
THEN (E)SI (E)SI + 4;
ELSE (E)SI (E)SI 4;
FI;
FI;
ELSE IF RAX SRC; (* Quadword load *)
THEN IF DF = 0
THEN (R)SI (R)SI + 8;
ELSE (R)SI (R)SI 8;
FI;
FI;
FI;
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
LODS/LODSB/LODSW/LODSD/LODSQLoad String
INSTRUCTION SET REFERENCE, A-M
3-468 Vol. 2A
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
LOOP/LOOPccLoop According to ECX Counter
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-469
LO O P /LO O P ccLo o p Acco rd in g t o E C X C o u n t e r
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a loop operation using the RCX, ECX or CX register as a counter (depending on whether address size is 64
bits, 32 bits, or 16 bits). Note that the LOOP instruction ignores REX.W; but 64-bit address size can be over-ridden
using a 67H prefix.
Each time the LOOP instruction is executed, the count register is decremented, then checked for 0. If the count is
0, the loop is terminated and program execution continues with the instruction following the LOOP instruction. If
the count is not zero, a near jump is performed to the destination (target) operand, which is presumably the
instruction at the beginning of the loop.
The target instruction is specified with a relative offset (a signed offset relative to the current value of the instruc-
tion pointer in the IP/EIP/RIP register). This offset is generally specified as a label in assembly code, but at the
machine code level, it is encoded as a signed, 8-bit immediate value, which is added to the instruction pointer.
Offsets of 128 to +127 are allowed with this instruction.
Some forms of the loop instruction (LOOPcc) also accept the ZF flag as a condition for terminating the loop before
the count reaches zero. With these forms of the instruction, a condition code (cc) is associated with each instruc-
tion to indicate the condition being tested for. Here, the LOOPcc instruction itself does not affect the state of the ZF
flag; the ZF flag is changed by other instructions in the loop.
O p e ra t io n
IF (AddressSize = 32)
THEN Count is ECX;
ELSE IF (AddressSize =64)
Count is RCX;
ELSE Count is CX;
FI;
Count Count 1;
IF Instruction is not LOOP
THEN
IF (Instruction LOOPE) or (Instruction LOOPZ)
THEN IF (ZF = 1) and (Count 0)
THEN BranchCond 1;
ELSE BranchCond 0;
FI;
ELSE (Instruction = LOOPNE) or (Instruction = LOOPNZ)
IF (ZF = 0 ) and (Count 0)
THEN BranchCond 1;
ELSE BranchCond 0;
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
E2 cb LOOP rel8 D Valid Valid Decrement count; jump short if count 0.
E1 cb LOOPE rel8 D Valid Valid Decrement count; jump short if count 0 and
ZF =1.
E0 cb LOOPNE rel8 D Valid Valid Decrement count; jump short if count 0 and
ZF =0.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
D Offset NA NA NA
LOOP/LOOPccLoop According to ECX Counter
INSTRUCTION SET REFERENCE, A-M
3-470 Vol. 2A
FI;
FI;
ELSE (* Instruction = LOOP *)
IF (Count 0)
THEN BranchCond 1;
ELSE BranchCond 0;
FI;
FI;
IF BranchCond = 1
THEN
IF OperandSize = 32
THEN EIP EIP + SignExtend(DEST);
ELSE IF OperandSize = 64
THEN RIP RIP + SignExtend(DEST);
FI;
ELSE IF OperandSize = 16
THEN EIP EIP AND 0000FFFFH;
FI;
ELSE IF OperandSize = (32 or 64)
THEN IF (R/E)IP < CS.Base or (R/E)IP > CS.Limit
#GP; FI;
FI;
FI;
ELSE
Terminate loop and continue program execution at (R/E)IP;
FI;
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the offset being jumped to is beyond the limits of the CS segment.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If the offset being jumped to is beyond the limits of the CS segment or is outside of the effec-
tive address space from 0 to FFFFH. This condition can occur if a 32-bit address size override
prefix is used.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in real address mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#GP(0) If the offset being jumped to is in a non-canonical form.
#UD If the LOCK prefix is used.
LSLLoad Segment Limit
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-471
LS LLo a d S e g m e n t Lim it
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Loads the unscrambled segment limit from the segment descriptor specified with the second operand (source
operand) into the first operand (destination operand) and sets the ZF flag in the EFLAGS register. The source
operand (which can be a register or a memory location) contains the segment selector for the segment descriptor
being accessed. The destination operand is a general-purpose register.
The processor performs access checks as part of the loading process. Once loaded in the destination register, soft-
ware can compare the segment limit with the offset of a pointer.
The segment limit is a 20-bit value contained in bytes 0 and 1 and in the first 4 bits of byte 6 of the segment
descriptor. If the descriptor has a byte granular segment limit (the granularity flag is set to 0), the destination
operand is loaded with a byte granular value (byte limit). If the descriptor has a page granular segment limit (the
granularity flag is set to 1), the LSL instruction will translate the page granular limit (page limit) into a byte limit
before loading it into the destination operand. The translation is performed by shifting the 20-bit raw limit left 12
bits and filling the low-order 12 bits with 1s.
When the operand size is 32 bits, the 32-bit byte limit is stored in the destination operand. When the operand size
is 16 bits, a valid 32-bit limit is computed; however, the upper 16 bits are truncated and only the low-order 16 bits
are loaded into the destination operand.
This instruction performs the following checks before it loads the segment limit into the destination register:
Checks that the segment selector is not NULL.
Checks that the segment selector points to a descriptor that is within the limits of the GDT or LDT being
accessed
Checks that the descriptor type is valid for this instruction. All code and data segment descriptors are valid for
(can be accessed with) the LSL instruction. The valid special segment and gate descriptor types are given in the
following table.
If the segment is not a conforming code segment, the instruction checks that the specified segment descriptor
is visible at the CPL (that is, if the CPL and the RPL of the segment selector are less than or equal to the DPL of
the segment selector).
If the segment descriptor cannot be accessed or is an invalid type for the instruction, the ZF flag is cleared and no
value is loaded in the destination operand.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 03 /r LSL r16, r16/m16 RM Valid Valid Load: r16 segment limit, selector r16/m16.
0F 03 /r LSL r32, r32/m16
*
RM Valid Valid Load: r32 segment limit, selector r32/m16.
REX.W +0F 03 /r LSL r64, r32/m16
*
RM Valid Valid Load: r64 segment limit, selector r32/m16
N O T E S :
* For all loads (regardless of destination sizing), only bits 16-0 are used. Other bits are ignored.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
LSLLoad Segment Limit
INSTRUCTION SET REFERENCE, A-M
3-472 Vol. 2A
O p e ra t io n
IF SRC(Offset) > descriptor table limit
THEN ZF 0; FI;
Read segment descriptor;
IF SegmentDescriptor(Type) conforming code segment
and (CPL > DPL) OR (RPL > DPL)
or Segment type is not valid for instruction
THEN
ZF 0;
ELSE
temp SegmentLimit([SRC]);
IF (G 1)
THEN temp ShiftLeft(12, temp) OR 00000FFFH;
ELSE IF OperandSize = 32
THEN DEST temp; FI;
ELSE IF OperandSize = 64 (* REX.W used *)
THEN DEST (* Zero-extended *) temp; FI;
ELSE (* OperandSize = 16 *)
DEST temp AND FFFFH;
FI;
FI;
F la g s Af fe ct e d
The ZF flag is set to 1 if the segment limit is loaded successfully; otherwise, it is set to 0.
Ta b le 3 -6 5 . S e g m e n t a n d G a t e D e scrip to r T y p e s
T y p e P ro t e ct e d Mo d e I A-3 2 e Mo d e
N a m e Va lid N a m e Va lid
0 Reserved No Upper 8 byte of a 16-Byte
descriptor
Yes
1 Available 16-bit TSS Yes Reserved No
2 LDT Yes LDT Yes
3 Busy 16-bit TSS Yes Reserved No
4 16-bit call gate No Reserved No
5 16-bit/32-bit task gate No Reserved No
6 16-bit interrupt gate No Reserved No
7 16-bit trap gate No Reserved No
8 Reserved No Reserved No
9 Available 32-bit TSS Yes 64-bit TSS Yes
A Reserved No Reserved No
B Busy 32-bit TSS Yes Busy 64-bit TSS Yes
C 32-bit call gate No 64-bit call gate No
D Reserved No Reserved No
E 32-bit interrupt gate No 64-bit interrupt gate No
F 32-bit trap gate No 64-bit trap gate No
LSLLoad Segment Limit
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-473
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register is used to access memory and it contains a NULL segment
selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and the memory operand effective address is unaligned while
the current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#UD The LSL instruction cannot be executed in real-address mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#UD The LSL instruction cannot be executed in virtual-8086 mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If the memory operand effective address referencing the SS segment is in a non-canonical
form.
#GP(0) If the memory operand effective address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and the memory operand effective address is unaligned while
the current privilege level is 3.
#UD If the LOCK prefix is used.
LTRLoad Task Register
INSTRUCTION SET REFERENCE, A-M
3-474 Vol. 2A
LT R Lo a d Ta sk R e g ist e r
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Loads the source operand into the segment selector field of the task register. The source operand (a general-
purpose register or a memory location) contains a segment selector that points to a task state segment (TSS).
After the segment selector is loaded in the task register, the processor uses the segment selector to locate the
segment descriptor for the TSS in the global descriptor table (GDT). It then loads the segment limit and base
address for the TSS from the segment descriptor into the task register. The task pointed to by the task register is
marked busy, but a switch to the task does not occur.
The LTR instruction is provided for use in operating-system software; it should not be used in application programs.
It can only be executed in protected mode when the CPL is 0. It is commonly used in initialization code to establish
the first task to be executed.
The operand-size attribute has no effect on this instruction.
In 64-bit mode, the operand size is still fixed at 16 bits. The instruction references a 16-byte descriptor to load the
64-bit base.
O p e ra t io n
IF SRC is a NULL selector
THEN #GP(0);
IF SRC(Offset) > descriptor table limit OR IF SRC(type) global
THEN #GP(segment selector); FI;
Read segment descriptor;
IF segment descriptor is not for an available TSS
THEN #GP(segment selector); FI;
IF segment descriptor is not present
THEN #NP(segment selector); FI;
TSSsegmentDescriptor(busy) 1;
(* Locked read-modify-write operation on the entire descriptor when setting busy flag *)
TaskRegister(SegmentSelector) SRC;
TaskRegister(SegmentDescriptor) TSSSegmentDescriptor;
F la g s Af fe ct e d
None.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 00 /3 LTR r/m16 M Valid Valid Load r/m16 into task register.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
M ModRM:r/m (r) NA NA NA
LTRLoad Task Register
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-475
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the current privilege level is not 0.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the source operand contains a NULL segment selector.
If the DS, ES, FS, or GS register is used to access memory and it contains a NULL segment
selector.
#GP(selector) If the source selector points to a segment that is not a TSS or to one for a task that is already
busy.
If the selector points to LDT or is beyond the GDT limit.
#NP(selector) If the TSS is marked not present.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#UD The LTR instruction is not recognized in real-address mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#UD The LTR instruction is not recognized in virtual-8086 mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the current privilege level is not 0.
If the memory address is in a non-canonical form.
If the source operand contains a NULL segment selector.
#GP(selector) If the source selector points to a segment that is not a TSS or to one for a task that is already
busy.
If the selector points to LDT or is beyond the GDT limit.
If the descriptor type of the upper 8-byte of the 16-byte descriptor is non-zero.
#NP(selector) If the TSS is marked not present.
#PF(fault-code) If a page fault occurs.
#UD If the LOCK prefix is used.
LZCNT Count the Number of Leading Zero Bits
INSTRUCTION SET REFERENCE, A-M
3-476 Vol. 2A
LZ C N T C o u n t t h e N u m b e r o f Le a d in g Z e ro B it s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Counts the number of leading most significant zero bits in a source operand (second operand) returning the result
into a destination (first operand).
LZCNT differs from BSR. For example, LZCNT will produce the operand size when the input operand is zero. It
should be noted that on processors that do not support LZCNT, the instruction byte encoding is executed as BSR.
In 64-bit mode 64-bit operand size requires REX.W=1.
O p e ra t io n
temp OperandSize - 1
DEST 0
WHILE (temp >=0) AND (Bit(SRC, temp) =0)
DO
temp temp - 1
DEST DEST+1
OD
IF DEST =OperandSize
CF 1
ELSE
CF 0
FI
IF DEST =0
ZF 1
ELSE
ZF 0
FI
F la g s Af fe ct e d
ZF flag is set to 1 in case of zero output (most significant bit of the source is set), and to 0 otherwise, CF flag is set
to 1 if input was zero and cleared otherwise. OF, SF, PF and AF flags are undefined.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
F3 0F BD /r RM V/V LZCNT Count the number of leading zero bits in r/m16, return result in r16.
LZCNT r16, r/m16
F3 0F BD /r RM V/V LZCNT Count the number of leading zero bits in r/m32, return result in r32.
LZCNT r32, r/m32
REX.W +F3 0F BD /r RM V/N.E. LZCNT Count the number of leading zero bits in r/m64, return result in r64.
LZCNT r64, r/m64
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m(r) NA NA
LZCNT Count the Number of Leading Zero Bits
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-477
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
LZCNT: unsigned __int32 _lzcnt_u32(unsigned __int32 src);
LZCNT: unsigned __int64 _lzcnt_u64(unsigned __int64 src);
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) For an illegal memory operand effective address in the CS, DS, ES, FS or GS segments.
If the DS, ES, FS, or GS register is used to access memory and it contains a null segment
selector.
#SS(0) For an illegal address in the SS segment.
#PF (fault-code) For a page fault.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP(0) If any part of the operand lies outside of the effective address space from 0 to 0FFFFH.
#SS(0) For an illegal address in the SS segment.
V irt u a l 8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If any part of the operand lies outside of the effective address space from 0 to 0FFFFH.
#SS(0) For an illegal address in the SS segment.
#PF (fault-code) For a page fault.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in Protected Mode.
6 4 -B it Mo d e E x ce p t io n s
#GP(0) If the memory address is in a non-canonical form.
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#PF (fault-code) For a page fault.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
MASKMOVDQUStore Selected Bytes of Double Quadword
INSTRUCTION SET REFERENCE, A-M
3-478 Vol. 2A
MAS K MO VD Q U S t o re S e le ct e d B y t e s o f D o u b le Q u a d w o rd
I n st ru ct io n O p e ra n d E n co d in g
1
D e scrip t io n
Stores selected bytes from the source operand (first operand) into an 128-bit memory location. The mask operand
(second operand) selects which bytes from the source operand are written to memory. The source and mask oper-
ands are XMM registers. The memory location specified by the effective address in the DI/EDI/RDI register (the
default segment register is DS, but this may be overridden with a segment-override prefix). The memory location
does not need to be aligned on a natural boundary. (The size of the store address depends on the address-size
attribute.)
The most significant bit in each byte of the mask operand determines whether the corresponding byte in the source
operand is written to the corresponding byte location in memory: 0 indicates no write and 1 indicates write.
The MASKMOVDQU instruction generates a non-temporal hint to the processor to minimize cache pollution. The
non-temporal hint is implemented by using a write combining (WC) memory type protocol (see Caching of
Temporal vs. Non-Temporal Data in Chapter 10, of the Intel 64 and IA-32 Architectures Software Developers
Manual, Volume 1). Because the WC protocol uses a weakly-ordered memory consistency model, a fencing opera-
tion implemented with the SFENCE or MFENCE instruction should be used in conjunction with MASKMOVDQU
instructions if multiple processors might use different memory types to read/write the destination memory loca-
tions.
Behavior with a mask of all 0s is as follows:
No data will be written to memory.
Signaling of breakpoints (code or data) is not guaranteed; different processor implementations may signal or
not signal these breakpoints.
Exceptions associated with addressing memory and page faults may still be signaled (implementation
dependent).
If the destination memory region is mapped as UC or WP, enforcement of associated semantics for these
memory types is not guaranteed (that is, is reserved) and is implementation-specific.
The MASKMOVDQU instruction can be used to improve performance of algorithms that need to merge data on a
byte-by-byte basis. MASKMOVDQU should not cause a read for ownership; doing so generates unnecessary band-
width since data is to be written directly using the byte-mask without allocating old data prior to the store.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b otherwise instructions will #UD.
If VMASKMOVDQU is encoded with VEX.L= 1, an attempt to execute the instruction encoded with VEX.L= 1 will
cause an #UD exception.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F F7 /r
MASKMOVDQU xmm1, xmm2
RM V/V SSE2 Selectively write bytes fromxmm1 to
memory location using the byte mask in
xmm2. The default memory location is
specified by DS:DI/EDI/RDI.
VEX.128.66.0F.WIG F7 /r
VMASKMOVDQU xmm1, xmm2
RM V/V AVX Selectively write bytes from xmm1 to
memory location using the byte mask in
xmm2. The default memory location is
specified by DS:DI/EDI/RDI.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r) ModRM:r/m (r) NA NA
1.ModRM.MOD = 011B required
MASKMOVDQUStore Selected Bytes of Double Quadword
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-479
O p e ra t io n
IF (MASK[7] = 1)
THEN DEST[DI/EDI] SRC[7:0] ELSE (* Memory location unchanged *); FI;
IF (MASK[15] = 1)
THEN DEST[DI/EDI +1] SRC[15:8] ELSE (* Memory location unchanged *); FI;
(* Repeat operation for 3rd through 14th bytes in source operand *)
IF (MASK[127] = 1)
THEN DEST[DI/EDI +15] SRC[127:120] ELSE (* Memory location unchanged *); FI;
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
void _mm_maskmoveu_si128(__m128i d, __m128i n, char * p)
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L= 1
If VEX.vvvv != 1111B.
MASKMOVQStore Selected Bytes of Quadword
INSTRUCTION SET REFERENCE, A-M
3-480 Vol. 2A
MAS K MO VQ S t o re S e le ct e d B y t e s o f Q u a d w o rd
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Stores selected bytes from the source operand (first operand) into a 64-bit memory location. The mask operand
(second operand) selects which bytes from the source operand are written to memory. The source and mask oper-
ands are MMX technology registers. The memory location specified by the effective address in the DI/EDI/RDI
register (the default segment register is DS, but this may be overridden with a segment-override prefix). The
memory location does not need to be aligned on a natural boundary. (The size of the store address depends on the
address-size attribute.)
The most significant bit in each byte of the mask operand determines whether the corresponding byte in the source
operand is written to the corresponding byte location in memory: 0 indicates no write and 1 indicates write.
The MASKMOVQ instruction generates a non-temporal hint to the processor to minimize cache pollution. The non-
temporal hint is implemented by using a write combining (WC) memory type protocol (see Caching of Temporal
vs. Non-Temporal Data in Chapter 10, of the Intel 64 and IA-32 Architectures Software Developers Manual,
Volume 1). Because the WC protocol uses a weakly-ordered memory consistency model, a fencing operation imple-
mented with the SFENCE or MFENCE instruction should be used in conjunction with MASKMOVQ instructions if
multiple processors might use different memory types to read/write the destination memory locations.
This instruction causes a transition from x87 FPU to MMX technology state (that is, the x87 FPU top-of-stack pointer
is set to 0 and the x87 FPU tag word is set to all 0s [valid]).
The behavior of the MASKMOVQ instruction with a mask of all 0s is as follows:
No data will be written to memory.
Transition from x87 FPU to MMX technology state will occur.
Exceptions associated with addressing memory and page faults may still be signaled (implementation
dependent).
Signaling of breakpoints (code or data) is not guaranteed (implementation dependent).
If the destination memory region is mapped as UC or WP, enforcement of associated semantics for these
memory types is not guaranteed (that is, is reserved) and is implementation-specific.
The MASKMOVQ instruction can be used to improve performance for algorithms that need to merge data on a byte-
by-byte basis. It should not cause a read for ownership; doing so generates unnecessary bandwidth since data is
to be written directly using the byte-mask without allocating old data prior to the store.
In 64-bit mode, the memory address is specified by DS:RDI.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F F7 /r
MASKMOVQ mm1, mm2
RM Valid Valid Selectively write bytes from mm1 to memory
location using the byte mask in mm2. The
default memory location is specified by
DS:DI/EDI/RDI.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r) ModRM:r/m (r) NA NA
MASKMOVQStore Selected Bytes of Quadword
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-481
O p e ra t io n
IF (MASK[7] = 1)
THEN DEST[DI/EDI] SRC[7:0] ELSE (* Memory location unchanged *); FI;
IF (MASK[15] = 1)
THEN DEST[DI/EDI +1] SRC[15:8] ELSE (* Memory location unchanged *); FI;
(* Repeat operation for 3rd through 6th bytes in source operand *)
IF (MASK[63] = 1)
THEN DEST[DI/EDI +15] SRC[63:56] ELSE (* Memory location unchanged *); FI;
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
void _mm_maskmove_si64(__m64d, __m64n, char * p)
O t h e r E x ce p t io n s
See Table 22-8, Exception Conditions for Legacy SIMD/MMX Instructions without FP Exception, in the Intel 64
and IA-32 Architectures Software Developers Manual, Volume 3A.
MAXPDReturn MaximumPacked Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-482 Vol. 2A
MAXP D R e t u rn Ma x im u m P a cke d D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs an SIMD compare of the packed double-precision floating-point values in the first source operand and the
second source operand and returns the maximum value for each pair of values to the destination operand.
If the values being compared are both 0.0s (of either sign), the value in the second operand (source operand) is
returned. If a value in the second operand is an SNaN, that SNaN is forwarded unchanged to the destination (that
is, a QNaN version of the SNaN is not returned).
If only one value is a NaN (SNaN or QNaN) for this instruction, the second operand (source operand), either a NaN
or a valid floating-point value, is written to the result. If instead of this behavior, it is required that the NaN source
operand (from either the first or second operand) be returned, the action of MAXPD can be emulated using a
sequence of instructions, such as, a comparison followed by AND, ANDN and OR.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
128-bit Legacy SSE version: The second source can be an XMM register or an 128-bit memory location. The desti-
nation is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding
YMM register destination are unmodified.
VEX.128 encoded version: the first source operand is an XMM register or 128-bit memory location. The destination
operand is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand can be a YMM
register or a 256-bit memory location. The destination operand is a YMM register.
O p e ra t io n
MAX(S R C 1 , S R C 2 )
{
IF ((SRC1 =0.0) and (SRC2 =0.0)) THEN DEST SRC2;
ELSE IF (SRC1 =SNaN) THEN DEST SRC2; FI;
ELSE IF (SRC2 =SNaN) THEN DEST SRC2; FI;
ELSE IF (SRC1 >SRC2) THEN DEST SRC1;
ELSE DEST SRC2;
FI;
}
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 5F /r
MAXPD xmm1, xmm2/m128
RM V/V SSE2 Return the maximum double-precision
floating-point values between xmm2/m128
and xmm1.
VEX.NDS.128.66.0F.WIG 5F /r
VMAXPD xmm1,xmm2, xmm3/m128
RVM V/V AVX Return the maximum double-precision
floating-point values between xmm2 and
xmm3/mem.
VEX.NDS.256.66.0F.WIG 5F /r
VMAXPD ymm1, ymm2, ymm3/m256
RVM V/V AVX Return the maximum packed double-precision
floating-point values between ymm2 and
ymm3/mem.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
MAXPDReturn MaximumPacked Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-483
MAXP D (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[63:0] MAX(DEST[63:0], SRC[63:0])
DEST[127:64] MAX(DEST[127:64], SRC[127:64])
DEST[VLMAX-1:128] (Unmodified)
VMAXP D (VE X.1 2 8 e n co d e d v e rsio n )
DEST[63:0] MAX(SRC1[63:0], SRC2[63:0])
DEST[127:64] MAX(SRC1[127:64], SRC2[127:64])
DEST[VLMAX-1:128] 0
VMAXP D (VE X.2 5 6 e n co d e d v e rsio n )
DEST[63:0] MAX(SRC1[63:0], SRC2[63:0])
DEST[127:64] MAX(SRC1[127:64], SRC2[127:64])
DEST[191:128] MAX(SRC1[191:128], SRC2[191:128])
DEST[255:192] MAX(SRC1[255:192], SRC2[255:192])
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
MAXPD: __m128d _mm_max_pd(__m128d a, __m128d b);
VMAXPD: __m256d _mm256_max_pd (__m256d a, __m256d b);
S I MD F lo a t in g -P o in t E x ce p t io n s
Invalid (including QNaN source operand), Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 2.
MAXPSReturn MaximumPacked Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-484 Vol. 2A
MAXP S R e t u rn Ma x im u m P a cke d S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs an SIMD compare of the packed single-precision floating-point values in the first source operand and the
second source operand and returns the maximum value for each pair of values to the destination operand.
If the values being compared are both 0.0s (of either sign), the value in the second operand (source operand) is
returned. If a value in the second operand is an SNaN, that SNaN is forwarded unchanged to the destination (that
is, a QNaN version of the SNaN is not returned).
If only one value is a NaN (SNaN or QNaN) for this instruction, the second operand (source operand), either a NaN
or a valid floating-point value, is written to the result. If instead of this behavior, it is required that the NaN source
operand (from either the first or second operand) be returned, the action of MAXPS can be emulated using a
sequence of instructions, such as, a comparison followed by AND, ANDN and OR.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
128-bit Legacy SSE version: The second source can be an XMM register or an 128-bit memory location. The desti-
nation is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding
YMM register destination are unmodified.
VEX.128 encoded version: the first source operand is an XMM register or 128-bit memory location. The destination
operand is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand can be a YMM
register or a 256-bit memory location. The destination operand is a YMM register.
O p e ra t io n
MAX(S R C 1 , S R C 2 )
{
IF ((SRC1 =0.0) and (SRC2 =0.0)) THEN DEST SRC2;
ELSE IF (SRC1 =SNaN) THEN DEST SRC2; FI;
ELSE IF SRC2 =SNaN) THEN DEST SRC2; FI;
ELSE IF (SRC1 >SRC2) THEN DEST SRC1;
ELSE DEST SRC2;
FI;
}
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 5F /r
MAXPS xmm1, xmm2/m128
RM V/V SSE Return the maximum single-precision floating-
point values between xmm2/m128 and
xmm1.
VEX.NDS.128.0F.WIG 5F /r
VMAXPS xmm1,xmm2, xmm3/m128
RVM V/V AVX Return the maximum single-precision floating-
point values between xmm2 and xmm3/mem.
VEX.NDS.256.0F.WIG 5F /r
VMAXPS ymm1, ymm2, ymm3/m256
RVM V/V AVX Return the maximum single double-precision
floating-point values between ymm2 and
ymm3/mem.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
MAXPSReturn MaximumPacked Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-485
MAXP S (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[31:0] MAX(DEST[31:0], SRC[31:0])
DEST[63:32] MAX(DEST[63:32], SRC[63:32])
DEST[95:64] MAX(DEST[95:64], SRC[95:64])
DEST[127:96] MAX(DEST[127:96], SRC[127:96])
DEST[VLMAX-1:128] (Unmodified)
VMAXP S (V E X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] MAX(SRC1[31:0], SRC2[31:0])
DEST[63:32] MAX(SRC1[63:32], SRC2[63:32])
DEST[95:64] MAX(SRC1[95:64], SRC2[95:64])
DEST[127:96] MAX(SRC1[127:96], SRC2[127:96])
DEST[VLMAX-1:128] 0
VMAXP S (V E X.2 5 6 e n co d e d v e rsio n )
DEST[31:0] MAX(SRC1[31:0], SRC2[31:0])
DEST[63:32] MAX(SRC1[63:32], SRC2[63:32])
DEST[95:64] MAX(SRC1[95:64], SRC2[95:64])
DEST[127:96] MAX(SRC1[127:96], SRC2[127:96])
DEST[159:128] MAX(SRC1[159:128], SRC2[159:128])
DEST[191:160] MAX(SRC1[191:160], SRC2[191:160])
DEST[223:192] MAX(SRC1[223:192], SRC2[223:192])
DEST[255:224] MAX(SRC1[255:224], SRC2[255:224])
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
MAXPS: __m128 _mm_max_ps (__m128 a, __m128 b);
VMAXPS: __m256 _mm256_max_ps (__m256 a, __m256 b);
S I MD F lo a t in g -P o in t E x ce p t io n s
Invalid (including QNaN source operand), Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 2.
MAXSDReturn MaximumScalar Double-Precision Floating-Point Value
INSTRUCTION SET REFERENCE, A-M
3-486 Vol. 2A
MAXS D R e t u rn Ma x im u m S ca la r D o u b le -P re cisio n F lo a t in g -P o in t Va lu e
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Compares the low double-precision floating-point values in the first source operand and second the source
operand, and returns the maximum value to the low quadword of the destination operand. The second source
operand can be an XMM register or a 64-bit memory location. The first source and destination operands are XMM
registers. When the second source operand is a memory operand, only 64 bits are accessed. The high quadword of
the destination operand is copied from the same bits of first source operand.
If the values being compared are both 0.0s (of either sign), the value in the second source operand is returned. If
a value in the second source operand is an SNaN, that SNaN is returned unchanged to the destination (that is, a
QNaN version of the SNaN is not returned).
If only one value is a NaN (SNaN or QNaN) for this instruction, the second source operand, either a NaN or a valid
floating-point value, is written to the result. If instead of this behavior, it is required that the NaN of either source
operand be returned, the action of MAXSD can be emulated using a sequence of instructions, such as, a comparison
followed by AND, ANDN and OR.
The second source operand can be an XMM register or a 64-bit memory location. The first source and destination
operands are XMM registers.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
128-bit Legacy SSE version: The destination and first source operand are the same. Bits (VLMAX-1:64) of the
corresponding YMM destination register remain unchanged.
VEX.128 encoded version: Bits (127:64) of the XMM register destination are copied from corresponding bits in the
first source operand. Bits (VLMAX-1:128) of the destination YMM register are zeroed.
O p e ra t io n
MAX(S R C 1 , S R C 2 )
{
IF ((SRC1 =0.0) and (SRC2 =0.0)) THEN DEST SRC2;
ELSE IF (SRC1 =SNaN) THEN DEST SRC2; FI;
ELSE IF SRC2 =SNaN) THEN DEST SRC2; FI;
ELSE IF (SRC1 >SRC2) THEN DEST SRC1;
ELSE DEST SRC2;
FI;
}
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
F2 0F 5F /r
MAXSD xmm1, xmm2/m64
RM V/V SSE2 Return the maximum scalar double-precision
floating-point value between xmm2/mem64
and xmm1.
VEX.NDS.LIG.F2.0F.WIG 5F /r
VMAXSD xmm1, xmm2, xmm3/m64
RVM V/V AVX Return the maximum scalar double-precision
floating-point value between xmm3/mem64
and xmm2.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
MAXSDReturn MaximumScalar Double-Precision Floating-Point Value
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-487
MAXS D (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[63:0] MAX(DEST[63:0], SRC[63:0])
DEST[VLMAX-1:64] (Unmodified)
VMAXS D (V E X.1 2 8 e n co d e d v e rsio n )
DEST[63:0] MAX(SRC1[63:0], SRC2[63:0])
DEST[127:64] SRC1[127:64]
DEST[VLMAX-1:128] 0
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
MAXSD: __m128d _mm_max_sd(__m128d a, __m128d b)
S I MD F lo a t in g -P o in t E x ce p t io n s
Invalid (including QNaN source operand), Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 3.
MAXSSReturn MaximumScalar Single-Precision Floating-Point Value
INSTRUCTION SET REFERENCE, A-M
3-488 Vol. 2A
MAXS S R e t u rn Ma x im u m S ca la r S in g le -P re cisio n F lo a t in g -P o in t Va lu e
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Compares the low single-precision floating-point values in the first source operand and the second source operand,
and returns the maximum value to the low doubleword of the destination operand.
If the values being compared are both 0.0s (of either sign), the value in the second source operand is returned. If
a value in the second source operand is an SNaN, that SNaN is returned unchanged to the destination (that is, a
QNaN version of the SNaN is not returned).
If only one value is a NaN (SNaN or QNaN) for this instruction, the second source operand, either a NaN or a valid
floating-point value, is written to the result. If instead of this behavior, it is required that the NaN from either source
operand be returned, the action of MAXSS can be emulated using a sequence of instructions, such as, a comparison
followed by AND, ANDN and OR.
The second source operand can be an XMM register or a 32-bit memory location. The first source and destination
operands are XMM registers.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
128-bit Legacy SSE version: The destination and first source operand are the same. Bits (VLMAX-1:32) of the
corresponding YMM destination register remain unchanged.
VEX.128 encoded version: Bits (127:32) of the XMM register destination are copied from corresponding bits in the
first source operand. Bits (VLMAX-1:128) of the destination YMM register are zeroed.
O p e ra t io n
MAX(S R C 1 , S R C 2 )
{
IF ((SRC1 =0.0) and (SRC2 =0.0)) THEN DEST SRC2;
ELSE IF (SRC1 =SNaN) THEN DEST SRC2; FI;
ELSE IF SRC2 =SNaN) THEN DEST SRC2; FI;
ELSE IF (SRC1 >SRC2) THEN DEST SRC1;
ELSE DEST SRC2;
FI;
}
MAXS S (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[31:0] MAX(DEST[31:0], SRC[31:0])
DEST[VLMAX-1:32] (Unmodified)
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
F3 0F 5F /r
MAXSS xmm1, xmm2/m32
RM V/V SSE Return the maximum scalar single-precision
floating-point value between xmm2/mem32
and xmm1.
VEX.NDS.LIG.F3.0F.WIG 5F /r
VMAXSS xmm1, xmm2, xmm3/m32
RVM V/V AVX Return the maximum scalar single-precision
floating-point value between xmm3/mem32
and xmm2.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
MAXSSReturn MaximumScalar Single-Precision Floating-Point Value
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-489
VMAXS S (VE X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] MAX(SRC1[31:0], SRC2[31:0])
DEST[127:32] SRC1[127:32]
DEST[VLMAX-1:128] 0
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
__m128d _mm_max_ss(__m128d a, __m128d b)
S I MD F lo a t in g -P o in t E x ce p t io n s
Invalid (including QNaN source operand), Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 3.
MFENCEMemory Fence
INSTRUCTION SET REFERENCE, A-M
3-490 Vol. 2A
MF E N C E Me m o ry Fe n ce
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a serializing operation on all load-from-memory and store-to-memory instructions that were issued prior
the MFENCE instruction. This serializing operation guarantees that every load and store instruction that precedes
the MFENCE instruction in program order becomes globally visible before any load or store instruction that follows
the MFENCE instruction.
1
The MFENCE instruction is ordered with respect to all load and store instructions, other
MFENCE instructions, any LFENCE and SFENCE instructions, and any serializing instructions (such as the CPUID
instruction). MFENCE does not serialize the instruction stream.
Weakly ordered memory types can be used to achieve higher processor performance through such techniques as
out-of-order issue, speculative reads, write-combining, and write-collapsing. The degree to which a consumer of
data recognizes or knows that the data is weakly ordered varies among applications and may be unknown to the
producer of this data. The MFENCE instruction provides a performance-efficient way of ensuring load and store
ordering between routines that produce weakly-ordered results and routines that consume that data.
Processors are free to fetch and cache data speculatively from regions of system memory that use the WB, WC, and
WT memory types. This speculative fetching can occur at any time and is not tied to instruction execution. Thus, it
is not ordered with respect to executions of the MFENCE instruction; data can be brought into the caches specula-
tively just before, during, or after the execution of an MFENCE instruction.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
Wait_On_Following_Loads_And_Stores_Until(preceding_loads_and_stores_globally_visible);
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
void _mm_mfence(void)
E x ce p t io n s (All Mo d e s o f O p e ra t io n )
#UD If CPUID.01H:EDX.SSE2[bit 26] = 0.
If the LOCK prefix is used.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F AE /6 MFENCE NP Valid Valid Serializes load and store operations.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
1. A load instruction is considered to become globally visible when the value to be loaded into its destination register is determined.
MINPDReturn MinimumPacked Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-491
MI N P D R e t u rn Min im u m P a cke d D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs an SIMD compare of the packed double-precision floating-point values in the first source operand and the
second source operand and returns the minimum value for each pair of values to the destination operand.
If the values being compared are both 0.0s (of either sign), the value in the second operand (source operand) is
returned. If a value in the second operand is an SNaN, that SNaN is forwarded unchanged to the destination (that
is, a QNaN version of the SNaN is not returned).
If only one value is a NaN (SNaN or QNaN) for this instruction, the second operand (source operand), either a NaN
or a valid floating-point value, is written to the result. If instead of this behavior, it is required that the NaN source
operand (from either the first or second operand) be returned, the action of MINPD can be emulated using a
sequence of instructions, such as, a comparison followed by AND, ANDN and OR.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
128-bit Legacy SSE version: The second source can be an XMM register or an 128-bit memory location. The desti-
nation is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding
YMM register destination are unmodified.
VEX.128 encoded version: the first source operand is an XMM register or 128-bit memory location. The destination
operand is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
O p e ra t io n
MI N (S R C 1 , S R C 2 )
{
IF ((SRC1 =0.0) and (SRC2 =0.0)) THEN DEST SRC2;
ELSE IF (SRC1 =SNaN) THEN DEST SRC2; FI;
ELSE IF (SRC2 =SNaN) THEN DEST SRC2; FI;
ELSE IF (SRC1 <SRC2) THEN DEST SRC1;
ELSE DEST SRC2;
FI;
}
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 5D /r
MINPD xmm1, xmm2/m128
RM V/V SSE2 Return the minimum double-precision
floating-point values between xmm2/m128
and xmm1.
VEX.NDS.128.66.0F.WIG 5D /r
VMINPD xmm1,xmm2, xmm3/m128
RVM V/V AVX Return the minimum double-precision floating-
point values between xmm2 and xmm3/mem.
VEX.NDS.256.66.0F.WIG 5D /r
VMINPD ymm1, ymm2, ymm3/m256
RVM V/V AVX Return the minimum packed double-precision
floating-point values between ymm2 and
ymm3/mem.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
MINPDReturn MinimumPacked Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-492 Vol. 2A
MI N P D (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[63:0] MIN(SRC1[63:0], SRC2[63:0])
DEST[127:64] MIN(SRC1[127:64], SRC2[127:64])
DEST[VLMAX-1:128] (Unmodified)
VMI N P D (VE X.1 2 8 e n co d e d v e rsio n )
DEST[63:0] MIN(SRC1[63:0], SRC2[63:0])
DEST[127:64] MIN(SRC1[127:64], SRC2[127:64])
DEST[VLMAX-1:128] 0
VMI N P D (VE X.2 5 6 e n co d e d v e rsio n )
DEST[63:0] MIN(SRC1[63:0], SRC2[63:0])
DEST[127:64] MIN(SRC1[127:64], SRC2[127:64])
DEST[191:128] MIN(SRC1[191:128], SRC2[191:128])
DEST[255:192] MIN(SRC1[255:192], SRC2[255:192])
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
MINPD: __m128d _mm_min_pd(__m128d a, __m128d b);
VMINPD: __m256d _mm256_min_pd (__m256d a, __m256d b);
S I MD F lo a t in g -P o in t E x ce p t io n s
Invalid (including QNaN source operand), Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 2.
MINPSReturn MinimumPacked Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-493
MI N P S R e t u rn Min im u m P a cke d S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs an SIMD compare of the packed single-precision floating-point values in the first source operand and the
second source operand and returns the minimum value for each pair of values to the destination operand.
If the values being compared are both 0.0s (of either sign), the value in the second operand (source operand) is
returned. If a value in the second operand is an SNaN, that SNaN is forwarded unchanged to the destination (that
is, a QNaN version of the SNaN is not returned).
If only one value is a NaN (SNaN or QNaN) for this instruction, the second operand (source operand), either a NaN
or a valid floating-point value, is written to the result. If instead of this behavior, it is required that the NaN source
operand (from either the first or second operand) be returned, the action of MINPS can be emulated using a
sequence of instructions, such as, a comparison followed by AND, ANDN and OR.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
128-bit Legacy SSE version: The second source can be an XMM register or an 128-bit memory location. The desti-
nation is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding
YMM register destination are unmodified.
VEX.128 encoded version: the first source operand is an XMM register or 128-bit memory location. The destination
operand is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand can be a YMM
register or a 256-bit memory location. The destination operand is a YMM register.
O p e ra t io n
MI N (S R C 1 , S R C 2 )
{
IF ((SRC1 =0.0) and (SRC2 =0.0)) THEN DEST SRC2;
ELSE IF (SRC1 =SNaN) THEN DEST SRC2; FI;
ELSE IF (SRC2 =SNaN) THEN DEST SRC2; FI;
ELSE IF (SRC1 <SRC2) THEN DEST SRC1;
ELSE DEST SRC2;
FI;
}
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 5D /r
MINPS xmm1, xmm2/m128
RM V/V SSE Return the minimumsingle-precision floating-
point values between xmm2/m128 and
xmm1.
VEX.NDS.128.0F.WIG 5D /r
VMINPS xmm1,xmm2, xmm3/m128
RVM V/V AVX Return the minimum single-precision floating-
point values between xmm2 and xmm3/mem.
VEX.NDS.256.0F.WIG 5D /r
VMINPS ymm1, ymm2, ymm3/m256
RVM V/V AVX Return the minimum single double-precision
floating-point values between ymm2 and
ymm3/mem.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
MINPSReturn MinimumPacked Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-494 Vol. 2A
MI N P S (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[31:0] MIN(SRC1[31:0], SRC2[31:0])
DEST[63:32] MIN(SRC1[63:32], SRC2[63:32])
DEST[95:64] MIN(SRC1[95:64], SRC2[95:64])
DEST[127:96] MIN(SRC1[127:96], SRC2[127:96])
DEST[VLMAX-1:128] (Unmodified)
VMI N P S (V E X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] MIN(SRC1[31:0], SRC2[31:0])
DEST[63:32] MIN(SRC1[63:32], SRC2[63:32])
DEST[95:64] MIN(SRC1[95:64], SRC2[95:64])
DEST[127:96] MIN(SRC1[127:96], SRC2[127:96])
DEST[VLMAX-1:128] 0
VMI N P S (V E X.2 5 6 e n co d e d v e rsio n )
DEST[31:0] MIN(SRC1[31:0], SRC2[31:0])
DEST[63:32] MIN(SRC1[63:32], SRC2[63:32])
DEST[95:64] MIN(SRC1[95:64], SRC2[95:64])
DEST[127:96] MIN(SRC1[127:96], SRC2[127:96])
DEST[159:128] MIN(SRC1[159:128], SRC2[159:128])
DEST[191:160] MIN(SRC1[191:160], SRC2[191:160])
DEST[223:192] MIN(SRC1[223:192], SRC2[223:192])
DEST[255:224] MIN(SRC1[255:224], SRC2[255:224])
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
MINPS: __m128d _mm_min_ps(__m128d a, __m128d b);
VMINPS: __m256 _mm256_min_ps (__m256 a, __m256 b);
S I MD F lo a t in g -P o in t E x ce p t io n s
Invalid (including QNaN source operand), Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 2.
MINSDReturn MinimumScalar Double-Precision Floating-Point Value
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-495
MI N S D R e t u rn Min im u m S ca la r D o u b le -P re cisio n F lo a t in g -P o in t Va lu e
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Compares the low double-precision floating-point values in the first source operand and the second source
operand, and returns the minimum value to the low quadword of the destination operand. When the source
operand is a memory operand, only the 64 bits are accessed. The high quadword of the destination operand is
copied from the same bits in the first source operand.
If the values being compared are both 0.0s (of either sign), the value in the second source operand is returned. If
a value in the second source operand is an SNaN, that SNaN is returned unchanged to the destination (that is, a
QNaN version of the SNaN is not returned).
If only one value is a NaN (SNaN or QNaN) for this instruction, the second source operand, either a NaN or a valid
floating-point value, is written to the result. If instead of this behavior, it is required that the NaN source operand
(from either the first or second source) be returned, the action of MINSD can be emulated using a sequence of
instructions, such as, a comparison followed by AND, ANDN and OR.
The second source operand can be an XMM register or a 64-bit memory location. The first source and destination
operands are XMM registers.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
128-bit Legacy SSE version: The destination and first source operand are the same. Bits (VLMAX-1:64) of the
corresponding YMM destination register remain unchanged.
VEX.128 encoded version: Bits (127:64) of the XMM register destination are copied from corresponding bits in the
first source operand. Bits (VLMAX-1:128) of the destination YMM register are zeroed.
O p e ra t io n
MI N (S R C 1 , S R C 2 )
{
IF ((SRC1 =0.0) and (SRC2 =0.0)) THEN DEST SRC2;
ELSE IF (SRC1 =SNaN) THEN DEST SRC2; FI;
ELSE IF SRC2 =SNaN) THEN DEST SRC2; FI;
ELSE IF (SRC1 <SRC2) THEN DEST SRC1;
ELSE DEST SRC2;
FI;
}
MI N S D (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[63:0] MIN(SRC1[63:0], SRC2[63:0])
DEST[VLMAX-1:64] (Unmodified)
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
F2 0F 5D /r
MINSD xmm1, xmm2/m64
RM V/V SSE2 Return the minimum scalar double-precision
floating-point value between xmm2/mem64
and xmm1.
VEX.NDS.LIG.F2.0F.WIG 5D /r
VMINSD xmm1, xmm2, xmm3/m64
RVM V/V AVX Return the minimum scalar double precision
floating-point value between xmm3/mem64
and xmm2.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
MINSDReturn MinimumScalar Double-Precision Floating-Point Value
INSTRUCTION SET REFERENCE, A-M
3-496 Vol. 2A
MI N S D (VE X.1 2 8 e n co d e d v e rsio n )
DEST[63:0] MIN(SRC1[63:0], SRC2[63:0])
DEST[127:64] SRC1[127:64]
DEST[VLMAX-1:128] 0
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
MINSD: __m128d _mm_min_sd(__m128d a, __m128d b)
S I MD F lo a t in g -P o in t E x ce p t io n s
Invalid (including QNaN source operand), Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 3.
MINSSReturn MinimumScalar Single-Precision Floating-Point Value
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-497
MI N S S R e t u rn Min im u m S ca la r S in g le -P re cisio n F lo a t in g -P o in t Va lu e
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Compares the low single-precision floating-point values in the first source operand and the second source operand
and returns the minimum value to the low doubleword of the destination operand.
If the values being compared are both 0.0s (of either sign), the value in the second source operand is returned. If
a value in the second operand is an SNaN, that SNaN is returned unchanged to the destination (that is, a QNaN
version of the SNaN is not returned).
If only one value is a NaN (SNaN or QNaN) for this instruction, the second source operand, either a NaN or a valid
floating-point value, is written to the result. If instead of this behavior, it is required that the NaN in either source
operand be returned, the action of MINSD can be emulated using a sequence of instructions, such as, a comparison
followed by AND, ANDN and OR.
The second source operand can be an XMM register or a 32-bit memory location. The first source and destination
operands are XMM registers.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
128-bit Legacy SSE version: The destination and first source operand are the same. Bits (VLMAX-1:32) of the
corresponding YMM destination register remain unchanged.
VEX.128 encoded version: Bits (127:32) of the XMM register destination are copied from corresponding bits in the
first source operand. Bits (VLMAX-1:128) of the destination YMM register are zeroed.
O p e ra t io n
MI N (S R C 1 , S R C 2 )
{
IF ((SRC1 =0.0) and (SRC2 =0.0)) THEN DEST SRC2;
ELSE IF (SRC1 =SNaN) THEN DEST SRC2; FI;
ELSE IF SRC2 =SNaN) THEN DEST SRC2; FI;
ELSE IF (SRC1 <SRC2) THEN DEST SRC1;
ELSE DEST SRC2;
FI;
}
MI N S S (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[31:0] MIN(SRC1[31:0], SRC2[31:0])
DEST[VLMAX-1:32] (Unmodified)
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
F3 0F 5D /r
MINSS xmm1, xmm2/m32
RM V/V SSE Return the minimum scalar single-precision
floating-point value between xmm2/mem32
and xmm1.
VEX.NDS.LIG.F3.0F.WIG 5D /r
VMINSS xmm1,xmm2, xmm3/m32
RVM V/V AVX Return the minimum scalar single precision
floating-point value between xmm3/mem32
and xmm2.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m(r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
MINSSReturn MinimumScalar Single-Precision Floating-Point Value
INSTRUCTION SET REFERENCE, A-M
3-498 Vol. 2A
VMI N S S (V E X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] MIN(SRC1[31:0], SRC2[31:0])
DEST[127:32] SRC1[127:32]
DEST[VLMAX-1:128] 0
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
MINSS: __m128d _mm_min_ss(__m128d a, __m128d b)
S I MD F lo a t in g -P o in t E x ce p t io n s
Invalid (including QNaN source operand), Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 3.
MONITORSet Up Monitor Address
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-499
MO N I T O R S e t U p Mo n ito r Ad d re ss
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
The MONITOR instruction arms address monitoring hardware using an address specified in EAX (the address range
that the monitoring hardware checks for store operations can be determined by using CPUID). A store to an
address within the specified address range triggers the monitoring hardware. The state of monitor hardware is
used by MWAIT.
The content of EAX is an effective address (in 64-bit mode, RAX is used). By default, the DS segment is used to
create a linear address that is monitored. Segment overrides can be used.
ECX and EDX are also used. They communicate other information to MONITOR. ECX specifies optional extensions.
EDX specifies optional hints; it does not change the architectural behavior of the instruction. For the Pentium 4
processor (family 15, model 3), no extensions or hints are defined. Undefined hints in EDX are ignored by the
processor; undefined extensions in ECX raises a general protection fault.
The address range must use memory of the write-back type. Only write-back memory will correctly trigger the
monitoring hardware. Additional information on determining what address range to use in order to prevent false
wake-ups is described in Chapter 8, Multiple-Processor Management of the Intel 64 and IA-32 Architectures
Software Developers Manual, Volume 3A.
The MONITOR instruction is ordered as a load operation with respect to other memory transactions. The instruction
is subject to the permission checking and faults associated with a byte load. Like a load, MONITOR sets the A-bit
but not the D-bit in page tables.
CPUID.01H:ECX.MONITOR[bit 3] indicates the availability of MONITOR and MWAIT in the processor. When set,
MONITOR may be executed only at privilege level 0 (use at any other privilege level results in an invalid-opcode
exception). The operating system or system BIOS may disable this instruction by using the IA32_MISC_ENABLE
MSR; disabling MONITOR clears the CPUID feature flag and causes execution to generate an invalid-opcode excep-
tion.
The instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
MONITOR sets up an address range for the monitor hardware using the content of EAX (RAX in 64-bit mode) as an
effective address and puts the monitor hardware in armed state. Always use memory of the write-back caching
type. A store to the specified address range will trigger the monitor hardware. The content of ECX and EDX are
used to communicate other information to the monitor hardware.
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
MONITOR: void _mm_monitor(void const *p, unsigned extensions,unsigned hints)
N u m e ric E x ce p t io n s
None
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 01 C8 MONITOR NP Valid Valid Sets up a linear address range to be
monitored by hardware and activates the
monitor. The address range should be a write-
back memory caching type. The address is
DS:EAX (DS:RAX in 64-bit mode).
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
MONITORSet Up Monitor Address
INSTRUCTION SET REFERENCE, A-M
3-500 Vol. 2A
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the value in EAX is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register is used to access memory and it contains a NULL segment
selector.
If ECX 0.
#SS(0) If the value in EAX is outside the SS segment limit.
#PF(fault-code) For a page fault.
#UD If CPUID.01H:ECX.MONITOR[bit 3] = 0.
If current privilege level is not 0.
R e a l Ad d re ss Mo d e E x ce p t io n s
#GP If the CS, DS, ES, FS, or GS register is used to access memory and the value in EAX is outside
of the effective address space from 0 to FFFFH.
If ECX 0.
#SS If the SS register is used to access memory and the value in EAX is outside of the effective
address space from 0 to FFFFH.
#UD If CPUID.01H:ECX.MONITOR[bit 3] = 0.
V irt u a l 8 0 8 6 Mo d e E x ce p t io n s
#UD The MONITOR instruction is not recognized in virtual-8086 mode (even if
CPUID.01H:ECX.MONITOR[bit 3] = 1).
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#GP(0) If the linear address of the operand in the CS, DS, ES, FS, or GS segment is in a non-canonical
form.
If RCX 0.
#SS(0) If the SS register is used to access memory and the value in EAX is in a non-canonical form.
#PF(fault-code) For a page fault.
#UD If the current privilege level is not 0.
If CPUID.01H:ECX.MONITOR[bit 3] = 0.
MOVMove
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-501
MO VMo v e
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
88 /r MOV r/m8,r8 MR Valid Valid Move r8 to r/m8.
REX +88 /r MOV r/m8
***,
r8
***
MR Valid N.E. Move r8 to r/m8.
89 /r MOV r/m16,r16 MR Valid Valid Move r16 to r/m16.
89 /r MOV r/m32,r32 MR Valid Valid Move r32 to r/m32.
REX.W +89 /r MOV r/m64,r64 MR Valid N.E. Move r64 to r/m64.
8A /r MOV r8,r/m8 RM Valid Valid Move r/m8 to r8.
REX +8A /r MOV r8***,r/m8*** RM Valid N.E. Move r/m8 to r8.
8B /r MOV r16,r/m16 RM Valid Valid Move r/m16 to r16.
8B /r MOV r32,r/m32 RM Valid Valid Move r/m32 to r32.
REX.W +8B /r MOV r64,r/m64 RM Valid N.E. Move r/m64 to r64.
8C /r MOV r/m16,Sreg** MR Valid Valid Move segment register to r/m16.
REX.W +8C /r MOV r/m64,Sreg** MR Valid Valid Move zero extended 16-bit segment register
to r/m64.
8E /r MOV Sreg,r/m16** RM Valid Valid Move r/m16 to segment register.
REX.W +8E /r MOV Sreg,r/m64** RM Valid Valid Move lower 16 bits of r/m64 to segment
register.
A0 MOV AL,moffs8* FD Valid Valid Move byte at (seg:offset) to AL.
REX.W +A0 MOV AL,moffs8* FD Valid N.E. Move byte at (offset) to AL.
A1 MOV AX,moffs16* FD Valid Valid Move word at (seg:offset) to AX.
A1 MOV EAX,moffs32* FD Valid Valid Move doubleword at (seg:offset) to EAX.
REX.W +A1 MOV RAX,moffs64* FD Valid N.E. Move quadword at (offset) to RAX.
A2 MOV moffs8,AL TD Valid Valid Move AL to (seg:offset).
REX.W +A2 MOV moffs8
***
,AL TD Valid N.E. Move AL to (offset).
A3 MOV moffs16*,AX TD Valid Valid Move AX to (seg:offset).
A3 MOV moffs32*,EAX TD Valid Valid Move EAX to (seg:offset).
REX.W +A3 MOV moffs64*,RAX TD Valid N.E. Move RAX to (offset).
B0+rb ib MOV r8, imm8 OI Valid Valid Move imm8 to r8.
REX +B0+rb ib MOV r8
***
, imm8 OI Valid N.E. Move imm8 to r8.
B8+rw iw MOV r16, imm16 OI Valid Valid Move imm16 to r16.
B8+rd id MOV r32, imm32 OI Valid Valid Move imm32 to r32.
REX.W +B8+rd io MOV r64, imm64 OI Valid N.E. Move imm64 to r64.
C6 /0 ib MOV r/m8, imm8 MI Valid Valid Move imm8 to r/m8.
REX +C6 /0 ib MOV r/m8***, imm8 MI Valid N.E. Move imm8 to r/m8.
C7 /0 iw MOV r/m16, imm16 MI Valid Valid Move imm16 to r/m16.
C7 /0 id MOV r/m32, imm32 MI Valid Valid Move imm32 to r/m32.
REX.W +C7 /0 io MOV r/m64, imm32 MI Valid N.E. Move imm32 sign extended to 64-bits to
r/m64.
MOVMove
INSTRUCTION SET REFERENCE, A-M
3-502 Vol. 2A
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Copies the second operand (source operand) to the first operand (destination operand). The source operand can be
an immediate value, general-purpose register, segment register, or memory location; the destination register can
be a general-purpose register, segment register, or memory location. Both operands must be the same size, which
can be a byte, a word, a doubleword, or a quadword.
The MOV instruction cannot be used to load the CS register. Attempting to do so results in an invalid opcode excep-
tion (#UD). To load the CS register, use the far JMP, CALL, or RET instruction.
If the destination operand is a segment register (DS, ES, FS, GS, or SS), the source operand must be a valid
segment selector. In protected mode, moving a segment selector into a segment register automatically causes the
segment descriptor information associated with that segment selector to be loaded into the hidden (shadow) part
of the segment register. While loading this information, the segment selector and segment descriptor information
is validated (see the Operation algorithm below). The segment descriptor data is obtained from the GDT or LDT
entry for the specified segment selector.
A NULL segment selector (values 0000-0003) can be loaded into the DS, ES, FS, and GS registers without causing
a protection exception. However, any subsequent attempt to reference a segment whose corresponding segment
register is loaded with a NULL value causes a general protection exception (#GP) and no memory reference occurs.
Loading the SS register with a MOV instruction inhibits all interrupts until after the execution of the next instruc-
tion. This operation allows a stack pointer to be loaded into the ESP register with the next instruction (MOV ESP,
stack-pointer value) before an interrupt occurs
1
. Be aware that the LSS instruction offers a more efficient
method of loading the SS and ESP registers.
When operating in 32-bit mode and moving data between a segment register and a general-purpose register, the
32-bit IA-32 processors do not require the use of the 16-bit operand-size prefix (a byte with the value 66H) with
N O T E S :
* The moffs8, moffs16, moffs32 and moffs64 operands specify a simple offset relative to the segment base, where 8, 16, 32 and 64
refer to the size of the data. The address-size attribute of the instruction determines the size of the offset, either 16, 32 or 64
bits.
**In 32-bit mode, the assembler may insert the 16-bit operand-size prefix with this instruction (see the following Description sec-
tion for further information).
***In 64-bit mode, r/m8 can not be encoded to access the following byte registers if a REX prefix is used: AH, BH, CH, DH.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
MR ModRM:r/m(w) ModRM:reg (r) NA NA
RM ModRM:reg (w) ModRM:r/m (r) NA NA
FD AL/AX/EAX/RAX Moffs NA NA
TD Moffs (w) AL/AX/EAX/RAX NA NA
OI opcode +rd (w) imm8/16/32/64 NA NA
MI ModRM:r/m(w) imm8/16/32/64 NA NA
1. If a code instruction breakpoint (for debug) is placed on an instruction located immediately after a MOV SS instruction, the break-
point may not be triggered. However, in a sequence of instructions that load the SS register, only the first instruction in the
sequence is guaranteed to delay an interrupt.
In the following sequence, interrupts may be recognized before MOV ESP, EBP executes:
MOV SS, EDX
MOV SS, EAX
MOV ESP, EBP
MOVMove
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-503
this instruction, but most assemblers will insert it if the standard form of the instruction is used (for example, MOV
DS, AX). The processor will execute this instruction correctly, but it will usually require an extra clock. With most
assemblers, using the instruction form MOV DS, EAX will avoid this unneeded 66H prefix. When the processor
executes the instruction with a 32-bit general-purpose register, it assumes that the 16 least-significant bits of the
general-purpose register are the destination or source operand. If the register is a destination operand, the
resulting value in the two high-order bytes of the register is implementation dependent. For the Pentium 4, Intel
Xeon, and P6 family processors, the two high-order bytes are filled with zeros; for earlier 32-bit IA-32 processors,
the two high order bytes are undefined.
In 64-bit mode, the instructions default operation size is 32 bits. Use of the REX.R prefix permits access to addi-
tional registers (R8-R15). Use of the REX.W prefix promotes operation to 64 bits. See the summary chart at the
beginning of this section for encoding data and limits.
O p e ra t io n
DEST SRC;
Loading a segment register while in protected mode results in special checks and actions, as described in the
following listing. These checks are performed on the segment selector and the segment descriptor to which it
points.
IF SS is loaded
THEN
IF segment selector is NULL
THEN #GP(0); FI;
IF segment selector index is outside descriptor table limits
or segment selector's RPL CPL
or segment is not a writable data segment
or DPL CPL
THEN #GP(selector); FI;
IF segment not marked present
THEN #SS(selector);
ELSE
SS segment selector;
SS segment descriptor; FI;
FI;
IF DS, ES, FS, or GS is loaded with non-NULL selector
THEN
IF segment selector index is outside descriptor table limits
or segment is not a data or readable code segment
or ((segment is a data or nonconforming code segment)
or ((RPL > DPL) and (CPL > DPL))
THEN #GP(selector); FI;
IF segment not marked present
THEN #NP(selector);
ELSE
SegmentRegister segment selector;
SegmentRegister segment descriptor; FI;
FI;
IF DS, ES, FS, or GS is loaded with NULL selector
THEN
SegmentRegister segment selector;
SegmentRegister segment descriptor;
FI;
MOVMove
INSTRUCTION SET REFERENCE, A-M
3-504 Vol. 2A
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If attempt is made to load SS register with NULL segment selector.
If the destination operand is in a non-writable segment.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#GP(selector) If segment selector index is outside descriptor table limits.
If the SS register is being loaded and the segment selector's RPL and the segment descriptors
DPL are not equal to the CPL.
If the SS register is being loaded and the segment pointed to is a
non-writable data segment.
If the DS, ES, FS, or GS register is being loaded and the segment pointed to is not a data or
readable code segment.
If the DS, ES, FS, or GS register is being loaded and the segment pointed to is a data or
nonconforming code segment, but both the RPL and the CPL are greater than the DPL.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#SS(selector) If the SS register is being loaded and the segment pointed to is marked not present.
#NP If the DS, ES, FS, or GS register is being loaded and the segment pointed to is marked not
present.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If attempt is made to load the CS register.
If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If attempt is made to load the CS register.
If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If attempt is made to load the CS register.
If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
MOVMove
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-505
6 4 -B it Mo d e E x ce p t io n s
#GP(0) If the memory address is in a non-canonical form.
If an attempt is made to load SS register with NULL segment selector when CPL = 3.
If an attempt is made to load SS register with NULL segment selector when CPL < 3 and CPL
RPL.
#GP(selector) If segment selector index is outside descriptor table limits.
If the memory access to the descriptor table is non-canonical.
If the SS register is being loaded and the segment selector's RPL and the segment descriptors
DPL are not equal to the CPL.
If the SS register is being loaded and the segment pointed to is a nonwritable data segment.
If the DS, ES, FS, or GS register is being loaded and the segment pointed to is not a data or
readable code segment.
If the DS, ES, FS, or GS register is being loaded and the segment pointed to is a data or
nonconforming code segment, but both the RPL and the CPL are greater than the DPL.
#SS(0) If the stack address is in a non-canonical form.
#SS(selector) If the SS register is being loaded and the segment pointed to is marked not present.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If attempt is made to load the CS register.
If the LOCK prefix is used.
MOVMove to/fromControl Registers
INSTRUCTION SET REFERENCE, A-M
3-506 Vol. 2A
MO VMo v e t o /fro m C o n t ro l R e g ist e rs
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Moves the contents of a control register (CR0, CR2, CR3, CR4, or CR8) to a general-purpose register or the
contents of a general purpose register to a control register. The operand size for these instructions is always 32 bits
in non-64-bit modes, regardless of the operand-size attribute. (See Control Registers in Chapter 2 of the Intel
64 and IA-32 Architectures Software Developers Manual, Volume 3A, for a detailed description of the flags and
fields in the control registers.) This instruction can be executed only when the current privilege level is 0.
At the opcode level, the reg field within the ModR/M byte specifies which of the control registers is loaded or read.
The 2 bits in the mod field are ignored. The r/m field specifies the general-purpose register loaded or read.
Attempts to reference CR1, CR5, CR6, CR7, and CR9CR15 result in undefined opcode (#UD) exceptions.
When loading control registers, programs should not attempt to change the reserved bits; that is, always set
reserved bits to the value previously read. An attempt to change CR4's reserved bits will cause a general protection
fault. Reserved bits in CR0 and CR3 remain clear after any load of those registers; attempts to set them have no
impact. On Pentium 4, Intel Xeon and P6 family processors, CR0.ET remains set after any load of CR0; attempts to
clear this bit have no impact.
In certain cases, these instructions have the side effect of invalidating entries in the TLBs and the paging-structure
caches. See Section 4.10.4.1, Operations that Invalidate TLBs and Paging-Structure Caches, in the Intel 64 and
IA-32 Architectures Software Developers Manual, Volume 3A for details.
The following side effects are implementation-specific for the Pentium 4, Intel Xeon, and P6 processor family: when
modifying PE or PG in register CR0, or PSE or PAE in register CR4, all TLB entries are flushed, including global
entries. Software should not depend on this functionality in all Intel 64 or IA-32 processors.
In 64-bit mode, the instructions default operation size is 64 bits. The REX.R prefix must be used to access CR8. Use
of REX.B permits access to additional registers (R8-R15). Use of the REX.W prefix or 66H prefix is ignored. Use of
O p co d e /
I n st ru ct io n
O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 20/r
MOV r32, CR0CR7
MR N.E. Valid Move control register to r32.
0F 20/r
MOV r64, CR0CR7
MR Valid N.E. Move extended control register to r64.
REX.R +0F 20 /0
MOV r64, CR8
MR Valid N.E. Move extended CR8 to r64.
1
0F 22 /r
MOV CR0CR7, r32
RM N.E. Valid Move r32 to control register.
0F 22 /r
MOV CR0CR7, r64
RM Valid N.E. Move r64 to extended control register.
REX.R +0F 22 /0
MOV CR8, r64
RM Valid N.E. Move r64 to extended CR8.
1
N O T E :
1. MOV CR* instructions, except for MOV CR8, are serializing instructions. MOV CR8 is not
architecturally defined as a serializing instruction. For more information, see Chapter 8 in Intel64 and IA-32 Architectures Soft-
ware Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
MR ModRM:r/m(w) ModRM:reg (r) NA NA
RM ModRM:reg (w) ModRM:r/m (r) NA NA
MOVMove to/fromControl Registers
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-507
the REX.R prefix to specify a register other than CR8 causes an invalid-opcode exception. See the summary chart
at the beginning of this section for encoding data and limits.
If CR4.PCIDE = 1, bit 63 of the source operand to MOV to CR3 determines whether the instruction invalidates
entries in the TLBs and the paging-structure caches (see Section 4.10.4.1, Operations that Invalidate TLBs and
Paging-Structure Caches, in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3A). The
instruction does not modify bit 63 of CR3, which is reserved and always 0.
See Changes to Instruction Behavior in VMX Non-Root Operation in Chapter 25 of the Intel 64 and IA-32 Archi-
tectures Software Developers Manual, Volume 3C, for more information about the behavior of this instruction in
VMX non-root operation.
O p e ra t io n
DEST SRC;
F la g s Af fe ct e d
The OF, SF, ZF, AF, PF, and CF flags are undefined.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the current privilege level is not 0.
If an attempt is made to write invalid bit combinations in CR0 (such as setting the PG flag to 1
when the PE flag is set to 0, or setting the CD flag to 0 when the NW flag is set to 1).
If an attempt is made to write a 1 to any reserved bit in CR4.
If an attempt is made to write 1 to CR4.PCIDE.
If any of the reserved bits are set in the page-directory pointers table (PDPT) and the loading
of a control register causes the PDPT to be loaded into the processor.
#UD If the LOCK prefix is used.
If an attempt is made to access CR1, CR5, CR6, or CR7.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If an attempt is made to write a 1 to any reserved bit in CR4.
If an attempt is made to write 1 to CR4.PCIDE.
If an attempt is made to write invalid bit combinations in CR0 (such as setting the PG flag to 1
when the PE flag is set to 0).
#UD If the LOCK prefix is used.
If an attempt is made to access CR1, CR5, CR6, or CR7.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) These instructions cannot be executed in virtual-8086 mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
#GP(0) If the current privilege level is not 0.
If an attempt is made to write invalid bit combinations in CR0 (such as setting the PG flag to 1
when the PE flag is set to 0, or setting the CD flag to 0 when the NW flag is set to 1).
If an attempt is made to change CR4.PCIDE from 0 to 1 while CR3[11:0] 000H.
If an attempt is made to clear CR0.PG[bit 31] while CR4.PCIDE = 1.
If an attempt is made to write a 1 to any reserved bit in CR3.
If an attempt is made to leave IA-32e mode by clearing CR4.PAE[bit 5].
#UD If the LOCK prefix is used.
If an attempt is made to access CR1, CR5, CR6, or CR7.
MOVMove to/fromControl Registers
INSTRUCTION SET REFERENCE, A-M
3-508 Vol. 2A
6 4 -B it Mo d e E x ce p t io n s
#GP(0) If the current privilege level is not 0.
If an attempt is made to write invalid bit combinations in CR0 (such as setting the PG flag to 1
when the PE flag is set to 0, or setting the CD flag to 0 when the NW flag is set to 1).
If an attempt is made to change CR4.PCIDE from 0 to 1 while CR3[11:0] 000H.
If an attempt is made to clear CR0.PG[bit 31].
If an attempt is made to write a 1 to any reserved bit in CR4.
If an attempt is made to write a 1 to any reserved bit in CR8.
If an attempt is made to write a 1 to any reserved bit in CR3.
If an attempt is made to leave IA-32e mode by clearing CR4.PAE[bit 5].
#UD If the LOCK prefix is used.
If an attempt is made to access CR1, CR5, CR6, or CR7.
If the REX.R prefix is used to specify a register other than CR8.
MOVMove to/fromDebug Registers
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-509
MO VMo v e t o /fro m D e b u g R e g ist e rs
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Moves the contents of a debug register (DR0, DR1, DR2, DR3, DR4, DR5, DR6, or DR7) to a general-purpose
register or vice versa. The operand size for these instructions is always 32 bits in non-64-bit modes, regardless of
the operand-size attribute. (See Section 17.2, Debug Registers, of the Intel 64 and IA-32 Architectures Soft-
ware Developers Manual, Volume 3A, for a detailed description of the flags and fields in the debug registers.)
The instructions must be executed at privilege level 0 or in real-address mode.
When the debug extension (DE) flag in register CR4 is clear, these instructions operate on debug registers in a
manner that is compatible with Intel386 and Intel486 processors. In this mode, references to DR4 and DR5 refer
to DR6 and DR7, respectively. When the DE flag in CR4 is set, attempts to reference DR4 and DR5 result in an
undefined opcode (#UD) exception. (The CR4 register was added to the IA-32 Architecture beginning with the
Pentium processor.)
At the opcode level, the reg field within the ModR/M byte specifies which of the debug registers is loaded or read.
The two bits in the mod field are ignored. The r/m field specifies the general-purpose register loaded or read.
In 64-bit mode, the instructions default operation size is 64 bits. Use of the REX.B prefix permits access to addi-
tional registers (R8R15). Use of the REX.W or 66H prefix is ignored. Use of the REX.R prefix causes an invalid-
opcode exception. See the summary chart at the beginning of this section for encoding data and limits.
O p e ra t io n
IF ((DE = 1) and (SRC or DEST = DR4 or DR5))
THEN
#UD;
ELSE
DEST SRC;
FI;
F la g s Af fe ct e d
The OF, SF, ZF, AF, PF, and CF flags are undefined.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 21/r
MOV r32, DR0DR7
MR N.E. Valid Move debug register to r32.
0F 21/r
MOV r64, DR0DR7
MR Valid N.E. Move extended debug register to r64.
0F 23 /r
MOV DR0DR7, r32
RM N.E. Valid Move r32 to debug register.
0F 23 /r
MOV DR0DR7, r64
RM Valid N.E. Move r64 to extended debug register.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
MR ModRM:r/m (w) ModRM:reg (r) NA NA
RM ModRM:reg (w) ModRM:r/m (r) NA NA
MOVMove to/fromDebug Registers
INSTRUCTION SET REFERENCE, A-M
3-510 Vol. 2A
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the current privilege level is not 0.
#UD If CR4.DE[bit 3] = 1 (debug extensions) and a MOV instruction is executed involving DR4 or
DR5.
If the LOCK prefix is used.
#DB If any debug register is accessed while the DR7.GD[bit 13] = 1.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#UD If CR4.DE[bit 3] = 1 (debug extensions) and a MOV instruction is executed involving DR4 or
DR5.
If the LOCK prefix is used.
#DB If any debug register is accessed while the DR7.GD[bit 13] = 1.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) The debug registers cannot be loaded or read when in virtual-8086 mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#GP(0) If the current privilege level is not 0.
If an attempt is made to write a 1 to any of bits 63:32 in DR6.
If an attempt is made to write a 1 to any of bits 63:32 in DR7.
#UD If CR4.DE[bit 3] = 1 (debug extensions) and a MOV instruction is executed involving DR4 or
DR5.
If the LOCK prefix is used.
If the REX.R prefix is used.
#DB If any debug register is accessed while the DR7.GD[bit 13] = 1.
MOVAPDMove Aligned Packed Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-511
MO VAP D Mo v e Alig n e d P a cke d D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Moves 2 or 4 double-precision floating-point values from the source operand (second operand) to the destination
operand (first operand). This instruction can be used to load an XMM or YMM register from an 128-bit or 256-bit
memory location, to store the contents of an XMM or YMM register into a 128-bit or 256-bit memory location, or to
move data between two XMM or two YMM registers. When the source or destination operand is a memory operand,
the operand must be aligned on a 16-byte (128-bit version) or 32-byte (VEX.256 encoded version) boundary or a
general-protection exception (#GP) will be generated.
To move double-precision floating-point values to and from unaligned memory locations, use the (V)MOVUPD
instruction.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
128-bit versions: Moves 128 bits of packed double-precision floating-point values from the source operand (second
operand) to the destination operand (first operand). This instruction can be used to load an XMM register from a
128-bit memory location, to store the contents of an XMM register into a 128-bit memory location, or to move data
between two XMM registers. When the source or destination operand is a memory operand, the operand must be
aligned on a 16-byte boundary or a general-protection exception (#GP) will be generated. To move single-precision
floating-point values to and from unaligned memory locations, use the VMOVUPD instruction.
128-bit Legacy SSE version: Bits (VLMAX-1:128) of the corresponding YMM destination register remain
unchanged.
VEX.128 encoded version: Bits (VLMAX-1:128) of the destination YMM register destination are zeroed.
VEX.256 encoded version: Moves 256 bits of packed double-precision floating-point values from the source
operand (second operand) to the destination operand (first operand). This instruction can be used to load a YMM
register from a 256-bit memory location, to store the contents of a YMM register into a 256-bit memory location,
or to move data between two YMM registers. When the source or destination operand is a memory operand, the
operand must be aligned on a 32-byte boundary or a general-protection exception (#GP) will be generated. To
move single-precision floating-point values to and from unaligned memory locations, use the VMOVUPD instruc-
tion.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 28 /r
MOVAPD xmm1, xmm2/m128
RM V/V SSE2 Move packed double-precision floating-point
values from xmm2/m128 to xmm1.
66 0F 29 /r
MOVAPD xmm2/m128, xmm1
MR V/V SSE2 Move packed double-precision floating-point
values from xmm1 to xmm2/m128.
VEX.128.66.0F.WIG 28 /r
VMOVAPD xmm1, xmm2/m128
RM V/V AVX Move aligned packed double-precision floating-
point values fromxmm2/mem to xmm1.
VEX.128.66.0F.WIG 29 /r
VMOVAPD xmm2/m128, xmm1
MR V/V AVX Move aligned packed double-precision floating-
point values fromxmm1 to xmm2/mem.
VEX.256.66.0F.WIG 28 /r
VMOVAPD ymm1, ymm2/m256
RM V/V AVX Move aligned packed double-precision floating-
point values fromymm2/mem to ymm1.
VEX.256.66.0F.WIG 29 /r
VMOVAPD ymm2/m256, ymm1
MR V/V AVX Move aligned packed double-precision floating-
point values fromymm1 to ymm2/mem.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m(r) NA NA
MR ModRM:r/m (w) ModRM:reg (r) NA NA
MOVAPDMove Aligned Packed Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-512 Vol. 2A
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b otherwise instructions will #UD.
O p e ra t io n
MO VAP D (1 2 8 -b it lo a d - a n d re g ist e r-co p y - fo rm Le g a cy S S E v e rsio n )
DEST[127:0] SRC[127:0]
DEST[VLMAX-1:128] (Unmodified)
(V)MO V AP D (1 2 8 -b it st o re -fo rm v e rsio n )
DEST[127:0] SRC[127:0]
VMO VAP D (VE X.1 2 8 e n co d e d v e rsio n )
DEST[127:0] SRC[127:0]
DEST[VLMAX-1:128] 0
VMO VAP D (VE X.2 5 6 e n co d e d v e rsio n )
DEST[255:0] SRC[255:0]
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
MOVAPD: __m128d _mm_load_pd (double const * p);
MOVAPD: _mm_store_pd(double * p, __m128d a);
VMOVAPD: __m256d _mm256_load_pd (double const * p);
VMOVAPD: _mm256_store_pd(double * p, __m256d a);
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 1.SSE2; additionally
#UD If VEX.vvvv != 1111B.
MOVAPSMove Aligned Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-513
MO VAP S Mo v e Alig n e d P a cke d S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Moves 4 or8 single-precision floating-point values from the source operand (second operand) to the destination
operand (first operand). This instruction can be used to load an XMM or YMM register from an 128-bit or 256-bit
memory location, to store the contents of an XMM or YMM register into a 128-bit or 256-bit memory location, or to
move data between two XMM or two YMM registers. When the source or destination operand is a memory operand,
the operand must be aligned on a 16-byte (128-bit version) or 32-byte (VEX.256 encoded version) boundary or a
general-protection exception (#GP) will be generated.
To move single-precision floating-point values to and from unaligned memory locations, use the (V)MOVUPS
instruction.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b otherwise instructions will #UD.
128-bit versions:
Moves 128 bits of packed single-precision floating-point values from the source operand (second operand) to the
destination operand (first operand). This instruction can be used to load an XMM register from a 128-bit memory
location, to store the contents of an XMM register into a 128-bit memory location, or to move data between two
XMM registers. When the source or destination operand is a memory operand, the operand must be aligned on a
16-byte boundary or a general-protection exception (#GP) will be generated. To move single-precision floating-
point values to and from unaligned memory locations, use the VMOVUPS instruction.
128-bit Legacy SSE version: Bits (VLMAX-1:128) of the corresponding YMM destination register remain
unchanged.
VEX.128 encoded version: Bits (VLMAX-1:128) of the destination YMM register are zeroed.
VEX.256 encoded version:
Moves 256 bits of packed single-precision floating-point values from the source operand (second operand) to the
destination operand (first operand). This instruction can be used to load a YMM register from a 256-bit memory
location, to store the contents of a YMM register into a 256-bit memory location, or to move data between two YMM
registers.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 28 /r
MOVAPS xmm1, xmm2/m128
RM V/V SSE Move packed single-precision floating-point
values from xmm2/m128 to xmm1.
0F 29 /r
MOVAPS xmm2/m128, xmm1
MR V/V SSE Move packed single-precision floating-point
values from xmm1 to xmm2/m128.
VEX.128.0F.WIG 28 /r
VMOVAPS xmm1, xmm2/m128
RM V/V AVX Move aligned packed single-precision floating-
point values fromxmm2/mem to xmm1.
VEX.128.0F.WIG 29 /r
VMOVAPS xmm2/m128, xmm1
MR V/V AVX Move aligned packed single-precision floating-
point values fromxmm1 to xmm2/mem.
VEX.256.0F.WIG 28 /r
VMOVAPS ymm1, ymm2/m256
RM V/V AVX Move aligned packed single-precision floating-
point values fromymm2/mem to ymm1.
VEX.256.0F.WIG 29 /r
VMOVAPS ymm2/m256, ymm1
MR V/V AVX Move aligned packed single-precision floating-
point values fromymm1 to ymm2/mem.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
MR ModRM:r/m (w) ModRM:reg (r) NA NA
MOVAPSMove Aligned Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-514 Vol. 2A
O p e ra t io n
MO VAP S (1 2 8 -b it lo a d - a n d re g ist e r-co p y - fo rm Le g a cy S S E v e rsio n )
DEST[127:0] SRC[127:0]
DEST[VLMAX-1:128] (Unmodified)
(V)MO V AP S (1 2 8 -b it st o re fo rm )
DEST[127:0] SRC[127:0]
VMO VAP S (VE X.1 2 8 e n co d e d v e rsio n )
DEST[127:0] SRC[127:0]
DEST[VLMAX-1:128] 0
VMO VAP S (VE X.2 5 6 e n co d e d v e rsio n )
DEST[255:0] SRC[255:0]
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
MOVAPS: __m128 _mm_load_ps (float const * p);
MOVAPS: _mm_store_ps(float * p, __m128 a);
VMOVAPS: __m256 _mm256_load_ps (float const * p);
VMOVAPS: _mm256_store_ps(float * p, __m256 a);
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 1.SSE; additionally
#UD If VEX.vvvv != 1111B.
MOVBEMove Data After Swapping Bytes
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-515
MO VB E Mo v e D a t a Aft e r S w a p p in g B y t e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a byte swap operation on the data copied from the second operand (source operand) and store the result
in the first operand (destination operand). The source operand can be a general-purpose register, or memory loca-
tion; the destination register can be a general-purpose register, or a memory location; however, both operands can
not be registers, and only one operand can be a memory location. Both operands must be the same size, which can
be a word, a doubleword or quadword.
The MOVBE instruction is provided for swapping the bytes on a read from memory or on a write to memory; thus
providing support for converting little-endian values to big-endian format and vice versa.
In 64-bit mode, the instruction's default operation size is 32 bits. Use of the REX.R prefix permits access to addi-
tional registers (R8-R15). Use of the REX.W prefix promotes operation to 64 bits. See the summary chart at the
beginning of this section for encoding data and limits.
O p e ra t io n
TEMP SRC
IF ( OperandSize = 16)
THEN
DEST[7:0] TEMP[15:8];
DEST[15:8] TEMP[7:0];
ELES IF ( OperandSize = 32)
DEST[7:0] TEMP[31:24];
DEST[15:8] TEMP[23:16];
DEST[23:16] TEMP[15:8];
DEST[31:23] TEMP[7:0];
ELSE IF ( OperandSize = 64)
DEST[7:0] TEMP[63:56];
DEST[15:8] TEMP[55:48];
DEST[23:16] TEMP[47:40];
DEST[31:24] TEMP[39:32];
DEST[39:32] TEMP[31:24];
DEST[47:40] TEMP[23:16];
DEST[55:48] TEMP[15:8];
DEST[63:56] TEMP[7:0];
FI;
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 38 F0 /r MOVBE r16, m16 RM Valid Valid Reverse byte order in m16 and move to r16.
0F 38 F0 /r MOVBE r32, m32 RM Valid Valid Reverse byte order in m32 and move to r32.
REX.W +0F 38 F0 /r MOVBE r64, m64 RM Valid N.E. Reverse byte order in m64 and move to r64.
0F 38 F1 /r MOVBE m16, r16 MR Valid Valid Reverse byte order in r16 and move to m16.
0F 38 F1 /r MOVBE m32, r32 MR Valid Valid Reverse byte order in r32 and move to m32.
REX.W +0F 38 F1 /r MOVBE m64, r64 MR Valid N.E. Reverse byte order in r64 and move to m64.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
MR ModRM:r/m (w) ModRM:reg (r) NA NA
MOVBEMove Data After Swapping Bytes
INSTRUCTION SET REFERENCE, A-M
3-516 Vol. 2A
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the destination operand is in a non-writable segment.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If CPUID.01H:ECX.MOVBE[bit 22] = 0 .
If the LOCK prefix is used.
If REP (F3H) prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If CPUID.01H:ECX.MOVBE[bit 22] = 0 .
If the LOCK prefix is used.
If REP (F3H) prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If CPUID.01H:ECX.MOVBE[bit 22] = 0 .
If the LOCK prefix is used.
If REP (F3H) prefix is used.
If REPNE (F2H) prefix is used and CPUID.01H:ECX.SSE4_2[bit 20] = 0.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#GP(0) If the memory address is in a non-canonical form.
#SS(0) If the stack address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If CPUID.01H:ECX.MOVBE[bit 22] = 0 .
If the LOCK prefix is used.
If REP (F3H) prefix is used.
MOVD/MOVQMove Doubleword/Move Quadword
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-517
MO V D /MO VQ Mo v e D o u b le w o rd /Mo v e Q u a d w o rd
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Copies a doubleword from the source operand (second operand) to the destination operand (first operand). The
source and destination operands can be general-purpose registers, MMX technology registers, XMM registers, or
32-bit memory locations. This instruction can be used to move a doubleword to and from the low doubleword of an
MMX technology register and a general-purpose register or a 32-bit memory location, or to and from the low
doubleword of an XMM register and a general-purpose register or a 32-bit memory location. The instruction cannot
be used to transfer data between MMX technology registers, between XMM registers, between general-purpose
registers, or between memory locations.
When the destination operand is an MMX technology register, the source operand is written to the low doubleword
of the register, and the register is zero-extended to 64 bits. When the destination operand is an XMM register, the
source operand is written to the low doubleword of the register, and the register is zero-extended to 128 bits.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 6E /r
MOVD mm, r/m32
RM V/V MMX Move doubleword fromr/m32 to mm.
REX.W +0F 6E /r
MOVQ mm, r/m64
RM V/N.E. MMX Move quadword from r/m64 to mm.
0F 7E /r
MOVD r/m32, mm
MR V/V MMX Move doubleword frommm to r/m32.
REX.W +0F 7E /r
MOVQ r/m64, mm
MR V/N.E. MMX Move quadword from mm to r/m64.
VEX.128.66.0F.W0 6E /
VMOVD xmm1, r32/m32
RM V/V AVX Move doubleword from r/m32 to xmm1.
VEX.128.66.0F.W1 6E /r
VMOVQ xmm1, r64/m64
RM V/N.E. AVX Move quadword from r/m64 to xmm1.
66 0F 6E /r
MOVD xmm, r/m32
RM V/V SSE2 Move doubleword from r/m32 to xmm.
66 REX.W 0F 6E /r
MOVQ xmm, r/m64
RM V/N.E. SSE2 Move quadword from r/m64 to xmm.
66 0F 7E /r
MOVD r/m32, xmm
MR V/V SSE2 Move doubleword fromxmm register to
r/m32.
66 REX.W 0F 7E /r
MOVQ r/m64, xmm
MR V/N.E. SSE2 Move quadword from xmm register to r/m64.
VEX.128.66.0F.W0 7E /r
VMOVD r32/m32, xmm1
MR V/V AVX Move doubleword from xmm1 register to
r/m32.
VEX.128.66.0F.W1 7E /r
VMOVQ r64/m64, xmm1
MR V/N.E. AVX Move quadword from xmm1 register to r/m64.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
MR ModRM:r/m (w) ModRM:reg (r) NA NA
MOVD/MOVQMove Doubleword/Move Quadword
INSTRUCTION SET REFERENCE, A-M
3-518 Vol. 2A
In 64-bit mode, the instructions default operation size is 32 bits. Use of the REX.R prefix permits access to addi-
tional registers (R8-R15). Use of the REX.W prefix promotes operation to 64 bits. See the summary chart at the
beginning of this section for encoding data and limits.
O p e ra t io n
MO VD (w h e n d e st in a t io n o p e ra n d is MMX t e ch n o lo g y re g ist e r)
DEST[31:0] SRC;
DEST[63:32] 00000000H;
MO VD (w h e n d e st in a t io n o p e ra n d is XMM re g ist e r)
DEST[31:0] SRC;
DEST[127:32] 000000000000000000000000H;
DEST[VLMAX-1:128] (Unmodified)
MO VD (w h e n so u rce o p e ra n d is MMX t e ch n o lo g y o r XMM re g ist e r)
DEST SRC[31:0];
VMO VD (VE X-e n co d e d v e rsio n w h e n d e st in a t io n is a n XMM re g ist e r)
DEST[31:0] SRC[31:0]
DEST[VLMAX-1:32] 0
MO VQ (w h e n d e st in a t io n o p e ra n d is XMM re g ist e r)
DEST[63:0] SRC[63:0];
DEST[127:64] 0000000000000000H;
DEST[VLMAX-1:128] (Unmodified)
MO VQ (w h e n d e st in a t io n o p e ra n d is r/m 6 4 )
DEST[63:0] SRC[63:0];
MO VQ (w h e n so u rce o p e ra n d is XMM re g ist e r o r r/m 6 4 )
DEST SRC[63:0];
VMO VQ (V E X-e n co d e d v e rsio n w h e n d e st in a t io n is a n XMM re g ist e r)
DEST[63:0] SRC[63:0]
DEST[VLMAX-1:64] 0
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
MOVD: __m64 _mm_cvtsi32_si64 (int i )
MOVD: int _mm_cvtsi64_si32 ( __m64m )
MOVD: __m128i _mm_cvtsi32_si128 (int a)
MOVD: int _mm_cvtsi128_si32 ( __m128i a)
MOVQ: __int64 _mm_cvtsi128_si64(__m128i);
MOVQ: __m128i _mm_cvtsi64_si128(__int64);
F la g s Af fe ct e d
None.
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 5; additionally
MOVD/MOVQMove Doubleword/Move Quadword
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-519
#UD If VEX.L = 1.
If VEX.vvvv != 1111B.
MOVDDUPMove One Double-FP and Duplicate
INSTRUCTION SET REFERENCE, A-M
3-520 Vol. 2A
MO VD D U P Mo v e O n e D o u b le -F P a n d D u p lica t e
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
The linear address corresponds to the address of the least-significant byte of the referenced memory data. When a
memory address is indicated, the 8 bytes of data at memory location m64 are loaded. When the register-register
form of this operation is used, the lower half of the 128-bit source register is duplicated and copied into the 128-bit
destination register. See Figure 3-24.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
F2 0F 12 /r
MOVDDUP xmm1, xmm2/m64
RM V/V SSE3 Move one double-precision floating-point
value from the lower 64-bit operand in
xmm2/m64 to xmm1 and duplicate.
VEX.128.F2.0F.WIG 12 /r
VMOVDDUP xmm1, xmm2/m64
RM V/V AVX Move double-precision floating-point values
from xmm2/mem and duplicate into xmm1.
VEX.256.F2.0F.WIG 12 /r
VMOVDDUP ymm1, ymm2/m256
RM V/V AVX Move even index double-precision floating-
point values from ymm2/mem and duplicate
each element into ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
F ig u re 3 -2 4 . MO V D D U P Mo v e O n e D o u b le -F P a n d D u p lica t e
OM15997
xmm1[127:64] xmm2/m64[63:0] xmm1[63:0] xmm2/m64[63:0]
[63:0]
[127:64] [63:0]
MOVDDUP xmm1, xmm2/m64
RESULT:
xmm1
xmm2/m64
MOVDDUPMove One Double-FP and Duplicate
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-521
O p e ra t io n
IF (Source =m64)
THEN
(* Load instruction *)
xmm1[63:0] =m64;
xmm1[127:64] =m64;
ELSE
(* Move instruction *)
xmm1[63:0] =xmm2[63:0];
xmm1[127:64] =xmm2[63:0];
FI;
MO VD D U P (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[63:0] SRC[63:0]
DEST[127:64] SRC[63:0]
DEST[VLMAX-1:128] (Unmodified)
VMO VD D U P (VE X.1 2 8 e n co d e d v e rsio n )
DEST[63:0] SRC[63:0]
DEST[127:64] SRC[63:0]
DEST[VLMAX-1:128] 0
VMO VD D U P (VE X.2 5 6 e n co d e d v e rsio n )
DEST[63:0] SRC[63:0]
DEST[127:64] SRC[63:0]
DEST[191:128] SRC[191:128]
DEST[255:192] SRC[191:128]
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
MOVDDUP: __m128d _mm_movedup_pd(__m128d a)
MOVDDUP: __m128d _mm_loaddup_pd(double const * dp)
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Exceptions Type 5; additionally
#UD If VEX.vvvv != 1111B.
MOVDQAMove Aligned Double Quadword
INSTRUCTION SET REFERENCE, A-M
3-522 Vol. 2A
MO VD Q AMo v e Alig n e d D o u b le Q u a d w o rd
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
128-bit versions:
Moves 128 bits of packed integer values from the source operand (second operand) to the destination operand
(first operand). This instruction can be used to load an XMM register from a 128-bit memory location, to store the
contents of an XMM register into a 128-bit memory location, or to move data between two XMM registers.
When the source or destination operand is a memory operand, the operand must be aligned on a 16-byte boundary
or a general-protection exception (#GP) will be generated. To move integer data to and from unaligned memory
locations, use the VMOVDQU instruction.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
128-bit Legacy SSE version: Bits (VLMAX-1:128) of the corresponding YMM destination register remain
unchanged.
VEX.128 encoded version: Bits (VLMAX-1:128) of the destination YMM register are zeroed.
VEX.256 encoded version:
Moves 256 bits of packed integer values from the source operand (second operand) to the destination operand
(first operand). This instruction can be used to load a YMM register from a 256-bit memory location, to store the
contents of a YMM register into a 256-bit memory location, or to move data between two YMM registers.
When the source or destination operand is a memory operand, the operand must be aligned on a 32-byte boundary
or a general-protection exception (#GP) will be generated. To move integer data to and from unaligned memory
locations, use the VMOVDQU instruction.
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b otherwise instructions will #UD.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 6F /r
MOVDQA xmm1, xmm2/m128
RM V/V SSE2 Move aligned double quadword from
xmm2/m128 to xmm1.
66 0F 7F /r
MOVDQA xmm2/m128, xmm1
MR V/V SSE2 Move aligned double quadword from xmm1 to
xmm2/m128.
VEX.128.66.0F.WIG 6F /r
VMOVDQA xmm1, xmm2/m128
RM V/V AVX Move aligned packed integer values from
xmm2/mem to xmm1.
VEX.128.66.0F.WIG 7F /r
VMOVDQA xmm2/m128, xmm1
MR V/V AVX Move aligned packed integer values from
xmm1 to xmm2/mem.
VEX.256.66.0F.WIG 6F /r
VMOVDQA ymm1, ymm2/m256
RM V/V AVX Move aligned packed integer values from
ymm2/mem to ymm1.
VEX.256.66.0F.WIG 7F /r
VMOVDQA ymm2/m256, ymm1
MR V/V AVX Move aligned packed integer values from
ymm1 to ymm2/mem.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
MR ModRM:r/m (w) ModRM:reg (r) NA NA
MOVDQAMove Aligned Double Quadword
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-523
O p e ra t io n
MO VD Q A (1 2 8 -b it lo a d - a n d re g ist e r- f o rm Le g a cy S S E v e rsio n )
DEST[127:0] SRC[127:0]
DEST[VLMAX-1:128] (Unmodified)
(* #GP if SRC or DEST unaligned memory operand *)
(V)MO VD Q A (1 2 8 -b it st o re f o rm s)
DEST[127:0] SRC[127:0]
VMO VD Q A (VE X.1 2 8 e n co d e d v e rsio n )
DEST[127:0] SRC[127:0]
DEST[VLMAX-1:128] 0
VMO VD Q A (VE X.2 5 6 e n co d e d v e rsio n )
DEST[255:0] SRC[255:0]
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
MOVDQA: __m128i _mm_load_si128 ( __m128i *p)
MOVDQA: void _mm_store_si128 ( __m128i *p, __m128i a)
VMOVDQA: __m256i _mm256_load_si256 (__m256i * p);
VMOVDQA: _mm256_store_si256(_m256i *p, __m256i a);
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 1.SSE2; additionally
#UD If VEX.vvvv != 1111B.
MOVDQUMove Unaligned Double Quadword
INSTRUCTION SET REFERENCE, A-M
3-524 Vol. 2A
MO VD Q U Mo v e U n a lig n e d D o u b le Q u a d w o rd
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
128-bit versions:
Moves 128 bits of packed integer values from the source operand (second operand) to the destination operand
(first operand). This instruction can be used to load an XMM register from a 128-bit memory location, to store the
contents of an XMM register into a 128-bit memory location, or to move data between two XMM registers. When
the source or destination operand is a memory operand, the operand may be unaligned on a 16-byte boundary
without causing a general-protection exception (#GP) to be generated.
1
To move a double quadword to or from memory locations that are known to be aligned on 16-byte boundaries, use
the MOVDQA instruction.
While executing in 16-bit addressing mode, a linear address for a 128-bit data access that overlaps the end of a 16-
bit segment is not allowed and is defined as reserved behavior. A specific processor implementation may or may
not generate a general-protection exception (#GP) in this situation, and the address that spans the end of the
segment may or may not wrap around to the beginning of the segment.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
128-bit Legacy SSE version: Bits (VLMAX-1:128) of the corresponding YMM destination register remain
unchanged.
When the source or destination operand is a memory operand, the operand may be unaligned to any alignment
without causing a general-protection exception (#GP) to be generated
VEX.128 encoded version: Bits (VLMAX-1:128) of the destination YMM register are zeroed.
VEX.256 encoded version: Moves 256 bits of packed integer values from the source operand (second operand) to
the destination operand (first operand). This instruction can be used to load a YMM register from a 256-bit memory
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
F3 0F 6F /r
MOVDQU xmm1, xmm2/m128
RM V/V SSE2 Move unaligned double quadword from
xmm2/m128 to xmm1.
F3 0F 7F /r
MOVDQU xmm2/m128, xmm1
MR V/V SSE2 Move unaligned double quadword fromxmm1
to xmm2/m128.
VEX.128.F3.0F.WIG 6F /r
VMOVDQU xmm1, xmm2/m128
RM V/V AVX Move unaligned packed integer values from
xmm2/mem to xmm1.
VEX.128.F3.0F.WIG 7F /r
VMOVDQU xmm2/m128, xmm1
MR V/V AVX Move unaligned packed integer values from
xmm1 to xmm2/mem.
VEX.256.F3.0F.WIG 6F /r
VMOVDQU ymm1, ymm2/m256
RM V/V AVX Move unaligned packed integer values from
ymm2/mem to ymm1.
VEX.256.F3.0F.WIG 7F /r
VMOVDQU ymm2/m256, ymm1
MR V/V AVX Move unaligned packed integer values from
ymm1 to ymm2/mem.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
MR ModRM:r/m(w) ModRM:reg (r) NA NA
1. If alignment checking is enabled (CR0.AM=1, RFLAGS.AC=1, and CPL =3), an alignment-check exception (#AC) may or may not be
generated (depending on processor implementation) when the operand is not aligned on an 8-byte boundary.
MOVDQUMove Unaligned Double Quadword
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-525
location, to store the contents of a YMM register into a 256-bit memory location, or to move data between two YMM
registers.
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b otherwise instructions will #UD.
O p e ra t io n
MO VD Q U lo a d a n d re g ist e r co p y (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[127:0] SRC[127:0]
DEST[VLMAX-1:128] (Unmodified)
(V)MO VD Q U 1 2 8 -b it st o re -f o rm v e rsio n s
DEST[127:0] SRC[127:0]
VMO VD Q U (VE X.1 2 8 e n co d e d v e rsio n )
DEST[127:0] SRC[127:0]
DEST[VLMAX-1:128] 0
VMO VD Q U (VE X.2 5 6 e n co d e d v e rsio n )
DEST[255:0] SRC[255:0]
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
MOVDQU: void _mm_storeu_si128 ( __m128i *p, __m128i a)
MOVDQU: __m128i _mm_loadu_si128 ( __m128i *p)
VMOVDQU: __m256i _mm256_loadu_si256 (__m256i * p);
VMOVDQU: _mm256_storeu_si256(_m256i *p, __m256i a);
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.vvvv != 1111B.
MOVDQ2QMove Quadword fromXMM to MMX Technology Register
INSTRUCTION SET REFERENCE, A-M
3-526 Vol. 2A
MO VD Q 2 Q Mo v e Q u a d w o rd fro m XMM t o MMX Te ch n o lo g y R e g ist e r
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Moves the low quadword from the source operand (second operand) to the destination operand (first operand). The
source operand is an XMM register and the destination operand is an MMX technology register.
This instruction causes a transition from x87 FPU to MMX technology operation (that is, the x87 FPU top-of-stack
pointer is set to 0 and the x87 FPU tag word is set to all 0s [valid]). If this instruction is executed while an x87 FPU
floating-point exception is pending, the exception is handled before the MOVDQ2Q instruction is executed.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
O p e ra t io n
DEST SRC[63:0];
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
MOVDQ2Q: __m64 _mm_movepi64_pi64 ( __m128i a)
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
P ro t e ct e d Mo d e E x ce p t io n s
#NM If CR0.TS[bit 3] = 1.
#UD If CR0.EM[bit 2] = 1.
If CR4.OSFXSR[bit 9] = 0.
If CPUID.01H:EDX.SSE2[bit 26] = 0.
If the LOCK prefix is used.
#MF If there is a pending x87 FPU exception.
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as in protected mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
F2 0F D6 /r MOVDQ2Q mm, xmm RM Valid Valid Move low quadword from xmm to mmx
register.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
MOVHLPS Move Packed Single-Precision Floating-Point Values High to Low
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-527
MO VH LP S Mo v e P a cke d S in g le -P re cisio n F lo a t in g -P o in t Va lu e s H ig h t o Lo w
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
This instruction cannot be used for memory to register moves.
128-bit two-argument form:
Moves two packed single-precision floating-point values from the high quadword of the second XMM argument
(second operand) to the low quadword of the first XMM register (first argument). The high quadword of the desti-
nation operand is left unchanged. Bits (VLMAX-1:64) of the corresponding YMM destination register are unmodi-
fied.
128-bit three-argument form
Moves two packed single-precision floating-point values from the high quadword of the third XMM argument (third
operand) to the low quadword of the destination (first operand). Copies the high quadword from the second XMM
argument (second operand) to the high quadword of the destination (first operand). Bits (VLMAX-1:128) of the
destination YMM register are zeroed.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
If VMOVHLPS is encoded with VEX.L= 1, an attempt to execute the instruction encoded with VEX.L= 1 will cause
an #UD exception.
O p e ra t io n
MO VH LP S (1 2 8 -b it t w o -a rg u m e n t fo rm )
DEST[63:0] SRC[127:64]
DEST[VLMAX-1:64] (Unmodified)
VMO VH LP S (1 2 8 -b it t h re e -a rg u m e n t fo rm )
DEST[63:0] SRC2[127:64]
DEST[127:64] SRC1[127:64]
DEST[VLMAX-1:128] 0
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
MOVHLPS: __m128 _mm_movehl_ps(__m128 a, __m128 b)
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 12 /r
MOVHLPS xmm1, xmm2
RM V/V SSE Move two packed single-precision floating-
point values from high quadword of xmm2 to
low quadword of xmm1.
VEX.NDS.128.0F.WIG 12 /r
VMOVHLPS xmm1, xmm2, xmm3
RVM V/V AVX Merge two packed single-precision floating-
point values from high quadword of xmm3
and low quadword of xmm2.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
MOVHLPS Move Packed Single-Precision Floating-Point Values High to Low
INSTRUCTION SET REFERENCE, A-M
3-528 Vol. 2A
O t h e r E x ce p t io n s
See Exceptions Type 7; additionally
#UD If VEX.L= 1.
MOVHPDMove High Packed Double-Precision Floating-Point Value
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-529
MO V H P D Mo v e H ig h P a cke d D o u b le -P re cisio n F lo a t in g -P o in t Va lu e
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
This instruction cannot be used for register to register or memory to memory moves.
128-bit Legacy SSE load:
Moves a double-precision floating-point value from the source 64-bit memory operand and stores it in the high 64-
bits of the destination XMM register. The lower 64bits of the XMM register are preserved. The upper 128-bits of the
corresponding YMM destination register are preserved.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
VEX.128 encoded load:
Loads a double-precision floating-point value from the source 64-bit memory operand (third operand) and stores
it in the upper 64-bits of the destination XMM register (first operand). The low 64-bits from second XMM register
(second operand) are stored in the lower 64-bits of the destination. The upper 128-bits of the destination YMM
register are zeroed.
128-bit store:
Stores a double-precision floating-point value from the high 64-bits of the XMM register source (second operand)
to the 64-bit memory location (first operand).
Note: VMOVHPD (store) (VEX.128.66.0F 17 /r) is legal and has the same behavior as the existing 66 0F 17 store.
For VMOVHPD (store) (VEX.128.66.0F 17 /r) instruction version, VEX.vvvv is reserved and must be 1111b other-
wise instruction will #UD.
If VMOVHPD is encoded with VEX.L= 1, an attempt to execute the instruction encoded with VEX.L= 1 will cause an
#UD exception.
O p e ra t io n
MO VH P D (1 2 8 -b it Le g a cy S S E lo a d )
DEST[63:0] (Unmodified)
DEST[127:64] SRC[63:0]
DEST[VLMAX-1:128] (Unmodified)
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 16 /r
MOVHPD xmm, m64
RM V/V SSE2 Move double-precision floating-point value
from m64 to high quadword of xmm.
66 0F 17 /r
MOVHPD m64, xmm
MR V/V SSE2 Move double-precision floating-point value
from high quadword of xmm to m64.
VEX.NDS.128.66.0F.WIG 16 /r
VMOVHPD xmm2, xmm1, m64
RVM V/V AVX Merge double-precision floating-point value
from m64 and the low quadword of xmm1.
VEX128.66.0F.WIG 17/r
VMOVHPD m64, xmm1
MR V/V AVX Move double-precision floating-point values
from high quadword of xmm1 to m64.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
MR ModRM:r/m(w) ModRM:reg (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
MOVHPDMove High Packed Double-Precision Floating-Point Value
INSTRUCTION SET REFERENCE, A-M
3-530 Vol. 2A
VMO VH P D (VE X.1 2 8 e n co d e d lo a d )
DEST[63:0] SRC1[63:0]
DEST[127:64] SRC2[63:0]
DEST[VLMAX-1:128] 0
VMO VH P D (st o re )
DEST[63:0] SRC[127:64]
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
MOVHPD: __m128d _mm_loadh_pd ( __m128d a, double *p)
MOVHPD: void _mm_storeh_pd (double *p, __m128d a)
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 5; additionally
#UD If VEX.L= 1.
MOVHPSMove High Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-531
MO VH P S Mo v e H ig h P a cke d S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
This instruction cannot be used for register to register or memory to memory moves.
128-bit Legacy SSE load:
Moves two packed single-precision floating-point values from the source 64-bit memory operand and stores them
in the high 64-bits of the destination XMM register. The lower 64bits of the XMM register are preserved. The upper
128-bits of the corresponding YMM destination register are preserved.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
VEX.128 encoded load:
Loads two single-precision floating-point values from the source 64-bit memory operand (third operand) and
stores it in the upper 64-bits of the destination XMM register (first operand). The low 64-bits from second XMM
register (second operand) are stored in the lower 64-bits of the destination. The upper 128-bits of the destination
YMM register are zeroed.
128-bit store:
Stores two packed single-precision floating-point values from the high 64-bits of the XMM register source (second
operand) to the 64-bit memory location (first operand).
Note: VMOVHPS (store) (VEX.NDS.128.0F 17 /r) is legal and has the same behavior as the existing 0F 17 store.
For VMOVHPS (store) (VEX.NDS.128.0F 17 /r) instruction version, VEX.vvvv is reserved and must be 1111b other-
wise instruction will #UD.
If VMOVHPS is encoded with VEX.L= 1, an attempt to execute the instruction encoded with VEX.L= 1 will cause an
#UD exception.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 16 /r
MOVHPS xmm, m64
RM V/V SSE Move two packed single-precision floating-
point values from m64 to high quadword of
xmm.
0F 17 /r
MOVHPS m64, xmm
MR V/V SSE Move two packed single-precision floating-
point values from high quadword of xmm to
m64.
VEX.NDS.128.0F.WIG 16 /r
VMOVHPS xmm2, xmm1, m64
RVM V/V AVX Merge two packed single-precision floating-
point values from m64 and the low quadword
of xmm1.
VEX.128.0F.WIG 17/r
VMOVHPS m64, xmm1
MR V/V AVX Move two packed single-precision floating-
point values from high quadword of xmm1to
m64.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m(r) NA NA
MR ModRM:r/m (w) ModRM:reg (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
MOVHPSMove High Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-532 Vol. 2A
O p e ra t io n
MO VH P S (1 2 8 -b it Le g a cy S S E lo a d )
DEST[63:0] (Unmodified)
DEST[127:64] SRC[63:0]
DEST[VLMAX-1:128] (Unmodified)
VMO VH P S (VE X.1 2 8 e n co d e d lo a d )
DEST[63:0] SRC1[63:0]
DEST[127:64] SRC2[63:0]
DEST[VLMAX-1:128] 0
VMO VH P S (st o re )
DEST[63:0] SRC[127:64]
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
MOVHPS: __m128d _mm_loadh_pi ( __m128d a, __m64 *p)
MOVHPS: void _mm_storeh_pi (__m64 *p, __m128d a)
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 5; additionally
#UD If VEX.L= 1.
MOVLHPSMove Packed Single-Precision Floating-Point Values Low to High
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-533
MO VLH P S Mo v e P a cke d S in g le -P re cisio n F lo a t in g -P o in t Va lu e s Lo w t o H ig h
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
This instruction cannot be used for memory to register moves.
128-bit two-argument form:
Moves two packed single-precision floating-point values from the low quadword of the second XMM argument
(second operand) to the high quadword of the first XMM register (first argument). The low quadword of the desti-
nation operand is left unchanged. The upper 128 bits of the corresponding YMM destination register are unmodi-
fied.
128-bit three-argument form
Moves two packed single-precision floating-point values from the low quadword of the third XMM argument (third
operand) to the high quadword of the destination (first operand). Copies the low quadword from the second XMM
argument (second operand) to the low quadword of the destination (first operand). The upper 128-bits of the
destination YMM register are zeroed.
If VMOVLHPS is encoded with VEX.L= 1, an attempt to execute the instruction encoded with VEX.L= 1 will cause
an #UD exception.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
O p e ra t io n
MO VLH P S (1 2 8 -b it t w o -a rg u m e n t fo rm )
DEST[63:0] (Unmodified)
DEST[127:64] SRC[63:0]
DEST[VLMAX-1:128] (Unmodified)
VMO VLH P S (1 2 8 -b it t h re e -a rg u m e n t fo rm )
DEST[63:0] SRC1[63:0]
DEST[127:64] SRC2[63:0]
DEST[VLMAX-1:128] 0
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
MOVHLPS: __m128 _mm_movelh_ps(__m128 a, __m128 b)
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 16 /r
MOVLHPS xmm1, xmm2
RM V/V SSE Move two packed single-precision floating-
point values from low quadword of xmm2 to
high quadword of xmm1.
VEX.NDS.128.0F.WIG 16 /r
VMOVLHPS xmm1, xmm2, xmm3
RVM V/V AVX Merge two packed single-precision floating-
point values from low quadword of xmm3 and
low quadword of xmm2.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m(r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
MOVLHPSMove Packed Single-Precision Floating-Point Values Low to High
INSTRUCTION SET REFERENCE, A-M
3-534 Vol. 2A
O t h e r E x ce p t io n s
See Exceptions Type 7; additionally
#UD If VEX.L= 1.
MOVLPDMove Low Packed Double-Precision Floating-Point Value
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-535
MO VLP D Mo v e Lo w P a cke d D o u b le -P re cisio n F lo a t in g -P o in t Va lu e
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
This instruction cannot be used for register to register or memory to memory moves.
128-bit Legacy SSE load:
Moves a double-precision floating-point value from the source 64-bit memory operand and stores it in the low 64-
bits of the destination XMM register. The upper 64bits of the XMM register are preserved. The upper 128-bits of the
corresponding YMM destination register are preserved.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
VEX.128 encoded load:
Loads a double-precision floating-point value from the source 64-bit memory operand (third operand), merges it
with the upper 64-bits of the first source XMM register (second operand), and stores it in the low 128-bits of the
destination XMM register (first operand). The upper 128-bits of the destination YMM register are zeroed.
128-bit store:
Stores a double-precision floating-point value from the low 64-bits of the XMM register source (second operand) to
the 64-bit memory location (first operand).
Note: VMOVLPD (store) (VEX.128.66.0F 13 /r) is legal and has the same behavior as the existing 66 0F 13 store.
For VMOVLPD (store) (VEX.128.66.0F 13 /r) instruction version, VEX.vvvv is reserved and must be 1111b other-
wise instruction will #UD.
If VMOVLPD is encoded with VEX.L= 1, an attempt to execute the instruction encoded with VEX.L= 1 will cause an
#UD exception.
O p e ra t io n
MO VLP D (1 2 8 -b it Le g a cy S S E lo a d )
DEST[63:0] SRC[63:0]
DEST[VLMAX-1:64] (Unmodified)
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 12 /r
MOVLPD xmm, m64
RM V/V SSE2 Move double-precision floating-point value
fromm64 to low quadword of xmm register.
66 0F 13 /r
MOVLPD m64, xmm
MR V/V SSE2 Move double-precision floating-point nvalue
fromlow quadword of xmm register to m64.
VEX.NDS.128.66.0F.WIG 12 /r
VMOVLPD xmm2, xmm1, m64
RVM V/V AVX Merge double-precision floating-point value
fromm64 and the high quadword of xmm1.
VEX.128.66.0F.WIG 13/r
VMOVLPD m64, xmm1
MR V/V AVX Move double-precision floating-point values
fromlow quadword of xmm1 to m64.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
MR ModRM:r/m (w) ModRM:reg (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
MOVLPDMove Low Packed Double-Precision Floating-Point Value
INSTRUCTION SET REFERENCE, A-M
3-536 Vol. 2A
VMO VLP D (VE X.1 2 8 e n co d e d lo a d )
DEST[63:0] SRC2[63:0]
DEST[127:64] SRC1[127:64]
DEST[VLMAX-1:128] 0
VMO VLP D (st o re )
DEST[63:0] SRC[63:0]
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
MOVLPD: __m128d _mm_loadl_pd ( __m128d a, double *p)
MOVLPD: void _mm_storel_pd (double *p, __m128d a)
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 5; additionally
#UD If VEX.L= 1.
If VEX.vvvv != 1111B.
MOVLPSMove Low Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-537
MO VLP S Mo v e Lo w P a cke d S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
This instruction cannot be used for register to register or memory to memory moves.
128-bit Legacy SSE load:
Moves two packed single-precision floating-point values from the source 64-bit memory operand and stores them
in the low 64-bits of the destination XMM register. The upper 64bits of the XMM register are preserved. The upper
128-bits of the corresponding YMM destination register are preserved.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
VEX.128 encoded load:
Loads two packed single-precision floating-point values from the source 64-bit memory operand (third operand),
merges them with the upper 64-bits of the first source XMM register (second operand), and stores them in the low
128-bits of the destination XMM register (first operand). The upper 128-bits of the destination YMM register are
zeroed.
128-bit store:
Loads two packed single-precision floating-point values from the low 64-bits of the XMM register source (second
operand) to the 64-bit memory location (first operand).
Note: VMOVLPS (store) (VEX.128.0F 13 /r) is legal and has the same behavior as the existing 0F 13 store. For
VMOVLPS (store) (VEX.128.0F 13 /r) instruction version, VEX.vvvv is reserved and must be 1111b otherwise
instruction will #UD.
If VMOVLPS is encoded with VEX.L= 1, an attempt to execute the instruction encoded with VEX.L= 1 will cause an
#UD exception.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 12 /r
MOVLPS xmm, m64
RM V/V SSE Move two packed single-precision floating-
point values from m64 to low quadword of
xmm.
0F 13 /r
MOVLPS m64, xmm
MR V/V SSE Move two packed single-precision floating-
point values from low quadword of xmm to
m64.
VEX.NDS.128.0F.WIG 12 /r
VMOVLPS xmm2, xmm1, m64
RVM V/V AVX Merge two packed single-precision floating-
point values fromm64 and the high quadword
of xmm1.
VEX.128.0F.WIG 13/r
VMOVLPS m64, xmm1
MR V/V AVX Move two packed single-precision floating-
point values from low quadword of xmm1 to
m64.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m(r) NA NA
MR ModRM:r/m (w) ModRM:reg (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
MOVLPSMove Low Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-538 Vol. 2A
O p e ra t io n
MO VLP S (1 2 8 -b it Le g a cy S S E lo a d )
DEST[63:0] SRC[63:0]
DEST[VLMAX-1:64] (Unmodified)
VMO VLP S (VE X.1 2 8 e n co d e d lo a d )
DEST[63:0] SRC2[63:0]
DEST[127:64] SRC1[127:64]
DEST[VLMAX-1:128] 0
VMO VLP S (st o re )
DEST[63:0] SRC[63:0]
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
MOVLPS: __m128 _mm_loadl_pi ( __m128 a, __m64 *p)
MOVLPS: void _mm_storel_pi (__m64 *p, __m128 a)
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 5; additionally
#UD If VEX.L= 1.
If VEX.vvvv != 1111B.
MOVMSKPDExtract Packed Double-Precision Floating-Point Sign Mask
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-539
MO VMS K P D E x t ra ct P a cke d D o u b le -P re cisio n F lo a t in g -P o in t S ig n Ma sk
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Extracts the sign bits from the packed double-precision floating-point values in the source operand (second
operand), formats them into a 2-bit mask, and stores the mask in the destination operand (first operand). The
source operand is an XMM register, and the destination operand is a general-purpose register. The mask is stored
in the 2 low-order bits of the destination operand. Zero-extend the upper bits of the destination.
In 64-bit mode, the instruction can access additional registers (XMM8-XMM15, R8-R15) when used with a REX.R
prefix. The default operand size is 64-bit in 64-bit mode.
128-bit versions: The source operand is a YMM register. The destination operand is a general purpose register.
VEX.256 encoded version: The source operand is a YMM register. The destination operand is a general purpose
register.
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b, otherwise instructions will #UD.
O p e ra t io n
(V)MO VMS K P D (1 2 8 -b it v e rsio n s)
DEST[0] SRC[63]
DEST[1] SRC[127]
IF DEST =r32
THEN DEST[31:2] 0;
ELSE DEST[63:2] 0;
FI
VMO VMS K P D (VE X.2 5 6 e n co d e d v e rsio n )
DEST[0] SRC[63]
DEST[1] SRC[127]
DEST[2] SRC[191]
DEST[3] SRC[255]
IF DEST =r32
THEN DEST[31:4] 0;
ELSE DEST[63:4] 0;
FI
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
MOVMSKPD: int _mm_movemask_pd ( __m128d a)
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 50 /r
MOVMSKPD reg, xmm
RM V/V SSE2 Extract 2-bit sign mask fromxmm and store in
reg. The upper bits of r32 or r64 are filled with
zeros.
VEX.128.66.0F.WIG 50 /r
VMOVMSKPD reg, xmm2
RM V/V AVX Extract 2-bit sign mask fromxmm2 and store
in reg. The upper bits of r32 or r64 are zeroed.
VEX.256.66.0F.WIG 50 /r
VMOVMSKPD reg, ymm2
RM V/V AVX Extract 4-bit sign mask fromymm2 and store
in reg. The upper bits of r32 or r64 are zeroed.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m(r) NA NA
MOVMSKPDExtract Packed Double-Precision Floating-Point Sign Mask
INSTRUCTION SET REFERENCE, A-M
3-540 Vol. 2A
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 7; additionally
#UD If VEX.vvvv != 1111B.
MOVMSKPSExtract Packed Single-Precision Floating-Point Sign Mask
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-541
MO VMS K P S E x t ra ct P a cke d S in g le -P re cisio n F lo a t in g -P o in t S ig n Ma sk
I n st ru ct io n O p e ra n d E n co d in g
1
D e scrip t io n
Extracts the sign bits from the packed single-precision floating-point values in the source operand (second
operand), formats them into a 4- or 8-bit mask, and stores the mask in the destination operand (first operand).
The source operand is an XMM or YMM register, and the destination operand is a general-purpose register. The
mask is stored in the 4 or 8 low-order bits of the destination operand. The upper bits of the destination operand
beyond the mask are filled with zeros.
In 64-bit mode, the instruction can access additional registers (XMM8-XMM15, R8-R15) when used with a REX.R
prefix. The default operand size is 64-bit in 64-bit mode.
128-bit versions: The source operand is a YMM register. The destination operand is a general purpose register.
VEX.256 encoded version: The source operand is a YMM register. The destination operand is a general purpose
register.
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b, otherwise instructions will #UD.
O p e ra t io n
DEST[0] SRC[31];
DEST[1] SRC[63];
DEST[2] SRC[95];
DEST[3] SRC[127];
IF DEST =r32
THEN DEST[31:4] ZeroExtend;
ELSE DEST[63:4] ZeroExtend;
FI;
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 50 /r
MOVMSKPS reg, xmm
RM V/V SSE Extract 4-bit sign mask fromxmm and store in
reg. The upper bits of r32 or r64 are filled
with zeros.
VEX.128.0F.WIG 50 /r
VMOVMSKPS reg, xmm2
RM V/V AVX Extract 4-bit sign mask from xmm2 and store
in reg. The upper bits of r32 or r64 are zeroed.
VEX.256.0F.WIG 50 /r
VMOVMSKPS reg, ymm2
RM V/V AVX Extract 8-bit sign mask from ymm2 and store
in reg. The upper bits of r32 or r64 are zeroed.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
1. ModRM.MOD =011B required
MOVMSKPSExtract Packed Single-Precision Floating-Point Sign Mask
INSTRUCTION SET REFERENCE, A-M
3-542 Vol. 2A
(V)MO V MS K P S (1 2 8 -b it v e rsio n )
DEST[0] SRC[31]
DEST[1] SRC[63]
DEST[2] SRC[95]
DEST[3] SRC[127]
IF DEST =r32
THEN DEST[31:4] 0;
ELSE DEST[63:4] 0;
FI
VMO VMS K P S (VE X.2 5 6 e n co d e d v e rsio n )
DEST[0] SRC[31]
DEST[1] SRC[63]
DEST[2] SRC[95]
DEST[3] SRC[127]
DEST[4] SRC[159]
DEST[5] SRC[191]
DEST[6] SRC[223]
DEST[7] SRC[255]
IF DEST =r32
THEN DEST[31:8] 0;
ELSE DEST[63:8] 0;
FI
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
int _mm_movemask_ps(__m128 a)
int _mm256_movemask_ps(__m256 a)
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 7; additionally
#UD If VEX.vvvv != 1111B.
MOVNTDQA Load Double Quadword Non-Temporal Aligned Hint
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-543
MO VN T D Q A Lo a d D o u b le Q u a d w o rd N o n -Te m p o ra l Alig n e d H in t
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
(V)MOVNTDQA loads a double quadword from the source operand (second operand) to the destination operand
(first operand) using a non-temporal hint. A processor implementation may make use of the non-temporal hint
associated with this instruction if the memory source is WC (write combining) memory type. An implementation
may also make use of the non-temporal hint associated with this instruction if the memory source is WB (write
back) memory type.
A processors implementation of the non-temporal hint does not override the effective memory type semantics, but
the implementation of the hint is processor dependent. For example, a processor implementation may choose to
ignore the hint and process the instruction as a normal MOVDQA for any memory type. Another implementation of
the hint for WC memory type may optimize data transfer throughput of WC reads. A third implementation may
optimize cache reads generated by (V)MOVNTDQA on WB memory type to reduce cache evictions.
WC Streaming Load Hint
For WC memory type in particular, the processor never appears to read the data into the cache hierarchy. Instead,
the non-temporal hint may be implemented by loading a temporary internal buffer with the equivalent of an
aligned cache line without filling this data to the cache. Any memory-type aliased lines in the cache will be snooped
and flushed. Subsequent MOVNTDQA reads to unread portions of the WC cache line will receive data from the
temporary internal buffer if data is available. The temporary internal buffer may be flushed by the processor at any
time for any reason, for example:
A load operation other than a (V)MOVNTDQA which references memory already resident in a temporary
internal buffer.
A non-WC reference to memory already resident in a temporary internal buffer.
Interleaving of reads and writes to memory currently residing in a single temporary internal buffer.
Repeated (V)MOVNTDQA loads of a particular 16-byte item in a streaming line.
Certain micro-architectural conditions including resource shortages, detection of a mis-speculation condition,
and various fault conditions
The memory type of the region being read can override the non-temporal hint, if the memory address specified for
the non-temporal read is not a WC memory region. Information on non-temporal reads and writes can be found in
Chapter 11, Memory Cache Control of Intel 64 and IA-32 Architectures Software Developers Manual, Volume
3A.
Because the WC protocol uses a weakly-ordered memory consistency model, an MFENCE or locked instruction
should be used in conjunction with MOVNTDQA instructions if multiple processors might reference the same WC
memory locations or in order to synchronize reads of a processor with writes by other agents in the system.
Because of the speculative nature of fetching due to MOVNTDQA, Streaming loads must not be used to reference
memory addresses that are mapped to I/O devices having side effects or when reads to these devices are destruc-
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 38 2A /r
MOVNTDQA xmm1, m128
RM V/V SSE4_1 Move double quadword fromm128 to xmm
using non-temporal hint if WC memory type.
VEX.128.66.0F38.WIG 2A /r
VMOVNTDQA xmm1, m128
RM V/V AVX Move double quadword from m128 to xmm
using non-temporal hint if WC memory type.
VEX.256.66.0F38.WIG 2A /r
VMOVNTDQA ymm1, m256
RM V/V AVX2 Move 256-bit data from m256 to ymm using
non-temporal hint if WC memory type.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
MOVNTDQA Load Double Quadword Non-Temporal Aligned Hint
INSTRUCTION SET REFERENCE, A-M
3-544 Vol. 2A
tive. For additional information on MOVNTDQA usages, see Section 12.10.3 in Chapter 12, Programming with
SSE3, SSSE3 and SSE4 of Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1.
The 128-bit (V)MOVNTDQA addresses must be 16-byte aligned or the instruction will cause a #GP.
The 256-bit VMOVNTDQA addresses must be 32-byte aligned or the instruction will cause a #GP.
Note: In VEX-128 encoded versions, VEX.vvvv is reserved and must be 1111b, VEX.L must be 0; otherwise instruc-
tions will #UD.
O p e ra t io n
MO VN T D Q A (1 2 8 b it - Le g a cy S S E fo rm )
DEST SRC
DEST[VLMAX-1:128] (Unmodified)
VMO VN T D Q A (VE X.1 2 8 e n co d e d fo rm )
DEST SRC
DEST[VLMAX-1:128] 0
VMO VN T D Q A (VE X.2 5 6 e n co d e d fo rm )
DEST[255:0] SRC[255:0]
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
(V)MOVNTDQA: __m128i _mm_stream_load_si128 (__m128i *p);
VMOVNTDQA: __m256i _mm256_stream_load_si256 (const __m256i *p);
F la g s Af fe ct e d
None
O t h e r E x ce p t io n s
See Exceptions Type 1.SSE4.1; additionally
#UD If VEX.L= 1.
If VEX.vvvv != 1111B.
MOVNTDQStore Double Quadword Using Non-Temporal Hint
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-545
MO VN T D Q S t o re D o u b le Q u a d w o rd U sin g N o n -Te m p o ra l H in t
I n st ru ct io n O p e ra n d E n co d in g
1
D e scrip t io n
Moves the packed integers in the source operand (second operand) to the destination operand (first operand) using
a non-temporal hint to prevent caching of the data during the write to memory. The source operand is an XMM
register or YMM register, which is assumed to contain integer data (packed bytes, words, doublewords, or quad-
words). The destination operand is a 128-bit or 256-bit memory location. The memory operand must be aligned on
a 16-byte (128-bit version) or 32-byte (VEX.256 encoded version) boundary otherwise a general-protection
exception (#GP) will be generated.
The non-temporal hint is implemented by using a write combining (WC) memory type protocol when writing the
data to memory. Using this protocol, the processor does not write the data into the cache hierarchy, nor does it
fetch the corresponding cache line from memory into the cache hierarchy. The memory type of the region being
written to can override the non-temporal hint, if the memory address specified for the non-temporal store is in an
uncacheable (UC) or write protected (WP) memory region. For more information on non-temporal stores, see
Caching of Temporal vs. Non-Temporal Data in Chapter 10 in the Intel 64 and IA-32 Architectures Software
Developers Manual, Volume 1.
Because the WC protocol uses a weakly-ordered memory consistency model, a fencing operation implemented
with the SFENCE or MFENCE instruction should be used in conjunction with MOVNTDQ instructions if multiple
processors might use different memory types to read/write the destination memory locations.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
Note: In VEX-128 encoded versions, VEX.vvvv is reserved and must be 1111b, VEX.L must be 0; otherwise instruc-
tions will #UD.
O p e ra t io n
DEST SRC;
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
MOVNTDQ: void _mm_stream_si128( __m128i *p, __m128i a);
VMOVNTDQ: void _mm256_stream_si256 (__m256i * p, __m256i a);
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F E7 /r
MOVNTDQ m128, xmm
MR V/V SSE2 Move double quadword from xmm to m128
using non-temporal hint.
VEX.128.66.0F.WIG E7 /r
VMOVNTDQ m128, xmm1
MR V/V AVX Move packed integer values in xmm1 to m128
using non-temporal hint.
VEX.256.66.0F.WIG E7 /r
VMOVNTDQ m256, ymm1
MR V/V AVX Move packed integer values in ymm1 to m256
using non-temporal hint.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
MR ModRM:r/m (w) ModRM:reg (r) NA NA
1. ModRM.MOD =011B is not permitted
MOVNTDQStore Double Quadword Using Non-Temporal Hint
INSTRUCTION SET REFERENCE, A-M
3-546 Vol. 2A
O t h e r E x ce p t io n s
See Exceptions Type 1.SSE2; additionally
#UD If VEX.vvvv != 1111B.
MOVNTIStore Doubleword Using Non-Temporal Hint
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-547
MO VN T I S t o re D o u b le w o rd U sin g N o n -Te m p o ra l H in t
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Moves the doubleword integer in the source operand (second operand) to the destination operand (first operand)
using a non-temporal hint to minimize cache pollution during the write to memory. The source operand is a
general-purpose register. The destination operand is a 32-bit memory location.
The non-temporal hint is implemented by using a write combining (WC) memory type protocol when writing the
data to memory. Using this protocol, the processor does not write the data into the cache hierarchy, nor does it
fetch the corresponding cache line from memory into the cache hierarchy. The memory type of the region being
written to can override the non-temporal hint, if the memory address specified for the non-temporal store is in an
uncacheable (UC) or write protected (WP) memory region. For more information on non-temporal stores, see
Caching of Temporal vs. Non-Temporal Data in Chapter 10 in the Intel 64 and IA-32 Architectures Software
Developers Manual, Volume 1.
Because the WC protocol uses a weakly-ordered memory consistency model, a fencing operation implemented
with the SFENCE or MFENCE instruction should be used in conjunction with MOVNTI instructions if multiple proces-
sors might use different memory types to read/write the destination memory locations.
In 64-bit mode, the instructions default operation size is 32 bits. Use of the REX.R prefix permits access to addi-
tional registers (R8-R15). Use of the REX.W prefix promotes operation to 64 bits. See the summary chart at the
beginning of this section for encoding data and limits.
O p e ra t io n
DEST SRC;
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
MOVNTI: void _mm_stream_si32 (int *p, int a)
MOVNTI: void _mm_stream_si64(__int64 *p, __int64 a)
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) For an illegal memory operand effective address in the CS, DS, ES, FS or GS segments.
#SS(0) For an illegal address in the SS segment.
#PF(fault-code) For a page fault.
#UD If CPUID.01H:EDX.SSE2[bit 26] = 0.
If the LOCK prefix is used.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F C3 /r MOVNTI m32, r32 MR Valid Valid Move doubleword fromr32 to m32 using non-
temporal hint.
REX.W +0F C3 /r MOVNTI m64, r64 MR Valid N.E. Move quadword fromr64 to m64 using non-
temporal hint.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
MR ModRM:r/m (w) ModRM:reg (r) NA NA
MOVNTIStore Doubleword Using Non-Temporal Hint
INSTRUCTION SET REFERENCE, A-M
3-548 Vol. 2A
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand is not aligned on a 16-byte boundary, regardless of segment.
If any part of the operand lies outside the effective address space from 0 to FFFFH.
#UD If CPUID.01H:EDX.SSE2[bit 26] = 0.
If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in real address mode.
#PF(fault-code) For a page fault.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) For a page fault.
#UD If CPUID.01H:EDX.SSE2[bit 26] = 0.
If the LOCK prefix is used.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
MOVNTPDStore Packed Double-Precision Floating-Point Values Using Non-Temporal Hint
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-549
MO VN T P D S t o re P a cke d D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s U sin g N o n -Te m p o ra l H in t
I n st ru ct io n O p e ra n d E n co d in g
1
D e scrip t io n
Moves the packed double-precision floating-point values in the source operand (second operand) to the destination
operand (first operand) using a non-temporal hint to prevent caching of the data during the write to memory. The
source operand is an XMM register or YMM register, which is assumed to contain packed double-precision, floating-
pointing data. The destination operand is a 128-bit or 256-bit memory location. The memory operand must be
aligned on a 16-byte (128-bit version) or 32-byte (VEX.256 encoded version) boundary otherwise a general-
protection exception (#GP) will be generated.
The non-temporal hint is implemented by using a write combining (WC) memory type protocol when writing the
data to memory. Using this protocol, the processor does not write the data into the cache hierarchy, nor does it
fetch the corresponding cache line from memory into the cache hierarchy. The memory type of the region being
written to can override the non-temporal hint, if the memory address specified for the non-temporal store is in an
uncacheable (UC) or write protected (WP) memory region. For more information on non-temporal stores, see
Caching of Temporal vs. Non-Temporal Data in Chapter 10 in the Intel 64 and IA-32 Architectures Software
Developers Manual, Volume 1.
Because the WC protocol uses a weakly-ordered memory consistency model, a fencing operation implemented
with the SFENCE or MFENCE instruction should be used in conjunction with MOVNTPD instructions if multiple
processors might use different memory types to read/write the destination memory locations.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
Note: In VEX-128 encoded versions, VEX.vvvv is reserved and must be 1111b, VEX.L must be 0; otherwise instruc-
tions will #UD.
O p e ra t io n
DEST SRC;
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
MOVNTPD: void _mm_stream_pd(double *p, __m128d a)
VMOVNTPD: void _mm256_stream_pd (double * p, __m256d a);
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 2B /r
MOVNTPD m128, xmm
MR V/V SSE2 Move packed double-precision floating-point
values from xmm to m128 using non-
temporal hint.
VEX.128.66.0F.WIG 2B /r
VMOVNTPD m128, xmm1
MR V/V AVX Move packed double-precision values in xmm1
to m128 using non-temporal hint.
VEX.256.66.0F.WIG 2B /r
VMOVNTPD m256, ymm1
MR V/V AVX Move packed double-precision values in ymm1
to m256 using non-temporal hint.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
MR ModRM:r/m(w) ModRM:reg (r) NA NA
1. ModRM.MOD =011B is not permitted
MOVNTPDStore Packed Double-Precision Floating-Point Values Using Non-Temporal Hint
INSTRUCTION SET REFERENCE, A-M
3-550 Vol. 2A
O t h e r E x ce p t io n s
See Exceptions Type 1.SSE2; additionally
#UD If VEX.vvvv != 1111B.
MOVNTPSStore Packed Single-Precision Floating-Point Values Using Non-Temporal Hint
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-551
MO VN T P S S t o re P a cke d S in g le -P re cisio n F lo a t in g -P o in t Va lu e s U sin g N o n -Te m p o ra l H in t
I n st ru ct io n O p e ra n d E n co d in g
1
D e scrip t io n
Moves the packed single-precision floating-point values in the source operand (second operand) to the destination
operand (first operand) using a non-temporal hint to prevent caching of the data during the write to memory. The
source operand is an XMM register or YMM register, which is assumed to contain packed single-precision, floating-
pointing. The destination operand is a 128-bit or 256-bitmemory location. The memory operand must be aligned
on a 16-byte (128-bit version) or 32-byte (VEX.256 encoded version) boundary otherwise a general-protection
exception (#GP) will be generated.
The non-temporal hint is implemented by using a write combining (WC) memory type protocol when writing the
data to memory. Using this protocol, the processor does not write the data into the cache hierarchy, nor does it
fetch the corresponding cache line from memory into the cache hierarchy. The memory type of the region being
written to can override the non-temporal hint, if the memory address specified for the non-temporal store is in an
uncacheable (UC) or write protected (WP) memory region. For more information on non-temporal stores, see
Caching of Temporal vs. Non-Temporal Data in Chapter 10 in the Intel 64 and IA-32 Architectures Software
Developers Manual, Volume 1.
Because the WC protocol uses a weakly-ordered memory consistency model, a fencing operation implemented
with the SFENCE or MFENCE instruction should be used in conjunction with MOVNTPS instructions if multiple
processors might use different memory types to read/write the destination memory locations.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b otherwise instructions will #UD.
O p e ra t io n
DEST SRC;
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
MOVNTDQ: void _mm_stream_ps(float * p, __m128 a)
VMOVNTPS: void _mm256_stream_ps (float * p, __m256 a);
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 2B /r
MOVNTPS m128, xmm
MR V/V SSE Move packed single-precision floating-point
values fromxmm to m128 using non-
temporal hint.
VEX.128.0F.WIG 2B /r
VMOVNTPS m128, xmm1
MR V/V AVX Move packed single-precision values xmm1 to
mem using non-temporal hint.
VEX.256.0F.WIG 2B /r
VMOVNTPS m256, ymm1
MR V/V AVX Move packed single-precision values ymm1 to
mem using non-temporal hint.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
MR ModRM:r/m (w) ModRM:reg (r) NA NA
1. ModRM.MOD =011B is not permitted
MOVNTPSStore Packed Single-Precision Floating-Point Values Using Non-Temporal Hint
INSTRUCTION SET REFERENCE, A-M
3-552 Vol. 2A
O t h e r E x ce p t io n s
See Exceptions Type 1.SSE; additionally
#UD If VEX.vvvv != 1111B.
MOVNTQStore of Quadword Using Non-Temporal Hint
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-553
MO V N T Q S t o re o f Q u a d w o rd U sin g N o n -Te m p o ra l H in t
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Moves the quadword in the source operand (second operand) to the destination operand (first operand) using a
non-temporal hint to minimize cache pollution during the write to memory. The source operand is an MMX tech-
nology register, which is assumed to contain packed integer data (packed bytes, words, or doublewords). The
destination operand is a 64-bit memory location.
The non-temporal hint is implemented by using a write combining (WC) memory type protocol when writing the
data to memory. Using this protocol, the processor does not write the data into the cache hierarchy, nor does it
fetch the corresponding cache line from memory into the cache hierarchy. The memory type of the region being
written to can override the non-temporal hint, if the memory address specified for the non-temporal store is in an
uncacheable (UC) or write protected (WP) memory region. For more information on non-temporal stores, see
Caching of Temporal vs. Non-Temporal Data in Chapter 10 in the Intel 64 and IA-32 Architectures Software
Developers Manual, Volume 1.
Because the WC protocol uses a weakly-ordered memory consistency model, a fencing operation implemented
with the SFENCE or MFENCE instruction should be used in conjunction with MOVNTQ instructions if multiple proces-
sors might use different memory types to read/write the destination memory locations.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
DEST SRC;
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
MOVNTQ: void _mm_stream_pi(__m64 * p, __m64 a)
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Table 22-8, Exception Conditions for Legacy SIMD/MMX Instructions without FP Exception, in the Intel 64
and IA-32 Architectures Software Developers Manual, Volume 3A.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F E7 /r MOVNTQ m64, mm MR Valid Valid Move quadword frommm to m64 using non-
temporal hint.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
MR ModRM:r/m (w) ModRM:reg (r) NA NA
MOVQMove Quadword
INSTRUCTION SET REFERENCE, A-M
3-554 Vol. 2A
MO VQ Mo v e Q u a d w o rd
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Copies a quadword from the source operand (second operand) to the destination operand (first operand). The
source and destination operands can be MMX technology registers, XMM registers, or 64-bit memory locations. This
instruction can be used to move a quadword between two MMX technology registers or between an MMX tech-
nology register and a 64-bit memory location, or to move data between two XMM registers or between an XMM
register and a 64-bit memory location. The instruction cannot be used to transfer data between memory locations.
When the source operand is an XMM register, the low quadword is moved; when the destination operand is an XMM
register, the quadword is stored to the low quadword of the register, and the high quadword is cleared to all 0s.
In 64-bit mode, use of the REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
Note: In VEX.128.66.0F D6 instruction version, VEX.vvvv and VEX.L=1 are reserved and the former must be 1111b
otherwise instructions will #UD.
Note: In VEX.128.F3.0F 7E version, VEX.vvvv and VEX.L=1 are reserved and the former must be 1111b, otherwise
instructions will #UD.
O p e ra t io n
MOVQ instruction when operating on MMX technology registers and memory locations:
DEST SRC;
MOVQ instruction when source and destination operands are XMM registers:
DEST[63:0] SRC[63:0];
DEST[127:64] 0000000000000000H;
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 6F /r
MOVQ mm, mm/m64
RM V/V MMX Move quadword from mm/m64 to mm.
0F 7F /r
MOVQ mm/m64, mm
MR V/V MMX Move quadword from mm to mm/m64.
F3 0F 7E /r
MOVQ xmm1, xmm2/m64
RM V/V SSE2 Move quadword from xmm2/mem64 to
xmm1.
VEX.128.F3.0F.WIG 7E /r
VMOVQ xmm1, xmm2
RM V/V AVX Move quadword from xmm2 to xmm1.
VEX.128.F3.0F.WIG 7E /r
VMOVQ xmm1, m64
RM V/V AVX Load quadword from m64 to xmm1.
66 0F D6 /r
MOVQ xmm2/m64, xmm1
MR V/V SSE2 Move quadword from xmm1 to
xmm2/mem64.
VEX.128.66.0F.WIG D6 /r
VMOVQ xmm1/m64, xmm2
MR V/V AVX Move quadword from xmm2 register to
xmm1/m64.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
MR ModRM:r/m (w) ModRM:reg (r) NA NA
MOVQMove Quadword
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-555
MOVQ instruction when source operand is XMM register and destination
operand is memory location:
DEST SRC[63:0];
MOVQ instruction when source operand is memory location and destination
operand is XMM register:
DEST[63:0] SRC;
DEST[127:64] 0000000000000000H;
VMOVQ (VEX.NDS.128.F3.0F 7E) with XMM register source and destination:
DEST[63:0] SRC[63:0]
DEST[VLMAX-1:64] 0
VMOVQ (VEX.128.66.0F D6) with XMM register source and destination:
DEST[63:0] SRC[63:0]
DEST[VLMAX-1:64] 0
VMOVQ (7E) with memory source:
DEST[63:0] SRC[63:0]
DEST[VLMAX-1:64] 0
VMOVQ (D6) with memory dest:
DEST[63:0] SRC2[63:0]
F la g s Af fe ct e d
None.
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
MOVQ: m128i _mm_mov_epi64(__m128i a)
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Table 22-8, Exception Conditions for Legacy SIMD/MMX Instructions without FP Exception, in the Intel 64
and IA-32 Architectures Software Developers Manual, Volume 3B.
MOVQ2DQMove Quadword fromMMX Technology to XMM Register
INSTRUCTION SET REFERENCE, A-M
3-556 Vol. 2A
MO VQ 2 D Q Mo v e Q u a d w o rd fro m MMX Te ch n o lo g y t o XMM R e g ist e r
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Moves the quadword from the source operand (second operand) to the low quadword of the destination operand
(first operand). The source operand is an MMX technology register and the destination operand is an XMM register.
This instruction causes a transition from x87 FPU to MMX technology operation (that is, the x87 FPU top-of-stack
pointer is set to 0 and the x87 FPU tag word is set to all 0s [valid]). If this instruction is executed while an x87 FPU
floating-point exception is pending, the exception is handled before the MOVQ2DQ instruction is executed.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
O p e ra t io n
DEST[63:0] SRC[63:0];
DEST[127:64] 00000000000000000H;
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
MOVQ2DQ: __128i _mm_movpi64_pi64 ( __m64 a)
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
P ro t e ct e d Mo d e E x ce p t io n s
#NM If CR0.TS[bit 3] = 1.
#UD If CR0.EM[bit 2] = 1.
If CR4.OSFXSR[bit 9] = 0.
If CPUID.01H:EDX.SSE2[bit 26] = 0.
If the LOCK prefix is used.
#MF If there is a pending x87 FPU exception.
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as in protected mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
F3 0F D6 /r MOVQ2DQ xmm, mm RM Valid Valid Move quadword from mmx to low quadword
of xmm.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
MOVS/MOVSB/MOVSW/MOVSD/MOVSQMove Data fromStringtoString
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-557
MO VS /MO VS B /MO VS W/MO VS D /MO VS Q Mo v e D a t a fro m S t rin g t o S t rin g
\
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Moves the byte, word, or doubleword specified with the second operand (source operand) to the location specified
with the first operand (destination operand). Both the source and destination operands are located in memory. The
address of the source operand is read from the DS:ESI or the DS:SI registers (depending on the address-size
attribute of the instruction, 32 or 16, respectively). The address of the destination operand is read from the ES:EDI
or the ES:DI registers (again depending on the address-size attribute of the instruction). The DS segment may be
overridden with a segment override prefix, but the ES segment cannot be overridden.
At the assembly-code level, two forms of this instruction are allowed: the explicit-operands form and the no-
operands form. The explicit-operands form (specified with the MOVS mnemonic) allows the source and destination
operands to be specified explicitly. Here, the source and destination operands should be symbols that indicate the
size and location of the source value and the destination, respectively. This explicit-operands form is provided to
allow documentation; however, note that the documentation provided by this form can be misleading. That is, the
source and destination operand symbols must specify the correct type (size) of the operands (bytes, words, or
doublewords), but they do not have to specify the correct location. The locations of the source and destination
operands are always specified by the DS:(E)SI and ES:(E)DI registers, which must be loaded correctly before the
move string instruction is executed.
The no-operands form provides short forms of the byte, word, and doubleword versions of the MOVS instruc-
tions. Here also DS:(E)SI and ES:(E)DI are assumed to be the source and destination operands, respectively. The
size of the source and destination operands is selected with the mnemonic: MOVSB (byte move), MOVSW (word
move), or MOVSD (doubleword move).
After the move operation, the (E)SI and (E)DI registers are incremented or decremented automatically according
to the setting of the DF flag in the EFLAGS register. (If the DF flag is 0, the (E)SI and (E)DI register are incre-
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
A4 MOVS m8, m8 NP Valid Valid For legacy mode, Move byte from address
DS:(E)SI to ES:(E)DI. For 64-bit mode move
byte from address (R|E)SI to (R|E)DI.
A5 MOVS m16, m16 NP Valid Valid For legacy mode, move word from address
DS:(E)SI to ES:(E)DI. For 64-bit mode move
word at address (R|E)SI to (R|E)DI.
A5 MOVS m32, m32 NP Valid Valid For legacy mode, move dword fromaddress
DS:(E)SI to ES:(E)DI. For 64-bit mode move
dword fromaddress (R|E)SI to (R|E)DI.
REX.W +A5 MOVS m64, m64 NP Valid N.E. Move qword fromaddress (R|E)SI to (R|E)DI.
A4 MOVSB NP Valid Valid For legacy mode, Move byte from address
DS:(E)SI to ES:(E)DI. For 64-bit mode move
byte from address (R|E)SI to (R|E)DI.
A5 MOVSW NP Valid Valid For legacy mode, move word from address
DS:(E)SI to ES:(E)DI. For 64-bit mode move
word at address (R|E)SI to (R|E)DI.
A5 MOVSD NP Valid Valid For legacy mode, move dword fromaddress
DS:(E)SI to ES:(E)DI. For 64-bit mode move
dword fromaddress (R|E)SI to (R|E)DI.
REX.W +A5 MOVSQ NP Valid N.E. Move qword fromaddress (R|E)SI to (R|E)DI.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
MOVS/MOVSB/MOVSW/MOVSD/MOVSQMove Data fromStringtoString
INSTRUCTION SET REFERENCE, A-M
3-558 Vol. 2A
mented; if the DF flag is 1, the (E)SI and (E)DI registers are decremented.) The registers are incremented or
decremented by 1 for byte operations, by 2 for word operations, or by 4 for doubleword operations.
N O T E
To improve performance, more recent processors support modifications to the processors
operation during the string store operations initiated with MOVS and MOVSB. See Section 7.3.9.3
in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1 for additional
information on fast-string operation.
The MOVS, MOVSB, MOVSW, and MOVSD instructions can be preceded by the REP prefix (see REP/REPE/REPZ
/REPNE/REPNZRepeat String Operation Prefix in Chapter 4 of the Intel 64 and IA-32 Architectures Software
Developers Manual, Volume 2B, for a description of the REP prefix) for block moves of ECX bytes, words, or
doublewords.
In 64-bit mode, the instructions default address size is 64 bits, 32-bit address size is supported using the prefix
67H. The 64-bit addresses are specified by RSI and RDI; 32-bit address are specified by ESI and EDI. Use of the
REX.W prefix promotes doubleword operation to 64 bits. See the summary chart at the beginning of this section for
encoding data and limits.
O p e ra t io n
DEST SRC;
Non-64-bit Mode:
IF (Byte move)
THEN IF DF = 0
THEN
(E)SI (E)SI + 1;
(E)DI (E)DI + 1;
ELSE
(E)SI (E)SI 1;
(E)DI (E)DI 1;
FI;
ELSE IF (Word move)
THEN IF DF = 0
(E)SI (E)SI + 2;
(E)DI (E)DI + 2;
FI;
ELSE
(E)SI (E)SI 2;
(E)DI (E)DI 2;
FI;
ELSE IF (Doubleword move)
THEN IF DF = 0
(E)SI (E)SI + 4;
(E)DI (E)DI + 4;
FI;
ELSE
(E)SI (E)SI 4;
(E)DI (E)DI 4;
FI;
FI;
64-bit Mode:
IF (Byte move)
THEN IF DF = 0
MOVS/MOVSB/MOVSW/MOVSD/MOVSQMove Data fromStringtoString
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-559
THEN
(R|E)SI (R|E)SI + 1;
(R|E)DI (R|E)DI + 1;
ELSE
(R|E)SI (R|E)SI 1;
(R|E)DI (R|E)DI 1;
FI;
ELSE IF (Word move)
THEN IF DF = 0
(R|E)SI (R|E)SI + 2;
(R|E)DI (R|E)DI + 2;
FI;
ELSE
(R|E)SI (R|E)SI 2;
(R|E)DI (R|E)DI 2;
FI;
ELSE IF (Doubleword move)
THEN IF DF = 0
(R|E)SI (R|E)SI + 4;
(R|E)DI (R|E)DI + 4;
FI;
ELSE
(R|E)SI (R|E)SI 4;
(R|E)DI (R|E)DI 4;
FI;
ELSE IF (Quadword move)
THEN IF DF = 0
(R|E)SI (R|E)SI + 8;
(R|E)DI (R|E)DI + 8;
FI;
ELSE
(R|E)SI (R|E)SI 8;
(R|E)DI (R|E)DI 8;
FI;
FI;
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the destination is located in a non-writable segment.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
MOVS/MOVSB/MOVSW/MOVSD/MOVSQMove Data fromStringtoString
INSTRUCTION SET REFERENCE, A-M
3-560 Vol. 2A
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
MOVSDMove Scalar Double-Precision Floating-Point Value
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-561
MO VS D Mo v e S ca la r D o u b le -P re cisio n F lo a t in g -P o in t Va lu e
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
MOVSD moves a scalar double-precision floating-point value from the source operand (second operand) to the
destination operand (first operand). The source and destination operands can be XMM registers or 64-bit memory
locations. This instruction can be used to move a double-precision floating-point value to and from the low quad-
word of an XMM register and a 64-bit memory location, or to move a double-precision floating-point value between
the low quadwords of two XMM registers. The instruction cannot be used to transfer data between memory loca-
tions.
For non-VEX encoded instruction syntax and when the source and destination operands are XMM registers, the
high quadword of the destination operand remains unchanged. When the source operand is a memory location and
destination operand is an XMM registers, the high quadword of the destination operand is cleared to all 0s.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
Note: For the VMOVSD m64, xmm1 (memory store form) instruction version, VEX.vvvv is reserved and must be
1111b, otherwise instruction will #UD.
Note: For the VMOVSD xmm1, m64 (memory load form) instruction version, VEX.vvvv is reserved and must be
1111b otherwise instruction will #UD.
VEX encoded instruction syntax supports two source operands and a destination operand if ModR/M.mod field is
11B. VEX.vvvv is used to encode the first source operand (the second operand). The low 128 bits of the destination
operand stores the result of merging the low quadword of the second source operand with the quad word in bits
127:64 of the first source operand. The upper bits of the destination operand are cleared.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
F2 0F 10 /r
MOVSD xmm1, xmm2/m64
RM V/V SSE2 Move scalar double-precision floating-point
value from xmm2/m64 to xmm1 register.
VEX.NDS.LIG.F2.0F.WIG 10 /r
VMOVSD xmm1, xmm2, xmm3
RVM V/V AVX Merge scalar double-precision floating-point
value from xmm2 and xmm3 to xmm1
register.
VEX.LIG.F2.0F.WIG 10 /r
VMOVSD xmm1, m64
XM V/V AVX Load scalar double-precision floating-point
value from m64 to xmm1 register.
F2 0F 11 /r
MOVSD xmm2/m64, xmm1
MR V/V SSE2 Move scalar double-precision floating-point
value from xmm1 register to xmm2/m64.
VEX.NDS.LIG.F2.0F.WIG 11 /r
VMOVSD xmm1, xmm2, xmm3
MVR V/V AVX Merge scalar double-precision floating-point
value from xmm2 and xmm3 registers to
xmm1.
VEX.LIG.F2.0F.WIG 11 /r
VMOVSD m64, xmm1
MR V/V AVX Move scalar double-precision floating-point
value from xmm1 register to m64.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m(r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m(r) NA
MR ModRM:r/m (w) ModRM:reg (r) NA NA
XM ModRM:reg (w) ModRM:r/m(r) NA NA
MVR ModRM:r/m (w) VEX.vvvv (r) ModRM:reg (r) NA
MOVSDMove Scalar Double-Precision Floating-Point Value
INSTRUCTION SET REFERENCE, A-M
3-562 Vol. 2A
O p e ra t io n
MO VS D (1 2 8 -b it Le g a cy S S E v e rsio n : MO VS D XMM1 , XMM2 )
DEST[63:0] SRC[63:0]
DEST[VLMAX-1:64] (Unmodified)
MO VS D /VMO VS D (1 2 8 -b it v e rsio n s: MO VS D m 6 4 , x m m 1 o r V MO VS D m 6 4 , x m m 1 )
DEST[63:0] SRC[63:0]
MO VS D (1 2 8 -b it Le g a cy S S E v e rsio n : MO V S D XMM1 , m 6 4 )
DEST[63:0] SRC[63:0]
DEST[127:64] 0
DEST[VLMAX-1:128] (Unmodified)
VMO VS D (V E X.N D S .1 2 8 .F 2 .0 F 1 1 /r: VMO VS D x m m 1 , x m m 2 , x m m 3 )
DEST[63:0] SRC2[63:0]
DEST[127:64] SRC1[127:64]
DEST[VLMAX-1:128] 0
VMO VS D (V E X.N D S .1 2 8 .F 2 .0 F 1 0 /r: VMO VS D x m m 1 , x m m 2 , x m m 3 )
DEST[63:0] SRC2[63:0]
DEST[127:64] SRC1[127:64]
DEST[VLMAX-1:128] 0
VMO VS D (V E X.N D S .1 2 8 .F 2 .0 F 1 0 /r: VMO VS D x m m 1 , m 6 4 )
DEST[63:0] SRC[63:0]
DEST[VLMAX-1:64] 0
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
MOVSD: __m128d _mm_load_sd (double *p)
MOVSD: void _mm_store_sd (double *p, __m128d a)
MOVSD: __m128d _mm_store_sd (__m128d a, __m128d b)
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 5; additionally
#UD If VEX.vvvv != 1111B.
MOVSHDUPMove Packed Single-FP High and Duplicate
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-563
MO VS H D U P Mo v e P a cke d S in g le -F P H ig h a n d D u p lica t e
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
The linear address corresponds to the address of the least-significant byte of the referenced memory data. When
a memory address is indicated, the 16 bytes of data at memory location m128 are loaded and the single-precision
elements in positions 1 and 3 are duplicated. When the register-register form of this operation is used, the same
operation is performed but with data coming from the 128-bit source register. See Figure 3-25.
In 64-bit mode, use of the REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
128-bit Legacy SSE version: Bits (VLMAX-1:128) of the corresponding YMM destination register remain
unchanged.
VEX.128 encoded version: Bits (VLMAX-1:128) of the destination YMM register are zeroed.
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b otherwise instructions will #UD.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
F3 0F 16 /r
MOVSHDUP xmm1, xmm2/m128
RM V/V SSE3 Move two single-precision floating-point
values fromthe higher 32-bit operand of each
qword in xmm2/m128 to xmm1 and duplicate
each 32-bit operand to the lower 32-bits of
each qword.
VEX.128.F3.0F.WIG 16 /r
VMOVSHDUP xmm1, xmm2/m128
RM V/V AVX Move odd index single-precision floating-point
values from xmm2/mem and duplicate each
element into xmm1.
VEX.256.F3.0F.WIG 16 /r
VMOVSHDUP ymm1, ymm2/m256
RM V/V AVX Move odd index single-precision floating-point
values from ymm2/mem and duplicate each
element into ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
F ig u re 3 -2 5 . MO VS H D U P Mo v e P a cke d S in g le -F P H ig h a n d D u p lica t e
OM15998
MOVSHDUP xmm1, xmm2/m128
RESULT:
xmm1
xmm2/
m128
xmm1[31:0]
xmm2/
m128[63:32]
[31:0]
xmm1[63:32]
xmm2/
m128[63:32]
[63:32]
xmm1[95:64]
xmm2/
m128[127:96]
[95:64]
xmm1[127:96]
xmm2/
m128[127:96]
[127:96]
[127:96] [95:64] [63:32] [31:0]
MOVSHDUPMove Packed Single-FP High and Duplicate
INSTRUCTION SET REFERENCE, A-M
3-564 Vol. 2A
O p e ra t io n
MO VS H D U P (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[31:0] SRC[63:32]
DEST[63:32] SRC[63:32]
DEST[95:64] SRC[127:96]
DEST[127:96] SRC[127:96]
DEST[VLMAX-1:128] (Unmodified)
VMO VS H D U P (VE X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] SRC[63:32]
DEST[63:32] SRC[63:32]
DEST[95:64] SRC[127:96]
DEST[127:96] SRC[127:96]
DEST[VLMAX-1:128] 0
VMO VS H D U P (VE X.2 5 6 e n co d e d v e rsio n )
DEST[31:0] SRC[63:32]
DEST[63:32] SRC[63:32]
DEST[95:64] SRC[127:96]
DEST[127:96] SRC[127:96]
DEST[159:128] SRC[191:160]
DEST[191:160] SRC[191:160]
DEST[223:192] SRC[255:224]
DEST[255:224] SRC[255:224]
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
(V)MOVSHDUP: __m128 _mm_movehdup_ps(__m128 a)
VMOVSHDUP: __m256 _mm256_movehdup_ps (__m256 a);
E x ce p t io n s
General protection exception if not aligned on 16-byte boundary, regardless of segment.
N u m e ric E x ce p t io n s
None
O t h e r E x ce p t io n s
See Exceptions Type 2.
MOVSLDUPMove Packed Single-FP Low and Duplicate
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-565
MO VS LD U P Mo v e P a cke d S in g le -F P Lo w a n d D u p lica t e
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
The linear address corresponds to the address of the least-significant byte of the referenced memory data. When
a memory address is indicated, the 16 bytes of data at memory location m128 are loaded and the single-precision
elements in positions 0 and 2 are duplicated. When the register-register form of this operation is used, the same
operation is performed but with data coming from the 128-bit source register.
See Figure 3-26.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
128-bit Legacy SSE version: Bits (VLMAX-1:128) of the corresponding YMM destination register remain
unchanged.
VEX.128 encoded version: Bits (VLMAX-1:128) of the destination YMM register are zeroed.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
F3 0F 12 /r
MOVSLDUP xmm1, xmm2/m128
RM V/V SSE3 Move two single-precision floating-point
values fromthe lower 32-bit operand of each
qword in xmm2/m128 to xmm1 and duplicate
each 32-bit operand to the higher 32-bits of
each qword.
VEX.128.F3.0F.WIG 12 /r
VMOVSLDUP xmm1, xmm2/m128
RM V/V AVX Move even index single-precision floating-
point values from xmm2/mem and duplicate
each element into xmm1.
VEX.256.F3.0F.WIG 12 /r
VMOVSLDUP ymm1, ymm2/m256
RM V/V AVX Move even index single-precision floating-
point values from ymm2/mem and duplicate
each element into ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m(r) NA NA
F ig u re 3 -2 6 . MO VS LD U P Mo v e P a cke d S in g le -F P Lo w a n d D u p lica t e
OM15999
MOVSLDUP xmm1, xmm2/m128
RESULT:
xmm1
xmm2/
m128
xmm1[31:0]
xmm2/
m128[31:0]
[31:0]
xmm1[63:32]
xmm2/
m128[31:0]
[63:32]
xmm1[95:64]
xmm2/
m128[95:64]
[95:64]
xmm1[127:96]
xmm2/
m128[95:64]
[127:96]
[127:96] [95:64] [63:32] [31:0]
MOVSLDUPMove Packed Single-FP Low and Duplicate
INSTRUCTION SET REFERENCE, A-M
3-566 Vol. 2A
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b otherwise instructions will #UD.
O p e ra t io n
MO VS LD U P (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[31:0] SRC[31:0]
DEST[63:32] SRC[31:0]
DEST[95:64] SRC[95:64]
DEST[127:96] SRC[95:64]
DEST[VLMAX-1:128] (Unmodified)
VMO VS LD U P (V E X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] SRC[31:0]
DEST[63:32] SRC[31:0]
DEST[95:64] SRC[95:64]
DEST[127:96] SRC[95:64]
DEST[VLMAX-1:128] 0
VMO VS LD U P (V E X.2 5 6 e n co d e d v e rsio n )
DEST[31:0] SRC[31:0]
DEST[63:32] SRC[31:0]
DEST[95:64] SRC[95:64]
DEST[127:96] SRC[95:64]
DEST[159:128] SRC[159:128]
DEST[191:160] SRC[159:128]
DEST[223:192] SRC[223:192]
DEST[255:224] SRC[223:192]
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
(V)MOVSLDUP: __m128 _mm_moveldup_ps(__m128 a)
VMOVSLDUP: __m256 _mm256_moveldup_ps (__m256 a);
E x ce p t io n s
General protection exception if not aligned on 16-byte boundary, regardless of segment.
N u m e ric E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.vvvv != 1111B.
MOVSSMove Scalar Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-567
MO VS S Mo v e S ca la r S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Moves a scalar single-precision floating-point value from the source operand (second operand) to the destination
operand (first operand). The source and destination operands can be XMM registers or 32-bit memory locations.
This instruction can be used to move a single-precision floating-point value to and from the low doubleword of an
XMM register and a 32-bit memory location, or to move a single-precision floating-point value between the low
doublewords of two XMM registers. The instruction cannot be used to transfer data between memory locations.
For non-VEX encoded syntax and when the source and destination operands are XMM registers, the high double-
words of the destination operand remains unchanged. When the source operand is a memory location and destina-
tion operand is an XMM registers, the high doublewords of the destination operand is cleared to all 0s.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
VEX encoded instruction syntax supports two source operands and a destination operand if ModR/M.mod field is
11B. VEX.vvvv is used to encode the first source operand (the second operand). The low 128 bits of the destination
operand stores the result of merging the low dword of the second source operand with three dwords in bits 127:32
of the first source operand. The upper bits of the destination operand are cleared.
Note: For the VMOVSS m32, xmm1 (memory store form) instruction version, VEX.vvvv is reserved and must be
1111b otherwise instruction will #UD.
Note: For the VMOVSS xmm1, m32 (memory load form) instruction version, VEX.vvvv is reserved and must be
1111b otherwise instruction will #UD.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
F3 0F 10 /r
MOVSS xmm1, xmm2/m32
RM V/V SSE Move scalar single-precision floating-point
value from xmm2/m32 to xmm1 register.
VEX.NDS.LIG.F3.0F.WIG 10 /r
VMOVSS xmm1, xmm2, xmm3
RVM V/V AVX Merge scalar single-precision floating-point
value fromxmm2 and xmm3 to xmm1
register.
VEX.LIG.F3.0F.WIG 10 /r
VMOVSS xmm1, m32
XM V/V AVX Load scalar single-precision floating-point
value fromm32 to xmm1 register.
F3 0F 11 /r
MOVSS xmm2/m32, xmm
MR V/V SSE Move scalar single-precision floating-point
value from xmm1 register to xmm2/m32.
VEX.NDS.LIG.F3.0F.WIG 11 /r
VMOVSS xmm1, xmm2, xmm3
MVR V/V AVX Move scalar single-precision floating-point
value fromxmm2 and xmm3 to xmm1 regis-
ter.
VEX.LIG.F3.0F.WIG 11 /r
VMOVSS m32, xmm1
MR V/V AVX Move scalar single-precision floating-point
value fromxmm1 register to m32.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m(r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
MR ModRM:r/m (w) ModRM:reg (r) NA NA
XM ModRM:reg (w) ModRM:r/m(r) NA NA
MVR ModRM:r/m (w) VEX.vvvv (r) ModRM:reg (r) NA
MOVSSMove Scalar Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-568 Vol. 2A
O p e ra t io n
MO VS S (Le g a cy S S E v e rsio n w h e n t h e so u rce a n d d e st in a t io n o p e ra n d s a re b o t h XMM re g ist e rs)
DEST[31:0] SRC[31:0]
DEST[VLMAX-1:32] (Unmodified)
MO VS S /VMO VS S (w h e n t h e so u rce o p e ra n d is a n XMM re g ist e r a n d t h e d e st in a t io n is m e m o ry )
DEST[31:0] SRC[31:0]
MO VS S (Le g a cy S S E v e rsio n w h e n t h e so u rce o p e ra n d is m e m o ry a n d t h e d e st in a t io n is a n XMM re g ist e r)
DEST[31:0] SRC[31:0]
DEST[127:32] 0
DEST[VLMAX-1:128] (Unmodified)
VMO VS S (VE X.N D S .1 2 8 .F 3 .0 F 1 1 /r w h e re t h e d e st in a t io n is a n XMM re g ist e r)
DEST[31:0] SRC2[31:0]
DEST[127:32] SRC1[127:32]
DEST[VLMAX-1:128] 0
VMO VS S (VE X.N D S .1 2 8 .F 3 .0 F 1 0 /r w h e re t h e so u rce a n d d e st in a t io n a re XMM re g ist e rs)
DEST[31:0] SRC2[31:0]
DEST[127:32] SRC1[127:32]
DEST[VLMAX-1:128] 0
VMO VS S (VE X.N D S .1 2 8 .F 3 .0 F 1 0 /r w h e n t h e so u rce o p e ra n d is m e m o ry a n d t h e d e st in a t io n is a n XMM re g ist e r)
DEST[31:0] SRC[31:0]
DEST[VLMAX-1:32] 0
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
MOVSS: __m128 _mm_load_ss(float * p)
MOVSS: void _mm_store_ss(float * p, __m128 a)
MOVSS: __m128 _mm_move_ss(__m128 a, __m128 b)
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 5; additionally
#UD If VEX.vvvv != 1111B.
MOVSX/MOVSXDMove with Sign-Extension
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-569
MO VS X/MO VS XD Mo v e w it h S ig n -E x t e n sio n
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Copies the contents of the source operand (register or memory location) to the destination operand (register) and
sign extends the value to 16 or 32 bits (see Figure 7-6 in the Intel 64 and IA-32 Architectures Software Devel-
opers Manual, Volume 1). The size of the converted value depends on the operand-size attribute.
In 64-bit mode, the instructions default operation size is 32 bits. Use of the REX.R prefix permits access to addi-
tional registers (R8-R15). Use of the REX.W prefix promotes operation to 64 bits. See the summary chart at the
beginning of this section for encoding data and limits.
O p e ra t io n
DEST SignExtend(SRC);
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F BE /r MOVSX r16, r/m8 RM Valid Valid Move byte to word with sign-extension.
0F BE /r MOVSX r32, r/m8 RM Valid Valid Move byte to doubleword with sign-
extension.
REX +0F BE /r MOVSX r64, r/m8* RM Valid N.E. Move byte to quadword with sign-extension.
0F BF /r MOVSX r32, r/m16 RM Valid Valid Move word to doubleword, with sign-
extension.
REX.W +0F BF /r MOVSX r64, r/m16 RM Valid N.E. Move word to quadword with sign-extension.
REX.W** +63 /r MOVSXD r64, r/m32 RM Valid N.E. Move doubleword to quadword with sign-
extension.
N O T E S :
* In 64-bit mode, r/m8 can not be encoded to access the following byte registers if a REX prefix is used: AH, BH, CH, DH.
**The use of MOVSXD without REX.W in 64-bit mode is discouraged, Regular MOV should be used instead of using MOVSXD without
REX.W.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
MOVSX/MOVSXDMove with Sign-Extension
INSTRUCTION SET REFERENCE, A-M
3-570 Vol. 2A
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
MOVUPDMove Unaligned Packed Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-571
MO V U P D Mo v e U n a lig n e d P a cke d D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
1 2 8 -b it v e rsio n s:
Moves a double quadword containing two packed double-precision floating-point values from the source operand
(second operand) to the destination operand (first operand). This instruction can be used to load an XMM register
from a 128-bit memory location, store the contents of an XMM register into a 128-bit memory location, or move
data between two XMM registers.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
128-bit Legacy SSE version: Bits (VLMAX-1:128) of the corresponding YMM destination register remain
unchanged.
When the source or destination operand is a memory operand, the operand may be unaligned on a 16-byte
boundary without causing a general-protection exception (#GP) to be generated.
1
To move double-precision floating-point values to and from memory locations that are known to be aligned on 16-
byte boundaries, use the MOVAPD instruction.
While executing in 16-bit addressing mode, a linear address for a 128-bit data access that overlaps the end of a 16-
bit segment is not allowed and is defined as reserved behavior. A specific processor implementation may or may
not generate a general-protection exception (#GP) in this situation, and the address that spans the end of the
segment may or may not wrap around to the beginning of the segment.
VEX.128 encoded version: Bits (VLMAX-1:128) of the destination YMM register are zeroed.
VEX.256 encoded version: Moves 256 bits of packed double-precision floating-point values from the source
operand (second operand) to the destination operand (first operand). This instruction can be used to load a YMM
register from a 256-bit memory location, to store the contents of a YMM register into a 256-bit memory location,
or to move data between two YMM registers.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 10 /r
MOVUPD xmm1, xmm2/m128
RM V/V SSE2 Move packed double-precision floating-point
values from xmm2/m128 to xmm1.
VEX.128.66.0F.WIG 10 /r
VMOVUPD xmm1, xmm2/m128
RM V/V AVX Move unaligned packed double-precision
floating-point from xmm2/mem to xmm1.
VEX.256.66.0F.WIG 10 /r
VMOVUPD ymm1, ymm2/m256
RM V/V AVX Move unaligned packed double-precision
floating-point from ymm2/mem to ymm1.
66 0F 11 /r
MOVUPD xmm2/m128, xmm
MR V/V SSE2 Move packed double-precision floating-point
values from xmm1 to xmm2/m128.
VEX.128.66.0F.WIG 11 /r
VMOVUPD xmm2/m128, xmm1
MR V/V AVX Move unaligned packed double-precision
floating-point from xmm1 to xmm2/mem.
VEX.256.66.0F.WIG 11 /r
VMOVUPD ymm2/m256, ymm1
MR V/V AVX Move unaligned packed double-precision
floating-point from ymm1 to ymm2/mem.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m(r) NA NA
MR ModRM:r/m (w) ModRM:reg (r) NA NA
1. If alignment checking is enabled (CR0.AM=1, RFLAGS.AC=1, and CPL =3), an alignment-check exception (#AC) may or may not be
generated (depending on processor implementation) when the operand is not aligned on an 8-byte boundary.
MOVUPDMove Unaligned Packed Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-572 Vol. 2A
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b otherwise instructions will #UD.
O p e ra t io n
MO VU P D (1 2 8 -b it lo a d a n d re g ist e r-co p y f o rm Le g a cy S S E v e rsio n )
DEST[127:0] SRC[127:0]
DEST[VLMAX-1:128] (Unmodified)
(V)MO V U P D (1 2 8 -b it st o re f o rm )
DEST[127:0] SRC[127:0]
VMO VU P D (VE X.1 2 8 e n co d e d v e rsio n )
DEST[127:0] SRC[127:0]
DEST[VLMAX-1:128] 0
VMO VU P D (VE X.2 5 6 e n co d e d v e rsio n )
DEST[255:0] SRC[255:0]
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
MOVUPD: __m128 _mm_loadu_pd(double * p)
MOVUPD: void _mm_storeu_pd(double *p, __m128 a)
VMOVUPD: __m256d _mm256_loadu_pd (__m256d * p);
VMOVUPD: _mm256_storeu_pd(_m256d *p, __m256d a);
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4
Note treatment of #AC varies; additionally
#UD If VEX.vvvv != 1111B.
MOVUPSMove Unaligned Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-573
MO VU P S Mo v e U n a lig n e d P a cke d S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
128-bit versions: Moves a double quadword containing four packed single-precision floating-point values from the
source operand (second operand) to the destination operand (first operand). This instruction can be used to load
an XMM register from a 128-bit memory location, store the contents of an XMM register into a 128-bit memory
location, or move data between two XMM registers.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
128-bit Legacy SSE version: Bits (VLMAX-1:128) of the corresponding YMM destination register remain
unchanged.
When the source or destination operand is a memory operand, the operand may be unaligned on a 16-byte
boundary without causing a general-protection exception (#GP) to be generated.
1
To move packed single-precision floating-point values to and from memory locations that are known to be aligned
on 16-byte boundaries, use the MOVAPS instruction.
While executing in 16-bit addressing mode, a linear address for a 128-bit data access that overlaps the end of a 16-
bit segment is not allowed and is defined as reserved behavior. A specific processor implementation may or may
not generate a general-protection exception (#GP) in this situation, and the address that spans the end of the
segment may or may not wrap around to the beginning of the segment.
VEX.128 encoded version: Bits (VLMAX-1:128) of the destination YMM register are zeroed.
VEX.256 encoded version: Moves 256 bits of packed single-precision floating-point values from the source operand
(second operand) to the destination operand (first operand). This instruction can be used to load a YMM register
from a 256-bit memory location, to store the contents of a YMM register into a 256-bit memory location, or to move
data between two YMM registers.
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b otherwise instructions will #UD.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 10 /r
MOVUPS xmm1, xmm2/m128
RM V/V SSE Move packed single-precision floating-point
values from xmm2/m128 to xmm1.
VEX.128.0F.WIG 10 /r
VMOVUPS xmm1, xmm2/m128
RM V/V AVX Move unaligned packed single-precision
floating-point from xmm2/mem to xmm1.
VEX.256.0F.WIG 10 /r
VMOVUPS ymm1, ymm2/m256
RM V/V AVX Move unaligned packed single-precision
floating-point from ymm2/mem to ymm1.
0F 11 /r
MOVUPS xmm2/m128, xmm1
MR V/V SSE Move packed single-precision floating-point
values from xmm1 to xmm2/m128.
VEX.128.0F.WIG 11 /r
VMOVUPS xmm2/m128, xmm1
MR V/V AVX Move unaligned packed single-precision
floating-point from xmm1 to xmm2/mem.
VEX.256.0F.WIG 11 /r
VMOVUPS ymm2/m256, ymm1
MR V/V AVX Move unaligned packed single-precision
floating-point from ymm1 to ymm2/mem.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
MR ModRM:r/m (w) ModRM:reg (r) NA NA
1. If alignment checking is enabled (CR0.AM=1, RFLAGS.AC=1, and CPL =3), an alignment-check exception (#AC) may or may not be
generated (depending on processor implementation) when the operand is not aligned on an 8-byte boundary.
MOVUPSMove Unaligned Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-574 Vol. 2A
O p e ra t io n
MO VU P S (1 2 8 -b it lo a d a n d re g ist e r-co p y fo rm Le g a cy S S E v e rsio n )
DEST[127:0] SRC[127:0]
DEST[VLMAX-1:128] (Unmodified)
(V)MO VU P S (1 2 8 -b it st o re fo rm )
DEST[127:0] SRC[127:0]
VMO VU P S (VE X.1 2 8 e n co d e d lo a d -fo rm )
DEST[127:0] SRC[127:0]
DEST[VLMAX-1:128] 0
VMO VU P S (VE X.2 5 6 e n co d e d v e rsio n )
DEST[255:0] SRC[255:0]
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
MOVUPS: __m128 _mm_loadu_ps(double * p)
MOVUPS: void _mm_storeu_ps(double *p, __m128 a)
VMOVUPS: __m256 _mm256_loadu_ps (__m256 * p);
VMOVUPS: _mm256_storeu_ps(_m256 *p, __m256 a);
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4
Note treatment of #AC varies; additionally
#UD If VEX.vvvv != 1111B.
MOVZXMove with Zero-Extend
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-575
MO VZ XMo v e w it h Z e ro -E x t e n d
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Copies the contents of the source operand (register or memory location) to the destination operand (register) and
zero extends the value. The size of the converted value depends on the operand-size attribute.
In 64-bit mode, the instructions default operation size is 32 bits. Use of the REX.R prefix permits access to addi-
tional registers (R8-R15). Use of the REX.W prefix promotes operation to 64 bit operands. See the summary chart
at the beginning of this section for encoding data and limits.
O p e ra t io n
DEST ZeroExtend(SRC);
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F B6 /r MOVZX r16, r/m8 RM Valid Valid Move byte to word with zero-extension.
0F B6 /r MOVZX r32, r/m8 RM Valid Valid Move byte to doubleword, zero-extension.
REX.W +0F B6 /r MOVZX r64, r/m8* RM Valid N.E. Move byte to quadword, zero-extension.
0F B7 /r MOVZX r32, r/m16 RM Valid Valid Move word to doubleword, zero-extension.
REX.W +0F B7 /r MOVZX r64, r/m16 RM Valid N.E. Move word to quadword, zero-extension.
N O T E S :
* In 64-bit mode, r/m8 can not be encoded to access the following byte registers if the REX prefix is used: AH, BH, CH, DH.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
MOVZXMove with Zero-Extend
INSTRUCTION SET REFERENCE, A-M
3-576 Vol. 2A
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
MPSADBW Compute Multiple Packed Sums of Absolute Difference
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-577
MP S AD B W C o m p u t e Mu lt ip le P a cke d S u m s o f Ab so lu t e D iffe re n ce
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
(V)MPSADBW sums the absolute difference of 4 unsigned bytes (block_2) in the second source operand with
sequential groups of 4 unsigned bytes (block_1) in the first source operand. The immediate byte provides bit fields
that specify the initial offset of block_1 within the first source operand, and the offset of block_2 within the second
source operand. The offset granularity in both source operands are 32 bits. The sum-absolute-difference (SAD)
operation is repeated 8 times for (V)MPSADW between the same block_2 (fixed offset within the second source
operand) and a variable block_1 (offset is shifted by 8 bits for each SAD operation) in the first source operand.
Each 16-bit result of eight SAD operations is written to the respective word in the destination operand.
128-bit Legacy SSE version: Imm8[1:0]*32 specifies the bit offset of block_2 within the second source operand.
Imm[2]*32 specifies the initial bit offset of the block_1 within the first source operand. The first source operand
and destination operand are the same. The first source and destination operands are XMM registers. The second
source operand is either an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the corresponding
YMM destination register remain unchanged. Bits 7:3 of the immediate byte are ignored.
VEX.128 encoded version: Imm8[1:0]*32 specifies the bit offset of block_2 within the second source operand.
Imm[2]*32 specifies the initial bit offset of the block_1 within the first source operand. The first source and desti-
nation operands are XMM registers. The second source operand is either an XMM register or a 128-bit memory
location. Bits (127:128) of the corresponding YMM register are zeroed. Bits 7:3 of the immediate byte are ignored.
VEX.256 encoded version: The sum-absolute-difference (SAD) operation is repeated 8 times for MPSADW between
the same block_2 (fixed offset within the second source operand) and a variable block_1 (offset is shifted by 8 bits
for each SAD operation) in the first source operand. Each 16-bit result of eight SAD operations between block_2
and block_1 is written to the respective word in the lower 128 bits of the destination operand.
Additionally, VMPSADBW performs another eight SAD operations on block_4 of the second source operand and
block_3 of the first source operand. (Imm8[4:3]*32 + 128) specifies the bit offset of block_4 within the second
source operand. (Imm[5]*32+128) specifies the initial bit offset of the block_3 within the first source operand.
Each 16-bit result of eight SAD operations between block_4 and block_3 is written to the respective word in the
upper 128 bits of the destination operand.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 3A 42 /r ib
MPSADBW xmm1, xmm2/m128, imm8
RMI V/V SSE4_1 Sums absolute 8-bit integer difference of
adjacent groups of 4 byte integers in xmm1
and xmm2/m128 and writes the results in
xmm1. Starting offsets within xmm1 and
xmm2/m128 are determined by imm8.
VEX.NDS.128.66.0F3A.WIG 42 /r ib
VMPSADBW xmm1, xmm2, xmm3/m128, imm8
RVMI V/V AVX Sums absolute 8-bit integer difference of
adjacent groups of 4 byte integers in xmm2
and xmm3/m128 and writes the results in
xmm1. Starting offsets within xmm2 and
xmm3/m128 are determined by imm8.
VEX.NDS.256.66.0F3A.WIG 42 /r ib
VMPSADBW ymm1, ymm2, ymm3/m256, imm8
RVMI V/V AVX2 Sums absolute 8-bit integer difference of
adjacent groups of 4 byte integers in xmm2
and ymm3/m128 and writes the results in
ymm1. Starting offsets within ymm2 and
xmm3/m128 are determined by imm8.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RMI ModRM:reg (r, w) ModRM:r/m (r) imm8 NA
RVMI ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) imm8
MPSADBW Compute Multiple Packed Sums of Absolute Difference
INSTRUCTION SET REFERENCE, A-M
3-578 Vol. 2A
The first source operand is a YMM register. The second source register can be a YMM register or a 256-bit memory
location. The destination operand is a YMM register. Bits 7:6 of the immediate byte are ignored.
Note: If VMPSADBW is encoded with VEX.L= 1, an attempt to execute the instruction encoded with VEX.L= 1 will
cause an #UD exception.
O p e ra t io n
VMP S AD B W (VE X.2 5 6 e n co d e d v e rsio n )
SRC2_OFFSET imm8[1:0]*32
SRC1_OFFSET imm8[2]*32
SRC1_BYTE0 SRC1[SRC1_OFFSET+7:SRC1_OFFSET]
SRC1_BYTE1 SRC1[SRC1_OFFSET+15:SRC1_OFFSET+8]
SRC1_BYTE2 SRC1[SRC1_OFFSET+23:SRC1_OFFSET+16]
SRC1_BYTE3 SRC1[SRC1_OFFSET+31:SRC1_OFFSET+24]
SRC1_BYTE4 SRC1[SRC1_OFFSET+39:SRC1_OFFSET+32]
SRC1_BYTE5 SRC1[SRC1_OFFSET+47:SRC1_OFFSET+40]
F ig u re 3 -2 7. V MP S AD B W O p e ra t io n
Abs. Diff.
Sum
Imm[4:3]*32+128
Imm[5]*32+128
Src2
Src1
128 255
144
128 255 224 192
Abs. Diff.
Sum
Imm[1:0]*32
Imm[2]*32
Src2
Destination
0 127 16
0 127 96 64
Destination
Src1
MPSADBW Compute Multiple Packed Sums of Absolute Difference
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-579
SRC1_BYTE6 SRC1[SRC1_OFFSET+55:SRC1_OFFSET+48]
SRC1_BYTE7 SRC1[SRC1_OFFSET+63:SRC1_OFFSET+56]
SRC1_BYTE8 SRC1[SRC1_OFFSET+71:SRC1_OFFSET+64]
SRC1_BYTE9 SRC1[SRC1_OFFSET+79:SRC1_OFFSET+72]
SRC1_BYTE10 SRC1[SRC1_OFFSET+87:SRC1_OFFSET+80]
SRC2_BYTE0 SRC2[SRC2_OFFSET+7:SRC2_OFFSET]
SRC2_BYTE1 SRC2[SRC2_OFFSET+15:SRC2_OFFSET+8]
SRC2_BYTE2 SRC2[SRC2_OFFSET+23:SRC2_OFFSET+16]
SRC2_BYTE3 SRC2[SRC2_OFFSET+31:SRC2_OFFSET+24]
TEMP0 ABS(SRC1_BYTE0 - SRC2_BYTE0)
TEMP1 ABS(SRC1_BYTE1 - SRC2_BYTE1)
TEMP2 ABS(SRC1_BYTE2 - SRC2_BYTE2)
TEMP3 ABS(SRC1_BYTE3 - SRC2_BYTE3)
DEST[15:0] TEMP0 +TEMP1 +TEMP2 +TEMP3
TEMP0 ABS(SRC1_BYTE1 - SRC2_BYTE0)
TEMP1 ABS(SRC1_BYTE2 - SRC2_BYTE1)
TEMP2 ABS(SRC1_BYTE3 - SRC2_BYTE2)
TEMP3 ABS(SRC1_BYTE4 - SRC2_BYTE3)
DEST[31:16] TEMP0 +TEMP1 +TEMP2 +TEMP3
TEMP0 ABS(SRC1_BYTE2 - SRC2_BYTE0)
TEMP1 ABS(SRC1_BYTE3 - SRC2_BYTE1)
TEMP2 ABS(SRC1_BYTE4 - SRC2_BYTE2)
TEMP3 ABS(SRC1_BYTE5 - SRC2_BYTE3)
DEST[47:32] TEMP0 +TEMP1 +TEMP2 +TEMP3
TEMP0 ABS(SRC1_BYTE3 - SRC2_BYTE0)
TEMP1 ABS(SRC1_BYTE4 - SRC2_BYTE1)
TEMP2 ABS(SRC1_BYTE5 - SRC2_BYTE2)
TEMP3 ABS(SRC1_BYTE6 - SRC2_BYTE3)
DEST[63:48] TEMP0 +TEMP1 +TEMP2 +TEMP3
TEMP0 ABS(SRC1_BYTE4 - SRC2_BYTE0)
TEMP1 ABS(SRC1_BYTE5 - SRC2_BYTE1)
TEMP2 ABS(SRC1_BYTE6 - SRC2_BYTE2)
TEMP3 ABS(SRC1_BYTE7 - SRC2_BYTE3)
DEST[79:64] TEMP0 +TEMP1 +TEMP2 +TEMP3
TEMP0 ABS(SRC1_BYTE5 - SRC2_BYTE0)
TEMP1 ABS(SRC1_BYTE6 - SRC2_BYTE1)
TEMP2 ABS(SRC1_BYTE7 - SRC2_BYTE2)
TEMP3 ABS(SRC1_BYTE8 - SRC2_BYTE3)
DEST[95:80] TEMP0 +TEMP1 +TEMP2 +TEMP3
TEMP0 ABS(SRC1_BYTE6 - SRC2_BYTE0)
TEMP1 ABS(SRC1_BYTE7 - SRC2_BYTE1)
TEMP2 ABS(SRC1_BYTE8 - SRC2_BYTE2)
TEMP3 ABS(SRC1_BYTE9 - SRC2_BYTE3)
DEST[111:96] TEMP0 +TEMP1 +TEMP2 +TEMP3
TEMP0 ABS(SRC1_BYTE7 - SRC2_BYTE0)
TEMP1 ABS(SRC1_BYTE8 - SRC2_BYTE1)
MPSADBW Compute Multiple Packed Sums of Absolute Difference
INSTRUCTION SET REFERENCE, A-M
3-580 Vol. 2A
TEMP2 ABS(SRC1_BYTE9 - SRC2_BYTE2)
TEMP3 ABS(SRC1_BYTE10 - SRC2_BYTE3)
DEST[127:112] TEMP0 +TEMP1 +TEMP2 +TEMP3
SRC2_OFFSET imm8[4:3]*32 +128
SRC1_OFFSET imm8[5]*32 +128
SRC1_BYTE0 SRC1[SRC1_OFFSET+7:SRC1_OFFSET]
SRC1_BYTE1 SRC1[SRC1_OFFSET+15:SRC1_OFFSET+8]
SRC1_BYTE2 SRC1[SRC1_OFFSET+23:SRC1_OFFSET+16]
SRC1_BYTE3 SRC1[SRC1_OFFSET+31:SRC1_OFFSET+24]
SRC1_BYTE4 SRC1[SRC1_OFFSET+39:SRC1_OFFSET+32]
SRC1_BYTE5 SRC1[SRC1_OFFSET+47:SRC1_OFFSET+40]
SRC1_BYTE6 SRC1[SRC1_OFFSET+55:SRC1_OFFSET+48]
SRC1_BYTE7 SRC1[SRC1_OFFSET+63:SRC1_OFFSET+56]
SRC1_BYTE8 SRC1[SRC1_OFFSET+71:SRC1_OFFSET+64]
SRC1_BYTE9 SRC1[SRC1_OFFSET+79:SRC1_OFFSET+72]
SRC1_BYTE10 SRC1[SRC1_OFFSET+87:SRC1_OFFSET+80]
SRC2_BYTE0 SRC2[SRC2_OFFSET+7:SRC2_OFFSET]
SRC2_BYTE1 SRC2[SRC2_OFFSET+15:SRC2_OFFSET+8]
SRC2_BYTE2 SRC2[SRC2_OFFSET+23:SRC2_OFFSET+16]
SRC2_BYTE3 SRC2[SRC2_OFFSET+31:SRC2_OFFSET+24]
TEMP0 ABS(SRC1_BYTE0 - SRC2_BYTE0)
TEMP1 ABS(SRC1_BYTE1 - SRC2_BYTE1)
TEMP2 ABS(SRC1_BYTE2 - SRC2_BYTE2)
TEMP3 ABS(SRC1_BYTE3 - SRC2_BYTE3)
DEST[143:128] TEMP0 +TEMP1 +TEMP2 +TEMP3
TEMP0 ABS(SRC1_BYTE1 - SRC2_BYTE0)
TEMP1 ABS(SRC1_BYTE2 - SRC2_BYTE1)
TEMP2 ABS(SRC1_BYTE3 - SRC2_BYTE2)
TEMP3 ABS(SRC1_BYTE4 - SRC2_BYTE3)
DEST[159:144] TEMP0 +TEMP1 +TEMP2 +TEMP3
TEMP0 ABS(SRC1_BYTE2 - SRC2_BYTE0)
TEMP1 ABS(SRC1_BYTE3 - SRC2_BYTE1)
TEMP2 ABS(SRC1_BYTE4 - SRC2_BYTE2)
TEMP3 ABS(SRC1_BYTE5 - SRC2_BYTE3)
DEST[175:160] TEMP0 +TEMP1 +TEMP2 +TEMP3
TEMP0 ABS(SRC1_BYTE3 - SRC2_BYTE0)
TEMP1 ABS(SRC1_BYTE4 - SRC2_BYTE1)
TEMP2 ABS(SRC1_BYTE5 - SRC2_BYTE2)
TEMP3 ABS(SRC1_BYTE6 - SRC2_BYTE3)
DEST[191:176] TEMP0 +TEMP1 +TEMP2 +TEMP3
TEMP0 ABS(SRC1_BYTE4 - SRC2_BYTE0)
TEMP1 ABS(SRC1_BYTE5 - SRC2_BYTE1)
TEMP2 ABS(SRC1_BYTE6 - SRC2_BYTE2)
TEMP3 ABS(SRC1_BYTE7 - SRC2_BYTE3)
DEST[207:192] TEMP0 +TEMP1 +TEMP2 +TEMP3
TEMP0 ABS(SRC1_BYTE5 - SRC2_BYTE0)
MPSADBW Compute Multiple Packed Sums of Absolute Difference
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-581
TEMP1 ABS(SRC1_BYTE6 - SRC2_BYTE1)
TEMP2 ABS(SRC1_BYTE7 - SRC2_BYTE2)
TEMP3 ABS(SRC1_BYTE8 - SRC2_BYTE3)
DEST[223:208] TEMP0 +TEMP1 +TEMP2 +TEMP3
TEMP0 ABS(SRC1_BYTE6 - SRC2_BYTE0)
TEMP1 ABS(SRC1_BYTE7 - SRC2_BYTE1)
TEMP2 ABS(SRC1_BYTE8 - SRC2_BYTE2)
TEMP3 ABS(SRC1_BYTE9 - SRC2_BYTE3)
DEST[239:224] TEMP0 +TEMP1 +TEMP2 +TEMP3
TEMP0 ABS(SRC1_BYTE7 - SRC2_BYTE0)
TEMP1 ABS(SRC1_BYTE8 - SRC2_BYTE1)
TEMP2 ABS(SRC1_BYTE9 - SRC2_BYTE2)
TEMP3 ABS(SRC1_BYTE10 - SRC2_BYTE3)
DEST[255:240] TEMP0 +TEMP1 +TEMP2 +TEMP3
VMP S AD B W (VE X.1 2 8 e n co d e d v e rsio n )
SRC2_OFFSET imm8[1:0]*32
SRC1_OFFSET imm8[2]*32
SRC1_BYTE0 SRC1[SRC1_OFFSET+7:SRC1_OFFSET]
SRC1_BYTE1 SRC1[SRC1_OFFSET+15:SRC1_OFFSET+8]
SRC1_BYTE2 SRC1[SRC1_OFFSET+23:SRC1_OFFSET+16]
SRC1_BYTE3 SRC1[SRC1_OFFSET+31:SRC1_OFFSET+24]
SRC1_BYTE4 SRC1[SRC1_OFFSET+39:SRC1_OFFSET+32]
SRC1_BYTE5 SRC1[SRC1_OFFSET+47:SRC1_OFFSET+40]
SRC1_BYTE6 SRC1[SRC1_OFFSET+55:SRC1_OFFSET+48]
SRC1_BYTE7 SRC1[SRC1_OFFSET+63:SRC1_OFFSET+56]
SRC1_BYTE8 SRC1[SRC1_OFFSET+71:SRC1_OFFSET+64]
SRC1_BYTE9 SRC1[SRC1_OFFSET+79:SRC1_OFFSET+72]
SRC1_BYTE10 SRC1[SRC1_OFFSET+87:SRC1_OFFSET+80]
SRC2_BYTE0 SRC2[SRC2_OFFSET+7:SRC2_OFFSET]
SRC2_BYTE1 SRC2[SRC2_OFFSET+15:SRC2_OFFSET+8]
SRC2_BYTE2 SRC2[SRC2_OFFSET+23:SRC2_OFFSET+16]
SRC2_BYTE3 SRC2[SRC2_OFFSET+31:SRC2_OFFSET+24]
TEMP0 ABS(SRC1_BYTE0 - SRC2_BYTE0)
TEMP1 ABS(SRC1_BYTE1 - SRC2_BYTE1)
TEMP2 ABS(SRC1_BYTE2 - SRC2_BYTE2)
TEMP3 ABS(SRC1_BYTE3 - SRC2_BYTE3)
DEST[15:0] TEMP0 +TEMP1 +TEMP2 +TEMP3
TEMP0 ABS(SRC1_BYTE1 - SRC2_BYTE0)
TEMP1 ABS(SRC1_BYTE2 - SRC2_BYTE1)
TEMP2 ABS(SRC1_BYTE3 - SRC2_BYTE2)
TEMP3 ABS(SRC1_BYTE4 - SRC2_BYTE3)
DEST[31:16] TEMP0 +TEMP1 +TEMP2 +TEMP3
TEMP0 ABS(SRC1_BYTE2 - SRC2_BYTE0)
TEMP1 ABS(SRC1_BYTE3 - SRC2_BYTE1)
TEMP2 ABS(SRC1_BYTE4 - SRC2_BYTE2)
TEMP3 ABS(SRC1_BYTE5 - SRC2_BYTE3)
DEST[47:32] TEMP0 +TEMP1 +TEMP2 +TEMP3
MPSADBW Compute Multiple Packed Sums of Absolute Difference
INSTRUCTION SET REFERENCE, A-M
3-582 Vol. 2A
TEMP0 ABS(SRC1_BYTE3 - SRC2_BYTE0)
TEMP1 ABS(SRC1_BYTE4 - SRC2_BYTE1)
TEMP2 ABS(SRC1_BYTE5 - SRC2_BYTE2)
TEMP3 ABS(SRC1_BYTE6 - SRC2_BYTE3)
DEST[63:48] TEMP0 +TEMP1 +TEMP2 +TEMP3
TEMP0 ABS(SRC1_BYTE4 - SRC2_BYTE0)
TEMP1 ABS(SRC1_BYTE5 - SRC2_BYTE1)
TEMP2 ABS(SRC1_BYTE6 - SRC2_BYTE2)
TEMP3 ABS(SRC1_BYTE7 - SRC2_BYTE3)
DEST[79:64] TEMP0 +TEMP1 +TEMP2 +TEMP3
TEMP0 ABS(SRC1_BYTE5 - SRC2_BYTE0)
TEMP1 ABS(SRC1_BYTE6 - SRC2_BYTE1)
TEMP2 ABS(SRC1_BYTE7 - SRC2_BYTE2)
TEMP3 ABS(SRC1_BYTE8 - SRC2_BYTE3)
DEST[95:80] TEMP0 +TEMP1 +TEMP2 +TEMP3
TEMP0 ABS(SRC1_BYTE6 - SRC2_BYTE0)
TEMP1 ABS(SRC1_BYTE7 - SRC2_BYTE1)
TEMP2 ABS(SRC1_BYTE8 - SRC2_BYTE2)
TEMP3 ABS(SRC1_BYTE9 - SRC2_BYTE3)
DEST[111:96] TEMP0 +TEMP1 +TEMP2 +TEMP3
TEMP0 ABS(SRC1_BYTE7 - SRC2_BYTE0)
TEMP1 ABS(SRC1_BYTE8 - SRC2_BYTE1)
TEMP2 ABS(SRC1_BYTE9 - SRC2_BYTE2)
TEMP3 ABS(SRC1_BYTE10 - SRC2_BYTE3)
DEST[127:112] TEMP0 +TEMP1 +TEMP2 +TEMP3
DEST[VLMAX-1:128] 0
MP S AD B W (1 2 8 -b it Le g a cy S S E v e rsio n )
SRC_OFFSET imm8[1:0]*32
DEST_OFFSET imm8[2]*32
DEST_BYTE0 DEST[DEST_OFFSET+7:DEST_OFFSET]
DEST_BYTE1 DEST[DEST_OFFSET+15:DEST_OFFSET+8]
DEST_BYTE2 DEST[DEST_OFFSET+23:DEST_OFFSET+16]
DEST_BYTE3 DEST[DEST_OFFSET+31:DEST_OFFSET+24]
DEST_BYTE4 DEST[DEST_OFFSET+39:DEST_OFFSET+32]
DEST_BYTE5 DEST[DEST_OFFSET+47:DEST_OFFSET+40]
DEST_BYTE6 DEST[DEST_OFFSET+55:DEST_OFFSET+48]
DEST_BYTE7 DEST[DEST_OFFSET+63:DEST_OFFSET+56]
DEST_BYTE8 DEST[DEST_OFFSET+71:DEST_OFFSET+64]
DEST_BYTE9 DEST[DEST_OFFSET+79:DEST_OFFSET+72]
DEST_BYTE10 DEST[DEST_OFFSET+87:DEST_OFFSET+80]
SRC_BYTE0 SRC[SRC_OFFSET+7:SRC_OFFSET]
SRC_BYTE1 SRC[SRC_OFFSET+15:SRC_OFFSET+8]
SRC_BYTE2 SRC[SRC_OFFSET+23:SRC_OFFSET+16]
SRC_BYTE3 SRC[SRC_OFFSET+31:SRC_OFFSET+24]
TEMP0 ABS( DEST_BYTE0 - SRC_BYTE0)
TEMP1 ABS( DEST_BYTE1 - SRC_BYTE1)
MPSADBW Compute Multiple Packed Sums of Absolute Difference
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-583
TEMP2 ABS( DEST_BYTE2 - SRC_BYTE2)
TEMP3 ABS( DEST_BYTE3 - SRC_BYTE3)
DEST[15:0] TEMP0 +TEMP1 +TEMP2 +TEMP3
TEMP0 ABS( DEST_BYTE1 - SRC_BYTE0)
TEMP1 ABS( DEST_BYTE2 - SRC_BYTE1)
TEMP2 ABS( DEST_BYTE3 - SRC_BYTE2)
TEMP3 ABS( DEST_BYTE4 - SRC_BYTE3)
DEST[31:16] TEMP0 +TEMP1 +TEMP2 +TEMP3
TEMP0 ABS( DEST_BYTE2 - SRC_BYTE0)
TEMP1 ABS( DEST_BYTE3 - SRC_BYTE1)
TEMP2 ABS( DEST_BYTE4 - SRC_BYTE2)
TEMP3 ABS( DEST_BYTE5 - SRC_BYTE3)
DEST[47:32] TEMP0 +TEMP1 +TEMP2 +TEMP3
TEMP0 ABS( DEST_BYTE3 - SRC_BYTE0)
TEMP1 ABS( DEST_BYTE4 - SRC_BYTE1)
TEMP2 ABS( DEST_BYTE5 - SRC_BYTE2)
TEMP3 ABS( DEST_BYTE6 - SRC_BYTE3)
DEST[63:48] TEMP0 +TEMP1 +TEMP2 +TEMP3
TEMP0 ABS( DEST_BYTE4 - SRC_BYTE0)
TEMP1 ABS( DEST_BYTE5 - SRC_BYTE1)
TEMP2 ABS( DEST_BYTE6 - SRC_BYTE2)
TEMP3 ABS( DEST_BYTE7 - SRC_BYTE3)
DEST[79:64] TEMP0 +TEMP1 +TEMP2 +TEMP3
TEMP0 ABS( DEST_BYTE5 - SRC_BYTE0)
TEMP1 ABS( DEST_BYTE6 - SRC_BYTE1)
TEMP2 ABS( DEST_BYTE7 - SRC_BYTE2)
TEMP3 ABS( DEST_BYTE8 - SRC_BYTE3)
DEST[95:80] TEMP0 +TEMP1 +TEMP2 +TEMP3
TEMP0 ABS( DEST_BYTE6 - SRC_BYTE0)
TEMP1 ABS( DEST_BYTE7 - SRC_BYTE1)
TEMP2 ABS( DEST_BYTE8 - SRC_BYTE2)
TEMP3 ABS( DEST_BYTE9 - SRC_BYTE3)
DEST[111:96] TEMP0 +TEMP1 +TEMP2 +TEMP3
TEMP0 ABS( DEST_BYTE7 - SRC_BYTE0)
TEMP1 ABS( DEST_BYTE8 - SRC_BYTE1)
TEMP2 ABS( DEST_BYTE9 - SRC_BYTE2)
TEMP3 ABS( DEST_BYTE10 - SRC_BYTE3)
DEST[127:112] TEMP0 +TEMP1 +TEMP2 +TEMP3
DEST[VLMAX-1:128] (Unmodified)
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
(V)MPSADBW: __m128i _mm_mpsadbw_epu8 (__m128i s1, __m128i s2, const int mask);
VMPSADBW: __m256i _mm256_mpsadbw_epu8 (__m256i s1, __m256i s2, const int mask);
F la g s Af fe ct e d
None
MPSADBW Compute Multiple Packed Sums of Absolute Difference
INSTRUCTION SET REFERENCE, A-M
3-584 Vol. 2A
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
MULUnsigned Multiply
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-585
MU LU n sig n e d Mu lt ip ly
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs an unsigned multiplication of the first operand (destination operand) and the second operand (source
operand) and stores the result in the destination operand. The destination operand is an implied operand located
in register AL, AX or EAX (depending on the size of the operand); the source operand is located in a general-
purpose register or a memory location. The action of this instruction and the location of the result depends on the
opcode and the operand size as shown in Table 3-66.
The result is stored in register AX, register pair DX:AX, or register pair EDX:EAX (depending on the operand size),
with the high-order bits of the product contained in register AH, DX, or EDX, respectively. If the high-order bits of
the product are 0, the CF and OF flags are cleared; otherwise, the flags are set.
In 64-bit mode, the instructions default operation size is 32 bits. Use of the REX.R prefix permits access to addi-
tional registers (R8-R15). Use of the REX.W prefix promotes operation to 64 bits.
See the summary chart at the beginning of this section for encoding data and limits.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
F6 /4 MUL r/m8 M Valid Valid Unsigned multiply (AX AL r/m8).
REX +F6 /4 MUL r/m8
*
M Valid N.E. Unsigned multiply (AX AL r/m8).
F7 /4 MUL r/m16 M Valid Valid Unsigned multiply (DX:AX AX r/m16).
F7 /4 MUL r/m32 M Valid Valid Unsigned multiply (EDX:EAX EAX r/m32).
REX.W +F7 /4 MUL r/m64 M Valid N.E. Unsigned multiply (RDX:RAX RAX r/m64).
N O T E S :
* In 64-bit mode, r/m8 can not be encoded to access the following byte registers if a REX prefix is used: AH, BH, CH, DH.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
M ModRM:r/m (r) NA NA NA
Ta b le 3 -6 6 . MU L R e su lt s
O p e ra n d S ize S o u rce 1 S o u rce 2 D e st in a t io n
Byte AL r/m8 AX
Word AX r/m16 DX:AX
Doubleword EAX r/m32 EDX:EAX
Quadword RAX r/m64 RDX:RAX
MULUnsigned Multiply
INSTRUCTION SET REFERENCE, A-M
3-586 Vol. 2A
O p e ra t io n
IF (Byte operation)
THEN
AX AL SRC;
ELSE (* Word or doubleword operation *)
IF OperandSize = 16
THEN
DX:AX AX SRC;
ELSE IF OperandSize = 32
THEN EDX:EAX EAX SRC; FI;
ELSE (* OperandSize = 64 *)
RDX:RAX RAX SRC;
FI;
FI;
F la g s Af fe ct e d
The OF and CF flags are set to 0 if the upper half of the result is 0; otherwise, they are set to 1. The SF, ZF, AF, and
PF flags are undefined.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
MULPDMultiply Packed Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-587
MU LP D Mu lt ip ly P a cke d D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a SIMD multiply of the two or four packed double-precision floating-point values from the source operand
(second operand) and the destination operand (first operand), and stores the packed double-precision floating-
point results in the destination operand. The source operand can be an XMM register or a 128-bit memory location.
The destination operand is an XMM register. See Figure 11-3 in the Intel 64 and IA-32 Architectures Software
Developers Manual, Volume 1, for an illustration of a SIMD double-precision floating-point operation.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
128-bit Legacy SSE version: The second source can be an XMM register or an 128-bit memory location. The desti-
nation is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding
YMM register destination are unmodified.
VEX.128 encoded version: the first source operand is an XMM register or 128-bit memory location. The destination
operand is an XMM register. The upper bits (VLMAX-1:128) of the destination YMM register destination are zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand can be a YMM
register or a 256-bit memory location. The destination operand is a YMM register.
O p e ra t io n
MU LP D (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[63:0] DEST[63:0] * SRC[63:0]
DEST[127:64] DEST[127:64] * SRC[127:64]
DEST[VLMAX-1:128] (Unmodified)
VMU LP D (VE X.1 2 8 e n co d e d v e rsio n )
DEST[63:0] SRC1[63:0] * SRC2[63:0]
DEST[127:64] SRC1[127:64] * SRC2[127:64]
DEST[VLMAX-1:128] 0
VMU LP D (VE X.2 5 6 e n co d e d v e rsio n )
DEST[63:0] SRC1[63:0] * SRC2[63:0]
DEST[127:64] SRC1[127:64] * SRC2[127:64]
DEST[191:128] SRC1[191:128] * SRC2[191:128]
DEST[255:192] SRC1[255:192] * SRC2[255:192]
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 59 /r
MULPD xmm1, xmm2/m128
RM V/V SSE2 Multiply packed double-precision floating-point
values in xmm2/m128 by xmm1.
VEX.NDS.128.66.0F.WIG 59 /r
VMULPD xmm1,xmm2, xmm3/m128
RVM V/V AVX Multiply packed double-precision floating-point
values fromxmm3/mem to xmm2 and stores
result in xmm1.
VEX.NDS.256.66.0F.WIG 59 /r
VMULPD ymm1, ymm2, ymm3/m256
RVM V/V AVX Multiply packed double-precision floating-point
values fromymm3/mem to ymm2 and stores
result in ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
MULPDMultiply Packed Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-588 Vol. 2A
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
MULPD: __m128d _mm_mul_pd (m128d a, m128d b)
VMULPD: __m256d _mm256_mul_pd (__m256d a, __m256d b);
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 2
MULPSMultiply Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-589
MU LP S Mu lt ip ly P a cke d S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a SIMD multiply of the four packed single-precision floating-point values from the source operand
(second operand) and the destination operand (first operand), and stores the packed single-precision floating-
point results in the destination operand. See Figure 10-5 in the Intel 64 and IA-32 Architectures Software Devel-
opers Manual, Volume 1, for an illustration of a SIMD single-precision floating-point operation.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
128-bit Legacy SSE version: The second source can be an XMM register or an 128-bit memory location. The desti-
nation is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding
YMM register destination are unmodified.
VEX.128 encoded version: the first source operand is an XMM register or 128-bit memory location. The destination
operand is an XMM register. The upper bits (VLMAX-1:128) of the destination YMM register destination are zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand can be a YMM
register or a 256-bit memory location. The destination operand is a YMM register.
O p e ra t io n
MU LP S (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[31:0] SRC1[31:0] * SRC2[31:0]
DEST[63:32] SRC1[63:32] * SRC2[63:32]
DEST[95:64] SRC1[95:64] * SRC2[95:64]
DEST[127:96] SRC1[127:96] * SRC2[127:96]
DEST[VLMAX-1:128] (Unmodified)
VMU LP S (V E X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] SRC1[31:0] * SRC2[31:0]
DEST[63:32] SRC1[63:32] * SRC2[63:32]
DEST[95:64] SRC1[95:64] * SRC2[95:64]
DEST[127:96] SRC1[127:96] * SRC2[127:96]
DEST[VLMAX-1:128] 0
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 59 /r
MULPS xmm1, xmm2/m128
RM V/V SSE Multiply packed single-precision floating-point
values in xmm2/mem by xmm1.
VEX.NDS.128.0F.WIG 59 /r
VMULPS xmm1,xmm2, xmm3/m128
RVM V/V AVX Multiply packed single-precision floating-point
values fromxmm3/mem to xmm2 and stores
result in xmm1.
VEX.NDS.256.0F.WIG 59 /r
VMULPS ymm1, ymm2, ymm3/m256
RVM V/V AVX Multiply packed single-precision floating-point
values fromymm3/mem to ymm2 and stores
result in ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
MULPSMultiply Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-590 Vol. 2A
VMU LP S (V E X.2 5 6 e n co d e d v e rsio n )
DEST[31:0] SRC1[31:0] * SRC2[31:0]
DEST[63:32] SRC1[63:32] * SRC2[63:32]
DEST[95:64] SRC1[95:64] * SRC2[95:64]
DEST[127:96] SRC1[127:96] * SRC2[127:96]
DEST[159:128] SRC1[159:128] * SRC2[159:128]
DEST[191:160] SRC1[191:160] * SRC2[191:160]
DEST[223:192] SRC1[223:192] * SRC2[223:192]
DEST[255:224] SRC1[255:224] * SRC2[255:224].
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
MULPS: __m128 _mm_mul_ps(__m128 a, __m128 b)
VMULPS: __m256 _mm256_mul_ps (__m256 a, __m256 b);
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 2
MULSDMultiply Scalar Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-591
MU LS D Mu lt ip ly S ca la r D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Multiplies the low double-precision floating-point value in the source operand (second operand) by the low double-
precision floating-point value in the destination operand (first operand), and stores the double-precision floating-
point result in the destination operand. The source operand can be an XMM register or a 64-bit memory location.
The destination operand is an XMM register. The high quadword of the destination operand remains unchanged.
See Figure 11-4 in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1, for an illustra-
tion of a scalar double-precision floating-point operation.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
128-bit Legacy SSE version: The first source operand and the destination operand are the same. Bits (VLMAX-
1:64) of the corresponding YMM destination register remain unchanged.
VEX.128 encoded version: Bits (VLMAX-1:128) of the destination YMM register are zeroed.
O p e ra t io n
MU LS D (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[63:0] DEST[63:0] * SRC[63:0]
DEST[VLMAX-1:64] (Unmodified)
VMU LS D (VE X.1 2 8 e n co d e d v e rsio n )
DEST[63:0] SRC1[63:0] * SRC2[63:0]
DEST[127:64] SRC1[127:64]
DEST[VLMAX-1:128] 0
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
MULSD: __m128d _mm_mul_sd (m128d a, m128d b)
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 3
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
F2 0F 59 /r
MULSD xmm1, xmm2/m64
RM V/V SSE2 Multiply the low double-precision floating-
point value in xmm2/mem64 by low double-
precision floating-point value in xmm1.
VEX.NDS.LIG.F2.0F.WIG 59/r
VMULSD xmm1,xmm2, xmm3/m64
RVM V/V AVX Multiply the low double-precision floating-
point value in xmm3/mem64 by low double
precision floating-point value in xmm2.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m(r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
MULSSMultiply Scalar Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, A-M
3-592 Vol. 2A
MU LS S Mu lt ip ly S ca la r S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Multiplies the low single-precision floating-point value from the source operand (second operand) by the low
single-precision floating-point value in the destination operand (first operand), and stores the single-precision
floating-point result in the destination operand. The source operand can be an XMM register or a 32-bit memory
location. The destination operand is an XMM register. The three high-order doublewords of the destination operand
remain unchanged. See Figure 10-6 in the Intel 64 and IA-32 Architectures Software Developers Manual,
Volume 1, for an illustration of a scalar single-precision floating-point operation.
In 64-bit mode, use of the REX.R prefix permits this instruction to access additional registers (XMM8-XMM15).
128-bit Legacy SSE version: The first source operand and the destination operand are the same. Bits (VLMAX-
1:32) of the corresponding YMM destination register remain unchanged.
VEX.128 encoded version: Bits (VLMAX-1:128) of the destination YMM register are zeroed.
O p e ra t io n
MU LS S (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[31:0] DEST[31:0] * SRC[31:0]
DEST[VLMAX-1:32] (Unmodified)
VMU LS S (VE X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] SRC1[31:0] * SRC2[31:0]
DEST[127:32] SRC1[127:32]
DEST[VLMAX-1:128] 0
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
MULSS: __m128 _mm_mul_ss(__m128 a, __m128 b)
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 3
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
F3 0F 59 /r
MULSS xmm1, xmm2/m32
RM V/V SSE Multiply the low single-precision floating-point
value in xmm2/mem by the low single-
precision floating-point value in xmm1.
VEX.NDS.LIG.F3.0F.WIG 59 /r
VMULSS xmm1,xmm2, xmm3/m32
RVM V/V AVX Multiply the low single-precision floating-point
value in xmm3/mem by the low single-
precision floating-point value in xmm2.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
MULX Unsigned Multiply Without Affecting Flags
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-593
MU LX U n sig n e d Mu lt ip ly Wit h o u t Affe ct in g F la g s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs an unsigned multiplication of the implicit source operand (EDX/RDX) and the specified source operand
(the third operand) and stores the low half of the result in the second destination (second operand), the high half
of the result in the first destination operand (first operand), without reading or writing the arithmetic flags. This
enables efficient programming where the software can interleave add with carry operations and multiplications.
If the first and second operand are identical, it will contain the high half of the multiplication result.
This instruction is not supported in real mode and virtual-8086 mode. The operand size is always 32 bits if not in
64-bit mode. In 64-bit mode operand size 64 requires VEX.W1. VEX.W1 is ignored in non-64-bit modes. An
attempt to execute this instruction with VEX.L not equal to 0 will cause #UD.
O p e ra t io n
// DEST1: ModRM:reg
// DEST2: VEX.vvvv
IF (OperandSize =32)
SRC1 EDX;
DEST2 (SRC1*SRC2)[31:0];
DEST1 (SRC1*SRC2)[63:32];
ELSE IF (OperandSize =64)
SRC1 RDX;
DEST2 (SRC1*SRC2)[63:0];
DEST1 (SRC1*SRC2)[127:64];
FI
F la g s Af fe ct e d
None
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
Auto-generated from high-level language when possible.
unsigned int mulx_u32(unsigned int a, unsigned int b, unsigned int * hi);
unsigned __int64 mulx_u64(unsigned __int64 a, unsigned __int64 b, unsigned __int64 * hi);
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.NDD.LZ.F2.0F38.W0 F6 /r RVM V/V BMI2 Unsigned multiply of r/m32 with EDX without affecting arithmetic
flags.
MULX r32a, r32b, r/m32
VEX.NDD.LZ.F2.0F38.W1 F6 /r RVM V/N.E. BMI2 Unsigned multiply of r/m64 with RDX without affecting arithmetic
flags.
MULX r64a, r64b, r/m64
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RVM ModRM:reg (w) VEX.vvvv (w) ModRM:r/m (r)
RDX/EDX is implied 64/32 bits
source
MULX Unsigned Multiply Without Affecting Flags
INSTRUCTION SET REFERENCE, A-M
3-594 Vol. 2A
O t h e r E x ce p t io n s
See Section 2.5.1, Exception Conditions for VEX-Encoded GPR Instructions, Table 2-29; additionally
#UD If VEX.W = 1.
MWAITMonitor Wait
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-595
MWAI T Mo n it o r Wa it
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
MWAIT instruction provides hints to allow the processor to enter an implementation-dependent optimized state.
There are two principal targeted usages: address-range monitor and advanced power management. Both usages
of MWAIT require the use of the MONITOR instruction.
CPUID.01H:ECX.MONITOR[bit 3] indicates the availability of MONITOR and MWAIT in the processor. When set,
MWAIT may be executed only at privilege level 0 (use at any other privilege level results in an invalid-opcode
exception). The operating system or system BIOS may disable this instruction by using the IA32_MISC_ENABLE
MSR; disabling MWAIT clears the CPUID feature flag and causes execution to generate an invalid-opcode excep-
tion.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
ECX specifies optional extensions for the MWAIT instruction. EAX may contain hints such as the preferred opti-
mized state the processor should enter. The first processors to implement MWAIT supported only the zero value for
EAX and ECX. Later processors allowed setting ECX[0] to enable masked interrupts as break events for MWAIT
(see below). Software can use the CPUID instruction to determine the extensions and hints supported by the
processor.
MWAI T fo r Ad d re ss R a n g e Mo n it o rin g
For address-range monitoring, the MWAIT instruction operates with the MONITOR instruction. The two instructions
allow the definition of an address at which to wait (MONITOR) and a implementation-dependent-optimized opera-
tion to commence at the wait address (MWAIT). The execution of MWAIT is a hint to the processor that it can enter
an implementation-dependent-optimized state while waiting for an event or a store operation to the address range
armed by MONITOR.
The following cause the processor to exit the implementation-dependent-optimized state: a store to the address
range armed by the MONITOR instruction, an NMI or SMI, a debug exception, a machine check exception, the
BINIT# signal, the INIT# signal, and the RESET# signal. Other implementation-dependent events may also cause
the processor to exit the implementation-dependent-optimized state.
In addition, an external interrupt causes the processor to exit the implementation-dependent-optimized state
either (1) if the interrupt would be delivered to software (e.g., as it would be if HLT had been executed instead of
MWAIT); or (2) if ECX[0] = 1. Software can execute MWAIT with ECX[0] = 1 only if CPUID.05H:ECX[bit 1] = 1.
(Implementation-specific conditions may result in an interrupt causing the processor to exit the implementation-
dependent-optimized state even if interrupts are masked and ECX[0] = 0.)
Following exit from the implementation-dependent-optimized state, control passes to the instruction following the
MWAIT instruction. A pending interrupt that is not masked (including an NMI or an SMI) may be delivered before
execution of that instruction. Unlike the HLT instruction, the MWAIT instruction does not support a restart at the
MWAIT instruction following the handling of an SMI.
If the preceding MONITOR instruction did not successfully arm an address range or if the MONITOR instruction has
not been executed prior to executing MWAIT, then the processor will not enter the implementation-dependent-opti-
mized state. Execution will resume at the instruction following the MWAIT.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 01 C9 MWAIT NP Valid Valid A hint that allow the processor to stop
instruction execution and enter an
implementation-dependent optimized state
until occurrence of a class of events.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
MWAITMonitor Wait
INSTRUCTION SET REFERENCE, A-M
3-596 Vol. 2A
MWAI T fo r P o w e r Ma n a g e m e n t
MWAIT accepts a hint and optional extension to the processor that it can enter a specified target C state while
waiting for an event or a store operation to the address range armed by MONITOR. Support for MWAIT extensions
for power management is indicated by CPUID.05H:ECX[bit 0] reporting 1.
EAX and ECX are used to communicate the additional information to the MWAIT instruction, such as the kind of
optimized state the processor should enter. ECX specifies optional extensions for the MWAIT instruction. EAX may
contain hints such as the preferred optimized state the processor should enter. Implementation-specific conditions
may cause a processor to ignore the hint and enter a different optimized state. Future processor implementations
may implement several optimized waiting states and will select among those states based on the hint argument.
Table 3-67 describes the meaning of ECX and EAX registers for MWAIT extensions.
Note that if MWAIT is used to enter any of the C-states that are numerically higher than C1, a store to the address
range armed by the MONITOR instruction will cause the processor to exit MWAIT only if the store was originated by
other processor agents. A store from non-processor agent might not cause the processor to exit MWAIT in such
cases.
For additional details of MWAIT extensions, see Chapter 14, Power and Thermal Management, of Intel 64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
O p e ra t io n
(* MWAIT takes the argument in EAX as a hint extension and is architected to take the argument in ECX as an instruction extension
MWAIT EAX, ECX *)
{
WHILE ( ("Monitor Hardware is in armed state")) {
implementation_dependent_optimized_state(EAX, ECX); }
Set the state of Monitor Hardware as triggered;
}
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
MWAIT: void _mm_mwait(unsigned extensions, unsigned hints)
Ta b le 3 -6 7. MWAI T E x t e n sio n R e g ist e r (E C X)
B it s D e scrip t io n
0 Treat interrupts as break events even if masked (e.g., even if EFLAGS.IF=0). May be set only if
CPUID.05H:ECX[bit 1] =1.
31: 1 Reserved
Ta b le 3 -6 8 . MWAI T H in t s R e g ist e r (E AX)
B it s D e scrip t io n
3 : 0 Sub C-state within a C-state, indicated by bits [7:4]
7 : 4 Target C-state*
Value of 0 means C1; 1 means C2 and so on
Value of 01111B means C0
Note: Target C states for MWAIT extensions are processor-specific C-states, not ACPI C-states
31: 8 Reserved
MWAITMonitor Wait
INSTRUCTION SET REFERENCE, A-M
Vol. 2A 3-597
E x a m p le
MONITOR/MWAIT instruction pair must be coded in the same loop because execution of the MWAIT instruction will
trigger the monitor hardware. It is not a proper usage to execute MONITOR once and then execute MWAIT in a
loop. Setting up MONITOR without executing MWAIT has no adverse effects.
Typically the MONITOR/MWAIT pair is used in a sequence, such as:
EAX =Logical Address(Trigger)
ECX =0 (*Hints *)
EDX =0 (* Hints *)
IF ( !trigger_store_happened) {
MONITOR EAX, ECX, EDX
IF ( !trigger_store_happened ) {
MWAIT EAX, ECX
}
}
The above code sequence makes sure that a triggering store does not happen between the first check of the trigger
and the execution of the monitor instruction. Without the second check that triggering store would go un-noticed.
Typical usage of MONITOR and MWAIT would have the above code sequence within a loop.
N u m e ric E x ce p t io n s
None
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If ECX[31:1] 0.
If ECX[0] = 1 and CPUID.05H:ECX[bit 1] = 0.
#UD If CPUID.01H:ECX.MONITOR[bit 3] = 0.
If current privilege level is not 0.
R e a l Ad d re ss Mo d e E x ce p t io n s
#GP If ECX[31:1] 0.
If ECX[0] = 1 and CPUID.05H:ECX[bit 1] = 0.
#UD If CPUID.01H:ECX.MONITOR[bit 3] = 0.
V irt u a l 8 0 8 6 Mo d e E x ce p t io n s
#UD The MWAIT instruction is not recognized in virtual-8086 mode (even if
CPUID.01H:ECX.MONITOR[bit 3] = 1).
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#GP(0) If RCX[63:1] 0.
If RCX[0] = 1 and CPUID.05H:ECX[bit 1] = 0.
#UD If the current privilege level is not 0.
If CPUID.01H:ECX.MONITOR[bit 3] = 0.
MWAITMonitor Wait
INSTRUCTION SET REFERENCE, A-M
3-598 Vol. 2A
Vol. 2B 4-1
C H AP T E R 4
I N S T R U C T I O N S E T R E F E R E N C E , N -Z
4 .1 I MM8 C O N T R O L B YT E O P E R AT I O N F O R P C MP E S T R I / P C MP E S T R M /
P C MP I S T R I / P C MP I S T R M
The notations introduced in this section are referenced in the reference pages of PCMPESTRI, PCMPESTRM, PCMP-
ISTRI, PCMPISTRM. The operation of the immediate control byte is common to these four string text processing
instructions of SSE4.2. This section describes the common operations.
4 .1 .1 G e n e ra l D e scrip t io n
The operation of PCMPESTRI, PCMPESTRM, PCMPISTRI, PCMPISTRM is defined by the combination of the respec-
tive opcode and the interpretation of an immediate control byte that is part of the instruction encoding.
The opcode controls the relationship of input bytes/words to each other (determines whether the inputs terminated
strings or whether lengths are expressed explicitly) as well as the desired output (index or mask).
The Imm8 Control Byte for PCMPESTRM/PCMPESTRI/PCMPISTRM/PCMPISTRI encodes a significant amount of
programmable control over the functionality of those instructions. Some functionality is unique to each instruction
while some is common across some or all of the four instructions. This section describes functionality which is
common across the four instructions.
The arithmetic flags (ZF, CF, SF, OF, AF, PF) are set as a result of these instructions. However, the meanings of the
flags have been overloaded from their typical meanings in order to provide additional information regarding the
relationships of the two inputs.
PCMPxSTRx instructions perform arithmetic comparisons between all possible pairs of bytes or words, one from
each packed input source operand. The boolean results of those comparisons are then aggregated in order to
produce meaningful results. The Imm8 Control Byte is used to affect the interpretation of individual input elements
as well as control the arithmetic comparisons used and the specific aggregation scheme.
Specifically, the Imm8 Control Byte consists of bit fields that control the following attributes:
Source data format Byte/word data element granularity, signed or unsigned elements
Aggregation operation Encodes the mode of per-element comparison operation and the aggregation of
per-element comparisons into an intermediate result
Polarity Specifies intermediate processing to be performed on the intermediate result
Output selection Specifies final operation to produce the output (depending on index or mask) from the
intermediate result
4 .1 .2 S o u rce D a t a Fo rm a t
If the Imm8 Control Byte has bit[0] cleared, each source contains 16 packed bytes. If the bit is set each source
Ta b le 4 -1 . S o u rce D a t a Fo rm a t
I m m 8 [1 :0 ] Me a n in g D e scrip t io n
00b Unsigned bytes Both 128-bit sources are treated as packed, unsigned bytes.
01b Unsigned words Both 128-bit sources are treated as packed, unsigned words.
10b Signed bytes Both 128-bit sources are treated as packed, signed bytes.
11b Signed words Both 128-bit sources are treated as packed, signed words.
INSTRUCTION SET REFERENCE, N-Z
4-2 Vol. 2B
contains 8 packed words. If the Imm8 Control Byte has bit[1] cleared, each input contains unsigned data. If the
bit is set each source contains signed data.
4 .1 .3 Ag g re g a t io n O p e ra t io n
All 256 (64) possible comparisons are always performed. The individual Boolean results of those comparisons are
referred by BoolRes[Reg/Mem element index, Reg element index]. Comparisons evaluating to True are repre-
sented with a 1, False with a 0 (positive logic). The initial results are then aggregated into a 16-bit (8-bit) inter-
mediate result (IntRes1) using one of the modes described in the table below, as determined by Imm8 Control Byte
bit[3:2].
See Section 4.1.6 for a description of the overrideIfDataInvalid() function used in Table 4-3.
Ta b le 4 -2 . Ag g re g a t io n O p e ra t io n
I m m 8 [3 :2 ] Mo d e C o m p a riso n
00b Equal any The arithmetic comparison is equal.
01b Ranges Arithmetic comparison is greater than or equal between even indexed bytes/words of reg and
each byte/word of reg/mem.
Arithmetic comparison is less than or equal between odd indexed bytes/words of reg and each
byte/word of reg/mem.
(reg/mem[m] >=reg[n] for n =even, reg/mem[m] <=reg[n] for n =odd)
10b Equal each The arithmetic comparison is equal.
11b Equal ordered The arithmetic comparison is equal.
Ta b le 4 -3 . Ag g re g a t io n O p e ra t io n
Mo d e P se u d o co d e
Equal any
(find characters from a set)
UpperBound =imm8[0] ? 7 : 15;
IntRes1 =0;
For j =0 to UpperBound, j++
For i =0 to UpperBound, i++
IntRes1[j] OR=overrideIfDataInvalid(BoolRes[j,i])
Ranges
(find characters from ranges)
UpperBound =imm8[0] ? 7 : 15;
IntRes1 =0;
For j =0 to UpperBound, j++
For i =0 to UpperBound, i+=2
IntRes1[j] OR=(overrideIfDataInvalid(BoolRes[j,i]) AND
overrideIfDataInvalid(BoolRes[j,i+1]))
Equal each
(string compare)
UpperBound =imm8[0] ? 7 : 15;
IntRes1 =0;
For i =0 to UpperBound, i++
IntRes1[i] =overrideIfDataInvalid(BoolRes[i,i])
Equal ordered
(substring search)
UpperBound =imm8[0] ? 7 :15;
IntRes1 =imm8[0] ? 0xFF : 0xFFFF
For j =0 to UpperBound, j++
For i =0 to UpperBound-j, k=j to UpperBound, k++, i++
IntRes1[j] AND=overrideIfDataInvalid(BoolRes[k,i])
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-3
4 .1 .4 P o la rit y
IntRes1 may then be further modified by performing a 1s complement, according to the value of the Imm8 Control
Byte bit[4]. Optionally, a mask may be used such that only those IntRes1 bits which correspond to valid reg/mem
input elements are complemented (note that the definition of a valid input element is dependant on the specific
opcode and is defined in each opcodes description). The result of the possible negation is referred to as IntRes2.
4 .1 .5 O u t p u t S e le ct io n
For PCMPESTRI/PCMPISTRI, the Imm8 Control Byte bit[6] is used to determine if the index is of the least signifi-
cant or most significant bit of IntRes2.
Specifically for PCMPESTRM/PCMPISTRM, the Imm8 Control Byte bit[6] is used to determine if the mask is a 16 (8)
bit mask or a 128 bit byte/word mask.
4 .1 .6 Va lid /I n v a lid O v e rrid e o f C o m p a riso n s
PCMPxSTRx instructions allow for the possibility that an end-of-string (EOS) situation may occur within the 128-bit
packed data value (see the instruction descriptions below for details). Any data elements on either source that are
determined to be past the EOS are considered to be invalid, and the treatment of invalid data within a comparison
pair varies depending on the aggregation function being performed.
In general, the individual comparison result for each element pair BoolRes[i.j] can be forced true or false if one or
more elements in the pair are invalid. See Table 4-7.
Ta b le 4 -4 . P o la rit y
I m m 8 [5 :4 ] O p e ra t io n D e scrip t io n
00b Positive Polarity (+) IntRes2 =IntRes1
01b Negative Polarity (-) IntRes2 =-1 XOR IntRes1
10b Masked (+) IntRes2 =IntRes1
11b Masked (-) IntRes2[i] =IntRes1[i] if reg/mem[i] invalid, else =~IntRes1[i]
Ta b le 4 -5 . O u p u t S e le ct io n
I m m 8 [6 ] O p e ra t io n D e scrip t io n
0b Least significant index The index returned to ECX is of the least significant set bit in IntRes2.
1b Most significant index The index returned to ECX is of the most significant set bit in IntRes2.
Ta b le 4 -6 . O u t p u t S e le ct io n
I m m 8 [6 ] O p e ra t io n D e scrip t io n
0b Bit mask IntRes2 is returned as the mask to the least significant bits of XMM0 with zero extension to 128
bits.
1b Byte/word mask IntRes2 is expanded into a byte/word mask (based on imm8[1]) and placed in XMM0. The
expansion is performed by replicating each bit into all of the bits of the byte/word of the same
index.
INSTRUCTION SET REFERENCE, N-Z
4-4 Vol. 2B
4 .1 .7 S u m m a ry o f I m 8 C o n t ro l b y t e
Ta b le 4 -7. C o m p a riso n R e su lt fo r E a ch E le m e n t P a ir B o o lR e s[i.j]
x m m 1
b y t e / w o rd
x m m 2 / m 1 2 8
b y t e /w o rd
I m m 8 [3 :2 ] = 0 0 b
(e q u a l a n y )
I m m 8 [3 :2 ] = 0 1 b
(ra n g e s)
I m m 8 [3 :2 ] = 1 0 b
(e q u a l e a ch )
I m m 8 [3 :2 ] = 1 1 b
(e q u a l o rd e re d )
Invalid Invalid Force false Force false Force true Force true
Invalid Valid Force false Force false Force false Force true
Valid Invalid Force false Force false Force false Force false
Valid Valid Do not force Do not force Do not force Do not force
Ta b le 4 -8 . S u m m a ry o f I m m 8 C o n t ro l B y t e
I m m 8 D e scrip t io n
-------0b 128-bit sources treated as 16 packed bytes.
-------1b 128-bit sources treated as 8 packed words.
------0-b Packed bytes/words are unsigned.
------1-b Packed bytes/words are signed.
----00--b Mode is equal any.
----01--b Mode is ranges.
----10--b Mode is equal each.
----11--b Mode is equal ordered.
---0----b IntRes1 is unmodified.
---1----b IntRes1 is negated (1s complement).
--0-----b Negation of IntRes1 is for all 16 (8) bits.
--1-----b Negation of IntRes1 is masked by reg/mem validity.
-0------b Index of the least significant, set, bit is used (regardless of corresponding input element validity).
IntRes2 is returned in least significant bits of XMM0.
-1------b Index of the most significant, set, bit is used (regardless of corresponding input element validity).
Each bit of IntRes2 is expanded to byte/word.
0-------b This bit currently has no defined effect, should be 0.
1-------b This bit currently has no defined effect, should be 0.
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-5
4 .1 .8 D ia g ra m C o m p a riso n a n d Ag g re g a t io n P ro ce ss
4 .2 I N S T R U C T I O N S (N -Z )
Chapter 4 continues an alphabetical discussion of Intel

64 and IA-32 instructions (N-Z). See also: Chapter 3,


Instruction Set Reference, A-M, in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume
2A.
F ig u re 4 -1 . O p e ra t io n o f P C MP S T R x a n d P C MP E S T R x
NEGTwo's Complement Negation
INSTRUCTION SET REFERENCE, N-Z
4-6 Vol. 2B
N E G T w o 's C o m p le m e n t N e g a t io n
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Replaces the value of operand (the destination operand) with its two's complement. (This operation is equivalent to
subtracting the operand from 0.) The destination operand is located in a general-purpose register or a memory
location.
This instruction can be used with a LOCK prefix to allow the instruction to be executed atomically.
In 64-bit mode, the instructions default operation size is 32 bits. Using a REX prefix in the form of REX.R permits
access to additional registers (R8-R15). Using a REX prefix in the form of REX.W promotes operation to 64 bits. See
the summary chart at the beginning of this section for encoding data and limits.
O p e ra t io n
IF DEST = 0
THEN CF 0;
ELSE CF 1;
FI;
DEST [ (DEST)]
F la g s Af fe ct e d
The CF flag set to 0 if the source operand is 0; otherwise it is set to 1. The OF, SF, ZF, AF, and PF flags are set
according to the result.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the destination is located in a non-writable segment.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used but the destination is not a memory operand.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
F6 /3 NEG r/m8 M Valid Valid Two's complement negate r/m8.
REX +F6 /3 NEG r/m8* M Valid N.E. Two's complement negate r/m8.
F7 /3 NEG r/m16 M Valid Valid Two's complement negate r/m16.
F7 /3 NEG r/m32 M Valid Valid Two's complement negate r/m32.
REX.W +F7 /3 NEG r/m64 M Valid N.E. Two's complement negate r/m64.
N O T E S :
* In 64-bit mode, r/m8 can not be encoded to access the following byte registers if a REX prefix is used: AH, BH, CH, DH.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
M ModRM:r/m (r, w) NA NA NA
NEGTwo's Complement Negation
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-7
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used but the destination is not a memory operand.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used but the destination is not a memory operand.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same as for protected mode exceptions.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) For a page fault.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used but the destination is not a memory operand.
NOPNo Operation
INSTRUCTION SET REFERENCE, N-Z
4-8 Vol. 2B
N O P N o O p e ra t io n
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
This instruction performs no operation. It is a one-byte or multi-byte NOP that takes up space in the instruction
stream but does not impact machine context, except for the EIP register.
The multi-byte form of NOP is available on processors with model encoding:
CPUID.01H.EAX[Bytes 11:8] = 0110B or 1111B
The multi-byte NOP instruction does not alter the content of a register and will not issue a memory operation. The
instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
The one-byte NOP instruction is an alias mnemonic for the XCHG (E)AX, (E)AX instruction.
The multi-byte NOP instruction performs no operation on supported processors and generates undefined opcode
exception on processors that do not support the multi-byte NOP instruction.
The memory operand form of the instruction allows software to create a byte sequence of no operation as one
instruction. For situations where multiple-byte NOPs are needed, the recommended operations (32-bit mode and
64-bit mode) are:
F la g s Af fe ct e d
None.
E x ce p t io n s (All O p e ra t in g Mo d e s)
#UD If the LOCK prefix is used.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
90 NOP NP Valid Valid One byte no-operation instruction.
0F 1F /0 NOP r/m16 M Valid Valid Multi-byte no-operation instruction.
0F 1F /0 NOP r/m32 M Valid Valid Multi-byte no-operation instruction.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
M ModRM:r/m (r) NA NA NA
Ta b le 4 -9 . R e co m m e n d e d Mu lt i-B y t e S e q u e n ce o f N O P I n st ru ct io n
Le n g t h Asse m b ly B y t e S e q u e n ce
2 bytes 66 NOP 66 90H
3 bytes NOP DWORD ptr [EAX] 0F 1F 00H
4 bytes NOP DWORD ptr [EAX +00H] 0F 1F 40 00H
5 bytes NOP DWORD ptr [EAX +EAX*1 +00H] 0F 1F 44 00 00H
6 bytes 66 NOP DWORD ptr [EAX +EAX*1 +00H] 66 0F 1F 44 00 00H
7 bytes NOP DWORD ptr [EAX +00000000H] 0F 1F 80 00 00 00 00H
8 bytes NOP DWORD ptr [EAX +EAX*1 +00000000H] 0F 1F 84 00 00 00 00 00H
9 bytes 66 NOP DWORD ptr [EAX +EAX*1 +00000000H] 66 0F 1F 84 00 00 00 00 00H
NOTOne's Complement Negation
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-9
N O T O n e 's C o m p le m e n t N e g a t io n
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a bitwise NOT operation (each 1 is set to 0, and each 0 is set to 1) on the destination operand and stores
the result in the destination operand location. The destination operand can be a register or a memory location.
This instruction can be used with a LOCK prefix to allow the instruction to be executed atomically.
In 64-bit mode, the instructions default operation size is 32 bits. Using a REX prefix in the form of REX.R permits
access to additional registers (R8-R15). Using a REX prefix in the form of REX.W promotes operation to 64 bits. See
the summary chart at the beginning of this section for encoding data and limits.
O p e ra t io n
DEST NOT DEST;
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the destination operand points to a non-writable segment.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used but the destination is not a memory operand.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used but the destination is not a memory operand.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
F6 /2 NOT r/m8 M Valid Valid Reverse each bit of r/m8.
REX +F6 /2 NOT r/m8* M Valid N.E. Reverse each bit of r/m8.
F7 /2 NOT r/m16 M Valid Valid Reverse each bit of r/m16.
F7 /2 NOT r/m32 M Valid Valid Reverse each bit of r/m32.
REX.W +F7 /2 NOT r/m64 M Valid N.E. Reverse each bit of r/m64.
N O T E S :
* In 64-bit mode, r/m8 can not be encoded to access the following byte registers if a REX prefix is used: AH, BH, CH, DH.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
M ModRM:r/m (r, w) NA NA NA
NOTOne's Complement Negation
INSTRUCTION SET REFERENCE, N-Z
4-10 Vol. 2B
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used but the destination is not a memory operand.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same as for protected mode exceptions.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used but the destination is not a memory operand.
ORLogical Inclusive OR
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-11
O R Lo g ica l I n clu siv e O R
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a bitwise inclusive OR operation between the destination (first) and source (second) operands and stores
the result in the destination operand location. The source operand can be an immediate, a register, or a memory
location; the destination operand can be a register or a memory location. (However, two memory operands cannot
be used in one instruction.) Each bit of the result of the OR instruction is set to 0 if both corresponding bits of the
first and second operands are 0; otherwise, each bit is set to 1.
This instruction can be used with a LOCK prefix to allow the instruction to be executed atomically.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0C ib OR AL, imm8 I Valid Valid AL OR imm8.
0D iw OR AX, imm16 I Valid Valid AX OR imm16.
0D id OR EAX, imm32 I Valid Valid EAX OR imm32.
REX.W +0D id OR RAX, imm32 I Valid N.E. RAX OR imm32 (sign-extended).
80 /1 ib OR r/m8, imm8 MI Valid Valid r/m8 OR imm8.
REX +80 /1 ib OR r/m8*, imm8 MI Valid N.E. r/m8 OR imm8.
81 /1 iw OR r/m16, imm16 MI Valid Valid r/m16 OR imm16.
81 /1 id OR r/m32, imm32 MI Valid Valid r/m32 OR imm32.
REX.W +81 /1 id OR r/m64, imm32 MI Valid N.E. r/m64 OR imm32 (sign-extended).
83 /1 ib OR r/m16, imm8 MI Valid Valid r/m16 OR imm8 (sign-extended).
83 /1 ib OR r/m32, imm8 MI Valid Valid r/m32 OR imm8 (sign-extended).
REX.W +83 /1 ib OR r/m64, imm8 MI Valid N.E. r/m64 OR imm8 (sign-extended).
08 /r OR r/m8, r8 MR Valid Valid r/m8 OR r8.
REX +08 /r OR r/m8*, r8* MR Valid N.E. r/m8 OR r8.
09 /r OR r/m16, r16 MR Valid Valid r/m16 OR r16.
09 /r OR r/m32, r32 MR Valid Valid r/m32 OR r32.
REX.W +09 /r OR r/m64, r64 MR Valid N.E. r/m64 OR r64.
0A /r OR r8, r/m8 RM Valid Valid r8 OR r/m8.
REX +0A /r OR r8*, r/m8* RM Valid N.E. r8 OR r/m8.
0B /r OR r16, r/m16 RM Valid Valid r16 OR r/m16.
0B /r OR r32, r/m32 RM Valid Valid r32 OR r/m32.
REX.W +0B /r OR r64, r/m64 RM Valid N.E. r64 OR r/m64.
N O T E S :
* In 64-bit mode, r/m8 can not be encoded to access the following byte registers if a REX prefix is used: AH, BH, CH, DH.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
I AL/AX/EAX/RAX imm8/16/32 NA NA
MI ModRM:r/m (r, w) imm8/16/32 NA NA
MR ModRM:r/m (r, w) ModRM:reg (r) NA NA
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
ORLogical Inclusive OR
INSTRUCTION SET REFERENCE, N-Z
4-12 Vol. 2B
In 64-bit mode, the instructions default operation size is 32 bits. Using a REX prefix in the form of REX.R permits
access to additional registers (R8-R15). Using a REX prefix in the form of REX.W promotes operation to 64 bits. See
the summary chart at the beginning of this section for encoding data and limits.
O p e ra t io n
DEST DEST OR SRC;
F la g s Af fe ct e d
The OF and CF flags are cleared; the SF, ZF, and PF flags are set according to the result. The state of the AF flag is
undefined.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the destination operand points to a non-writable segment.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used but the destination is not a memory operand.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used but the destination is not a memory operand.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used but the destination is not a memory operand.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same as for protected mode exceptions.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used but the destination is not a memory operand.
ORPDBitwise Logical OR of Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-13
O R P D B it w ise Lo g ica l O R o f D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a bitwise logical OR of the two or four packed double-precision floating-point values from the first source
operand and the second source operand, and stores the result in the destination operand
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
128-bit Legacy SSE version: The second source can be an XMM register or an 128-bit memory location. The desti-
nation is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding
YMM register destination are unmodified.
VEX.128 encoded version: the first source operand is an XMM register or 128-bit memory location. The destination
operand is an XMM register. The upper bits (VLMAX-1:128) of the destination YMM register destination are zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand can be a YMM
register or a 256-bit memory location. The destination operand is a YMM register.
Note: If VORPD is encoded with VEX.L= 1, an attempt to execute the instruction encoded with VEX.L= 1 will cause
an #UD exception.
O p e ra t io n
O R P D (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[63:0] DEST[63:0] BITWISE OR SRC[63:0]
DEST[127:64] DEST[127:64] BITWISE OR SRC[127:64]
DEST[VLMAX-1:128] (Unmodified)
VO R P D (VE X.1 2 8 e n co d e d v e rsio n )
DEST[63:0] SRC1[63:0] BITWISE OR SRC2[63:0]
DEST[127:64] SRC1[127:64] BITWISE OR SRC2[127:64]
DEST[VLMAX-1:128] 0
VO R P D (VE X.2 5 6 e n co d e d v e rsio n )
DEST[63:0] SRC1[63:0] BITWISE OR SRC2[63:0]
DEST[127:64] SRC1[127:64] BITWISE OR SRC2[127:64]
DEST[191:128] SRC1[191:128] BITWISE OR SRC2[191:128]
DEST[255:192] SRC1[255:192] BITWISE OR SRC2[255:192]
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 56 /r
ORPD xmm1, xmm2/m128
RM V/V SSE2 Bitwise OR of xmm2/m128 and xmm1.
VEX.NDS.128.66.0F.WIG 56 /r
VORPD xmm1,xmm2, xmm3/m128
RVM V/V AVX Return the bitwise logical OR of packed
double-precision floating-point values in
xmm2 and xmm3/mem.
VEX.NDS.256.66.0F.WIG 56 /r
VORPD ymm1, ymm2, ymm3/m256
RVM V/V AVX Return the bitwise logical OR of packed
double-precision floating-point values in
ymm2 and ymm3/mem.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m(r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
ORPDBitwise Logical OR of Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-14 Vol. 2B
I n t e l

C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
ORPD: __m128d _mm_or_pd(__m128d a, __m128d b);
VORPD: __m256d _mm256_or_pd (__m256d a, __m256d b);
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
ORPSBitwise Logical OR of Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-15
O R P S B it w ise Lo g ica l O R o f S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a bitwise logical OR of the four or eight packed single-precision floating-point values from the first source
operand and the second source operand, and stores the result in the destination operand.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
128-bit Legacy SSE version: The second source can be an XMM register or an 128-bit memory location. The desti-
nation is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding
YMM register destination are unmodified.
VEX.128 encoded version: the first source operand is an XMM register or 128-bit memory location. The destination
operand is an XMM register. The upper bits (VLMAX-1:128) of the destination YMM register destination are zeroed.
VEX.256 Encoded version: The first source operand is a YMM register. The second source operand can be a YMM
register or a 256-bit memory location. The destination operand is a YMM register.
Note: If VORPS is encoded with VEX.L= 1, an attempt to execute the instruction encoded with VEX.L= 1 will cause
an #UD exception.
O p e ra t io n
O R P S (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[31:0] SRC1[31:0] BITWISE OR SRC2[31:0]
DEST[63:32] SRC1[63:32] BITWISE OR SRC2[63:32]
DEST[95:64] SRC1[95:64] BITWISE OR SRC2[95:64]
DEST[127:96] SRC1[127:96] BITWISE OR SRC2[127:96]
DEST[VLMAX-1:128] (Unmodified)
VO R P S (V E X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] SRC1[31:0] BITWISE OR SRC2[31:0]
DEST[63:32] SRC1[63:32] BITWISE OR SRC2[63:32]
DEST[95:64] SRC1[95:64] BITWISE OR SRC2[95:64]
DEST[127:96] SRC1[127:96] BITWISE OR SRC2[127:96]
DEST[VLMAX-1:128] 0
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 56 /r
ORPS xmm1, xmm2/m128
RM V/V SSE Bitwise OR of xmm1 and xmm2/m128.
VEX.NDS.128.0F.WIG 56 /r
VORPS xmm1, xmm2, xmm3/m128
RVM V/V AVX Return the bitwise logical OR of packed single-
precision floating-point values in xmm2 and
xmm3/mem.
VEX.NDS.256.0F.WIG 56 /r
VORPS ymm1, ymm2, ymm3/m256
RVM V/V AVX Return the bitwise logical OR of packed single-
precision floating-point values in ymm2 and
ymm3/mem.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
ORPSBitwise Logical OR of Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-16 Vol. 2B
VO R P S (V E X.2 5 6 e n co d e d v e rsio n )
DEST[31:0] SRC1[31:0] BITWISE OR SRC2[31:0]
DEST[63:32] SRC1[63:32] BITWISE OR SRC2[63:32]
DEST[95:64] SRC1[95:64] BITWISE OR SRC2[95:64]
DEST[127:96] SRC1[127:96] BITWISE OR SRC2[127:96]
DEST[159:128] SRC1[159:128] BITWISE OR SRC2[159:128]
DEST[191:160] SRC1[191:160] BITWISE OR SRC2[191:160]
DEST[223:192] SRC1[223:192] BITWISE OR SRC2[223:192]
DEST[255:224] SRC1[255:224] BITWISE OR SRC2[255:224].
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
ORPS: __m128 _mm_or_ps (__m128 a, __m128 b);
VORPS: __m256 _mm256_or_ps (__m256 a, __m256 b);
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4.
OUTOutput to Port
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-17
O U T O u t p u t t o P o rt
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Copies the value from the second operand (source operand) to the I/O port specified with the destination operand
(first operand). The source operand can be register AL, AX, or EAX, depending on the size of the port being
accessed (8, 16, or 32 bits, respectively); the destination operand can be a byte-immediate or the DX register.
Using a byte immediate allows I/O port addresses 0 to 255 to be accessed; using the DX register as a source
operand allows I/O ports from 0 to 65,535 to be accessed.
The size of the I/O port being accessed is determined by the opcode for an 8-bit I/O port or by the operand-size
attribute of the instruction for a 16- or 32-bit I/O port.
At the machine code level, I/O instructions are shorter when accessing 8-bit I/O ports. Here, the upper eight bits
of the port address will be 0.
This instruction is only useful for accessing I/O ports located in the processors I/O address space. See Chapter 15,
Input/Output, in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1, for more infor-
mation on accessing I/O ports in the I/O address space.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
I A-3 2 Arch it e ct u re C o m p a t ib ilit y
After executing an OUT instruction, the Pentium

processor ensures that the EWBE# pin has been sampled active
before it begins to execute the next instruction. (Note that the instruction can be prefetched if EWBE# is not active,
but it will not be executed until the EWBE# pin is sampled active.) Only the Pentium processor family has the
EWBE# pin.
O p co d e * I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
E6 ib OUT imm8, AL I Valid Valid Output byte in AL to I/O port address imm8.
E7 ib OUT imm8, AX I Valid Valid Output word in AX to I/O port address imm8.
E7 ib OUT imm8, EAX I Valid Valid Output doubleword in EAX to I/O port address
imm8.
EE OUT DX, AL NP Valid Valid Output byte in AL to I/O port address in DX.
EF OUT DX, AX NP Valid Valid Output word in AX to I/O port address in DX.
EF OUT DX, EAX NP Valid Valid Output doubleword in EAX to I/O port address
in DX.
N O T E S :
* See IA-32 Architecture Compatibility section below.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
I imm8 NA NA NA
NP NA NA NA NA
OUTOutput to Port
INSTRUCTION SET REFERENCE, N-Z
4-18 Vol. 2B
O p e ra t io n
IF ((PE = 1) and ((CPL > IOPL) or (VM = 1)))
THEN (* Protected mode with CPL > IOPL or virtual-8086 mode *)
IF (Any I/O Permission Bit for I/O port being accessed = 1)
THEN (* I/O operation is not allowed *)
#GP(0);
ELSE ( * I/O operation is allowed *)
DEST SRC; (* Writes to selected I/O port *)
FI;
ELSE (Real Mode or Protected Mode with CPL IOPL *)
DEST SRC; (* Writes to selected I/O port *)
FI;
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the CPL is greater than (has less privilege) the I/O privilege level (IOPL) and any of the
corresponding I/O permission bits in TSS for the I/O port being accessed is 1.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If any of the I/O permission bits in the TSS for the I/O port being accessed is 1.
#PF(fault-code) If a page fault occurs.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same as protected mode exceptions.
6 4 -B it Mo d e E x ce p t io n s
Same as protected mode exceptions.
OUTS/OUTSB/OUTSW/OUTSDOutput String to Port
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-19
O U T S /O U T S B /O U T S W/O U T S D O u t p u t S t rin g t o P o rt
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Copies data from the source operand (second operand) to the I/O port specified with the destination operand (first
operand). The source operand is a memory location, the address of which is read from either the DS:SI, DS:ESI or
the RSI registers (depending on the address-size attribute of the instruction, 16, 32 or 64, respectively). (The DS
segment may be overridden with a segment override prefix.) The destination operand is an I/O port address (from
0 to 65,535) that is read from the DX register. The size of the I/O port being accessed (that is, the size of the source
and destination operands) is determined by the opcode for an 8-bit I/O port or by the operand-size attribute of the
instruction for a 16- or 32-bit I/O port.
At the assembly-code level, two forms of this instruction are allowed: the explicit-operands form and the no-
operands form. The explicit-operands form (specified with the OUTS mnemonic) allows the source and destination
operands to be specified explicitly. Here, the source operand should be a symbol that indicates the size of the I/O
port and the source address, and the destination operand must be DX. This explicit-operands form is provided to
allow documentation; however, note that the documentation provided by this form can be misleading. That is, the
source operand symbol must specify the correct type (size) of the operand (byte, word, or doubleword), but it
does not have to specify the correct location. The location is always specified by the DS:(E)SI or RSI registers,
which must be loaded correctly before the OUTS instruction is executed.
The no-operands form provides short forms of the byte, word, and doubleword versions of the OUTS instructions.
Here also DS:(E)SI is assumed to be the source operand and DX is assumed to be the destination operand. The size
of the I/O port is specified with the choice of mnemonic: OUTSB (byte), OUTSW (word), or OUTSD (doubleword).
After the byte, word, or doubleword is transferred from the memory location to the I/O port, the SI/ESI/RSI
register is incremented or decremented automatically according to the setting of the DF flag in the EFLAGS register.
(If the DF flag is 0, the (E)SI register is incremented; if the DF flag is 1, the SI/ESI/RSI register is decremented.)
The SI/ESI/RSI register is incremented or decremented by 1 for byte operations, by 2 for word operations, and by
4 for doubleword operations.
O p co d e * I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
6E OUTS DX, m8 NP Valid Valid Output byte frommemory location specified
in DS:(E)SI or RSI to I/O port specified in DX**.
6F OUTS DX, m16 NP Valid Valid Output word from memory location specified
in DS:(E)SI or RSI to I/O port specified in DX**.
6F OUTS DX, m32 NP Valid Valid Output doubleword frommemory location
specified in DS:(E)SI or RSI to I/O port specified
in DX**.
6E OUTSB NP Valid Valid Output byte frommemory location specified
in DS:(E)SI or RSI to I/O port specified in DX**.
6F OUTSW NP Valid Valid Output word from memory location specified
in DS:(E)SI or RSI to I/O port specified in DX**.
6F OUTSD NP Valid Valid Output doubleword frommemory location
specified in DS:(E)SI or RSI to I/O port specified
in DX**.
N O T E S :
* See IA-32 Architecture Compatibility section below.
**In 64-bit mode, only 64-bit (RSI) and 32-bit (ESI) address sizes are supported. In non-64-bit mode, only 32-bit (ESI) and 16-bit (SI)
address sizes are supported.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
OUTS/OUTSB/OUTSW/OUTSDOutput String to Port
INSTRUCTION SET REFERENCE, N-Z
4-20 Vol. 2B
The OUTS, OUTSB, OUTSW, and OUTSD instructions can be preceded by the REP prefix for block input of ECX bytes,
words, or doublewords. See REP/REPE/REPZ /REPNE/REPNZRepeat String Operation Prefix in this chapter for a
description of the REP prefix. This instruction is only useful for accessing I/O ports located in the processors I/O
address space. See Chapter 15, Input/Output, in the Intel 64 and IA-32 Architectures Software Developers
Manual, Volume 1, for more information on accessing I/O ports in the I/O address space.
In 64-bit mode, the default operand size is 32 bits; operand size is not promoted by the use of REX.W. In 64-bit
mode, the default address size is 64 bits, and 64-bit address is specified using RSI by default. 32-bit address using
ESI is support using the prefix 67H, but 16-bit address is not supported in 64-bit mode.
I A-3 2 Arch it e ct u re C o m p a t ib ilit y
After executing an OUTS, OUTSB, OUTSW, or OUTSD instruction, the Pentium processor ensures that the EWBE#
pin has been sampled active before it begins to execute the next instruction. (Note that the instruction can be
prefetched if EWBE# is not active, but it will not be executed until the EWBE# pin is sampled active.) Only the
Pentium processor family has the EWBE# pin.
For the Pentium 4, Intel

Xeon

, and P6 processor family, upon execution of an OUTS, OUTSB, OUTSW, or OUTSD


instruction, the processor will not execute the next instruction until the data phase of the transaction is complete.
O p e ra t io n
IF ((PE = 1) and ((CPL > IOPL) or (VM = 1)))
THEN (* Protected mode with CPL > IOPL or virtual-8086 mode *)
IF (Any I/O Permission Bit for I/O port being accessed = 1)
THEN (* I/O operation is not allowed *)
#GP(0);
ELSE (* I/O operation is allowed *)
DEST SRC; (* Writes to I/O port *)
FI;
ELSE (Real Mode or Protected Mode or 64-Bit Mode with CPL IOPL *)
DEST SRC; (* Writes to I/O port *)
FI;
Byte transfer:
IF 64-bit mode
Then
IF 64-Bit Address Size
THEN
IF DF = 0
THEN RSI RSI RSI + 1;
ELSE RSI RSI or 1;
FI;
ELSE (* 32-Bit Address Size *)
IF DF = 0
THEN ESI ESI + 1;
ELSE ESI ESI 1;
FI;
FI;
ELSE
IF DF = 0
THEN (E)SI (E)SI + 1;
ELSE (E)SI (E)SI 1;
FI;
FI;
Word transfer:
IF 64-bit mode
OUTS/OUTSB/OUTSW/OUTSDOutput String to Port
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-21
Then
IF 64-Bit Address Size
THEN
IF DF = 0
THEN RSI RSI RSI + 2;
ELSE RSI RSI or 2;
FI;
ELSE (* 32-Bit Address Size *)
IF DF = 0
THEN ESI ESI + 2;
ELSE ESI ESI 2;
FI;
FI;
ELSE
IF DF = 0
THEN (E)SI (E)SI + 2;
ELSE (E)SI (E)SI 2;
FI;
FI;
Doubleword transfer:
IF 64-bit mode
Then
IF 64-Bit Address Size
THEN
IF DF = 0
THEN RSI RSI RSI + 4;
ELSE RSI RSI or 4;
FI;
ELSE (* 32-Bit Address Size *)
IF DF = 0
THEN ESI ESI + 4;
ELSE ESI ESI 4;
FI;
FI;
ELSE
IF DF = 0
THEN (E)SI (E)SI + 4;
ELSE (E)SI (E)SI 4;
FI;
FI;
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the CPL is greater than (has less privilege) the I/O privilege level (IOPL) and any of the
corresponding I/O permission bits in TSS for the I/O port being accessed is 1.
If a memory operand effective address is outside the limit of the CS, DS, ES, FS, or GS
segment.
If the segment register contains a NULL segment selector.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
OUTS/OUTSB/OUTSW/OUTSDOutput String to Port
INSTRUCTION SET REFERENCE, N-Z
4-22 Vol. 2B
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If any of the I/O permission bits in the TSS for the I/O port being accessed is 1.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same as for protected mode exceptions.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the CPL is greater than (has less privilege) the I/O privilege level (IOPL) and any of the
corresponding I/O permission bits in TSS for the I/O port being accessed is 1.
If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
PABSB/PABSW/PABSD Packed Absolute Value
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-23
P AB S B /P AB S W/P AB S D P a cke d Ab so lu t e Va lu e
I n st ru ct io n O p e ra n d E n co d in g
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 38 1C /r
1
PABSB mm1, mm2/m64
RM V/V SSSE3 Compute the absolute value of bytes in
mm2/m64 and store UNSIGNED result in mm1.
66 0F 38 1C /r
PABSB xmm1, xmm2/m128
RM V/V SSSE3 Compute the absolute value of bytes in
xmm2/m128 and store UNSIGNED result in
xmm1.
0F 38 1D /r
1
PABSW mm1, mm2/m64
RM V/V SSSE3 Compute the absolute value of 16-bit integers
in mm2/m64 and store UNSIGNED result in
mm1.
66 0F 38 1D /r
PABSW xmm1, xmm2/m128
RM V/V SSSE3 Compute the absolute value of 16-bit integers
in xmm2/m128 and store UNSIGNED result in
xmm1.
0F 38 1E /r
1

PABSD mm1, mm2/m64
RM V/V SSSE3 Compute the absolute value of 32-bit integers
in mm2/m64 and store UNSIGNED result in
mm1.
66 0F 38 1E /r
PABSD xmm1, xmm2/m128
RM V/V SSSE3 Compute the absolute value of 32-bit integers
in xmm2/m128 and store UNSIGNED result in
xmm1.
VEX.128.66.0F38.WIG 1C /r
VPABSB xmm1, xmm2/m128
RM V/V AVX Compute the absolute value of bytes in
xmm2/m128 and store UNSIGNED result in
xmm1.
VEX.128.66.0F38.WIG 1D /r
VPABSW xmm1, xmm2/m128
RM V/V AVX Compute the absolute value of 16- bit
integers in xmm2/m128 and store UNSIGNED
result in xmm1.
VEX.128.66.0F38.WIG 1E /r
VPABSD xmm1, xmm2/m128
RM V/V AVX Compute the absolute value of 32- bit
integers in xmm2/m128 and store UNSIGNED
result in xmm1.
VEX.256.66.0F38.WIG 1C /r
VPABSB ymm1, ymm2/m256
RM V/V AVX2 Compute the absolute value of bytes in
ymm2/m256 and store UNSIGNED result in
ymm1.
VEX.256.66.0F38.WIG 1D /r
VPABSW ymm1, ymm2/m256
RM V/V AVX2 Compute the absolute value of 16-bit integers
in ymm2/m256 and store UNSIGNED result in
ymm1.
VEX.256.66.0F38.WIG 1E /r
VPABSD ymm1, ymm2/m256
RM V/V AVX2 Compute the absolute value of 32-bit integers
in ymm2/m256 and store UNSIGNED result in
ymm1.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
PABSB/PABSW/PABSD Packed Absolute Value
INSTRUCTION SET REFERENCE, N-Z
4-24 Vol. 2B
D e scrip t io n
(V)PABSB/W/D computes the absolute value of each data element of the source operand (the second operand) and
stores the UNSIGNED results in the destination operand (the first operand). (V)PABSB operates on signed bytes,
(V)PABSW operates on 16-bit words, and (V)PABSD operates on signed 32-bit integers. The source operand can be
an MMX register or a 64-bit memory location, or it can be an XMM register, a YMM register, a 128-bit memory loca-
tion, or a 256-bit memory location. The destination operand can be an MMX, an XMM or a YMM register. Both oper-
ands can be MMX registers or XMM registers. When the source operand is a 128-bit memory operand, the operand
must be aligned on a 16byte boundary or a general-protection exception (#GP) will be generated.
In 64-bit mode, use the REX prefix to access additional registers.
128-bit Legacy SSE version: The source operand can be an XMM register or a 128-bit memory location. The desti-
nation is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding
YMM register destination are unmodified.
VEX.128 encoded version: The source operand is an XMM register or 128-bit memory location. The destination
operand is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
VEX.256 encoded version: The first source operand is a YMM register or a 256-bit memory location. The destination
operand is a YMM register.
Note: VEX.vvvv is reserved and must be 1111b, VEX.L must be 0; otherwise instructions will #UD.
O p e ra t io n
P AB S B (w it h 6 4 b it o p e ra n d s)
Unsigned DEST[7:0] ABS(SRC[7:0])
Repeat operation for 2nd through 7th bytes
Unsigned DEST[63:56] ABS(SRC[63:56])
P AB S B (w it h 1 2 8 b it o p e ra n d s)
Unsigned DEST[7:0] ABS(SRC[7:.0])
Repeat operation for 2nd through 15th bytes
Unsigned DEST[127:120] ABS(SRC[127:120])
P AB S W (w it h 6 4 b it o p e ra n d s)
Unsigned DEST[15:0] ABS(SRC[15:0])
Repeat operation for 2nd through 3rd 16-bit words
Unsigned DEST[63:48] ABS(SRC[63:48])
P AB S W (w it h 1 2 8 b it o p e ra n d s)
Unsigned DEST[15:0] ABS(SRC[15:0])
Repeat operation for 2nd through 7th 16-bit words
Unsigned DEST[127:112] ABS(SRC[127:112])
P AB S D (w it h 6 4 b it o p e ra n d s)
Unsigned DEST[31:0] ABS(SRC[31:0])
Unsigned DEST[63:32] ABS(SRC[63:32])
P AB S D (w it h 1 2 8 b it o p e ra n d s)
Unsigned DEST[31:0] ABS(SRC[31:0])
Repeat operation for 2nd through 3rd 32-bit double words
Unsigned DEST[127:96] ABS(SRC[127:96])
P AB S B (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[127:0] BYTE_ABS(SRC)
DEST[VLMAX-1:128] (Unmodified)
PABSB/PABSW/PABSD Packed Absolute Value
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-25
VP AB S B (VE X.1 2 8 e n co d e d v e rsio n )
DEST[127:0] BYTE_ABS(SRC)
DEST[VLMAX-1:128] 0
VP AB S B (VE X.2 5 6 e n co d e d v e rsio n )
Unsigned DEST[7:0] ABS(SRC[7:.0])
Repeat operation for 2nd through 31st bytes
Unsigned DEST[255:248] ABS(SRC[255:248])
P AB S W (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[127:0] WORD_ABS(SRC)
DEST[VLMAX-1:128] (Unmodified)
VP AB S W (VE X.1 2 8 e n co d e d v e rsio n )
DEST[127:0] WORD_ABS(SRC)
DEST[VLMAX-1:128] 0
VP AB S W (VE X.2 5 6 e n co d e d v e rsio n )
Unsigned DEST[15:0] ABS(SRC[15:0])
Repeat operation for 2nd through 15th 16-bit words
Unsigned DEST[255:240] ABS(SRC[255:240])
P AB S D (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[127:0] DWORD_ABS(SRC)
DEST[VLMAX-1:128] (Unmodified)
VP AB S D (V E X.1 2 8 e n co d e d v e rsio n )
DEST[127:0] DWORD_ABS(SRC)
DEST[VLMAX-1:128] 0
VP AB S D (V E X.2 5 6 e n co d e d v e rsio n )
Unsigned DEST[31:0] ABS(SRC[31:0])
Repeat operation for 2nd through 7th 32-bit double words
Unsigned DEST[255:224] ABS(SRC[255:224])
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t s
PABSB: __m64 _mm_abs_pi8 (__m64 a)
(V)PABSB: __m128i _mm_abs_epi8 (__m128i a)
VPABSB: __m256i _mm256_abs_epi8 (__m256i a)
PABSW: __m64 _mm_abs_pi16 (__m64 a)
(V)PABSW: __m128i _mm_abs_epi16 (__m128i a)
VPABSW: __m256i _mm256_abs_epi16 (__m256i a)
PABSD: __m64 _mm_abs_pi32 (__m64 a)
(V)PABSD: __m128i _mm_abs_epi32 (__m128i a)
VPABSD: __m256i _mm256_abs_epi32 (__m256i a)
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
PABSB/PABSW/PABSD Packed Absolute Value
INSTRUCTION SET REFERENCE, N-Z
4-26 Vol. 2B
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
If VEX.vvvv != 1111B.
PACKSSWB/PACKSSDWPack with Signed Saturation
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-27
P AC K S S WB /P AC K S S D WP a ck w it h S ig n e d S a t u ra t io n
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Converts packed signed word integers into packed signed byte integers (PACKSSWB) or converts packed signed
doubleword integers into packed signed word integers (PACKSSDW), using saturation to handle overflow condi-
tions. See Figure 4-2 for an example of the packing operation.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 63 /r
1
PACKSSWB mm1, mm2/m64
RM V/V MMX Converts 4 packed signed word integers from
mm1 and from mm2/m64 into 8 packed
signed byte integers in mm1 using signed
saturation.
66 0F 63 /r
PACKSSWB xmm1, xmm2/m128
RM V/V SSE2 Converts 8 packed signed word integers from
xmm1 and fromxxm2/m128 into 16 packed
signed byte integers in xxm1 using signed
saturation.
0F 6B /r
1
PACKSSDW mm1, mm2/m64
RM V/V MMX Converts 2 packed signed doubleword
integers from mm1 and frommm2/m64 into 4
packed signed word integers in mm1 using
signed saturation.
66 0F 6B /r
PACKSSDW xmm1, xmm2/m128
RM V/V SSE2 Converts 4 packed signed doubleword
integers fromxmm1 and from xxm2/m128
into 8 packed signed word integers in xxm1
using signed saturation.
VEX.NDS.128.66.0F.WIG 63 /r
VPACKSSWB xmm1,xmm2, xmm3/m128
RVM V/V AVX Converts 8 packed signed word integers from
xmm2 and fromxmm3/m128 into 16 packed
signed byte integers in xmm1 using signed
saturation.
VEX.NDS.128.66.0F.WIG 6B /r
VPACKSSDW xmm1,xmm2, xmm3/m128
RVM V/V AVX Converts 4 packed signed doubleword
integers fromxmm2 and from xmm3/m128
into 8 packed signed word integers in xmm1
using signed saturation.
VEX.NDS.256.66.0F.WIG 63 /r
VPACKSSWB ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Converts 16 packed signed word integers
from ymm2 and from ymm3/m256 into 32
packed signed byte integers in ymm1 using
signed saturation.
VEX.NDS.256.66.0F.WIG 6B /r
VPACKSSDW ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Converts 8 packed signed doubleword
integers fromymm2 and fromymm3/m256
into 16 packed signed word integers in
ymm1using signed saturation.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m(r) NA
PACKSSWB/PACKSSDWPack with Signed Saturation
INSTRUCTION SET REFERENCE, N-Z
4-28 Vol. 2B
The (V)PACKSSWB instruction converts 4, 8 or 16 signed word integers from the destination operand (first
operand) and 4, 8 or 16 signed word integers from the source operand (second operand) into 8, 16 or 32 signed
byte integers and stores the result in the destination operand. If a signed word integer value is beyond the range
of a signed byte integer (that is, greater than 7FH for a positive integer or greater than 80H for a negative integer),
the saturated signed byte integer value of 7FH or 80H, respectively, is stored in the destination.
The (V)PACKSSDW instruction packs 2, 4 or 8 signed doublewords from the destination operand (first operand) and
2, 4 or 8 signed doublewords from the source operand (second operand) into 4, 8 or 16 signed words in the desti-
nation operand (see Figure 4-2). If a signed doubleword integer value is beyond the range of a signed word (that
is, greater than 7FFFH for a positive integer or greater than 8000H for a negative integer), the saturated signed
word integer value of 7FFFH or 8000H, respectively, is stored into the destination.
The (V)PACKSSWB and (V)PACKSSDW instructions operate on either 64-bit, 128-bit operands or 256-bit operands.
When operating on 64-bit operands, the destination operand must be an MMX technology register and the source
operand can be either an MMX technology register or a 64-bit memory location. In 64-bit mode, using a REX prefix
in the form of REX.R permits this instruction to access additional registers (XMM8-XMM15).
128-bit Legacy SSE version: The first source operand is an XMM register. The second operand can be an XMM
register or a 128-bit memory location. The destination is not distinct from the first source XMM register and the
upper bits (VLMAX-1:128) of the corresponding YMM register destination are unmodified.
VEX.128 encoded version: The first source operand is an XMM register. The second source operand is an XMM
register or 128-bit memory location. The destination operand is an XMM register. The upper bits (VLMAX-1:128) of
the corresponding YMM register destination are zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand is a YMM register
or a 256-bit memory location. The destination operand is a YMM register.
Note: VEX.L must be 0, otherwise the instruction will #UD.
O p e ra t io n
P AC K S S WB (w it h 6 4 -b it o p e ra n d s)
DEST[7:0] SaturateSignedWordToSignedByte DEST[15:0];
DEST[15:8] SaturateSignedWordToSignedByte DEST[31:16];
DEST[23:16] SaturateSignedWordToSignedByte DEST[47:32];
DEST[31:24] SaturateSignedWordToSignedByte DEST[63:48];
DEST[39:32] SaturateSignedWordToSignedByte SRC[15:0];
DEST[47:40] SaturateSignedWordToSignedByte SRC[31:16];
DEST[55:48] SaturateSignedWordToSignedByte SRC[47:32];
DEST[63:56] SaturateSignedWordToSignedByte SRC[63:48];
P AC K S S D W (w it h 6 4 -b it o p e ra n d s)
DEST[15:0] SaturateSignedDoublewordToSignedWord DEST[31:0];
DEST[31:16] SaturateSignedDoublewordToSignedWord DEST[63:32];
DEST[47:32] SaturateSignedDoublewordToSignedWord SRC[31:0];
DEST[63:48] SaturateSignedDoublewordToSignedWord SRC[63:32];
F ig u re 4 -2 . O p e ra t io n o f t h e P AC K S S D W I n st ru ct io n U sin g 6 4 -b it O p e ra n d s
D C
64-Bit SRC
64-Bit DEST
D C B A
64-Bit DEST
B
A
PACKSSWB/PACKSSDWPack with Signed Saturation
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-29
P AC K S S WB in st ru ct io n (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[7:0] SaturateSignedWordToSignedByte (DEST[15:0]);
DEST[15:8] SaturateSignedWordToSignedByte (DEST[31:16]);
DEST[23:16] SaturateSignedWordToSignedByte (DEST[47:32]);
DEST[31:24] SaturateSignedWordToSignedByte (DEST[63:48]);
DEST[39:32] SaturateSignedWordToSignedByte (DEST[79:64]);
DEST[47:40] SaturateSignedWordToSignedByte (DEST[95:80]);
DEST[55:48] SaturateSignedWordToSignedByte (DEST[111:96]);
DEST[63:56] SaturateSignedWordToSignedByte (DEST[127:112]);
DEST[71:64] SaturateSignedWordToSignedByte (SRC[15:0]);
DEST[79:72] SaturateSignedWordToSignedByte (SRC[31:16]);
DEST[87:80] SaturateSignedWordToSignedByte (SRC[47:32]);
DEST[95:88] SaturateSignedWordToSignedByte (SRC[63:48]);
DEST[103:96] SaturateSignedWordToSignedByte (SRC[79:64]);
DEST[111:104] SaturateSignedWordToSignedByte (SRC[95:80]);
DEST[119:112] SaturateSignedWordToSignedByte (SRC[111:96]);
DEST[127:120] SaturateSignedWordToSignedByte (SRC[127:112]);
P AC K S S D W in st ru ct io n (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[15:0] SaturateSignedDwordToSignedWord (DEST[31:0]);
DEST[31:16] SaturateSignedDwordToSignedWord (DEST[63:32]);
DEST[47:32] SaturateSignedDwordToSignedWord (DEST[95:64]);
DEST[63:48] SaturateSignedDwordToSignedWord (DEST[127:96]);
DEST[79:64] SaturateSignedDwordToSignedWord (SRC[31:0]);
DEST[95:80] SaturateSignedDwordToSignedWord (SRC[63:32]);
DEST[111:96] SaturateSignedDwordToSignedWord (SRC[95:64]);
DEST[127:112] SaturateSignedDwordToSignedWord (SRC[127:96]);
VP AC K S S WB in st ru ct io n (V E X.1 2 8 e n co d e d v e rsio n )
DEST[7:0] SaturateSignedWordToSignedByte (SRC1[15:0]);
DEST[15:8] SaturateSignedWordToSignedByte (SRC1[31:16]);
DEST[23:16] SaturateSignedWordToSignedByte (SRC1[47:32]);
DEST[31:24] SaturateSignedWordToSignedByte (SRC1[63:48]);
DEST[39:32] SaturateSignedWordToSignedByte (SRC1[79:64]);
DEST[47:40] SaturateSignedWordToSignedByte (SRC1[95:80]);
DEST[55:48] SaturateSignedWordToSignedByte (SRC1[111:96]);
DEST[63:56] SaturateSignedWordToSignedByte (SRC1[127:112]);
DEST[71:64] SaturateSignedWordToSignedByte (SRC2[15:0]);
DEST[79:72] SaturateSignedWordToSignedByte (SRC2[31:16]);
DEST[87:80] SaturateSignedWordToSignedByte (SRC2[47:32]);
DEST[95:88] SaturateSignedWordToSignedByte (SRC2[63:48]);
DEST[103:96] SaturateSignedWordToSignedByte (SRC2[79:64]);
DEST[111:104] SaturateSignedWordToSignedByte (SRC2[95:80]);
DEST[119:112] SaturateSignedWordToSignedByte (SRC2[111:96]);
DEST[127:120] SaturateSignedWordToSignedByte (SRC2[127:112]);
DEST[VLMAX-1:128] 0;
VP AC K S S D W in st ru ct io n (VE X.1 2 8 e n co d e d v e rsio n )
DEST[15:0] SaturateSignedDwordToSignedWord (SRC1[31:0]);
DEST[31:16] SaturateSignedDwordToSignedWord (SRC1[63:32]);
DEST[47:32] SaturateSignedDwordToSignedWord (SRC1[95:64]);
DEST[63:48] SaturateSignedDwordToSignedWord (SRC1[127:96]);
DEST[79:64] SaturateSignedDwordToSignedWord (SRC2[31:0]);
DEST[95:80] SaturateSignedDwordToSignedWord (SRC2[63:32]);
PACKSSWB/PACKSSDWPack with Signed Saturation
INSTRUCTION SET REFERENCE, N-Z
4-30 Vol. 2B
DEST[111:96] SaturateSignedDwordToSignedWord (SRC2[95:64]);
DEST[127:112] SaturateSignedDwordToSignedWord (SRC2[127:96]);
DEST[VLMAX-1:128] 0;
VP AC K S S WB in st ru ct io n (V E X.2 5 6 e n co d e d v e rsio n )
DEST[7:0] SaturateSignedWordToSignedByte (SRC1[15:0]);
DEST[15:8] SaturateSignedWordToSignedByte (SRC1[31:16]);
DEST[23:16] SaturateSignedWordToSignedByte (SRC1[47:32]);
DEST[31:24] SaturateSignedWordToSignedByte (SRC1[63:48]);
DEST[39:32] SaturateSignedWordToSignedByte (SRC1[79:64]);
DEST[47:40] SaturateSignedWordToSignedByte (SRC1[95:80]);
DEST[55:48] SaturateSignedWordToSignedByte (SRC1[111:96]);
DEST[63:56] SaturateSignedWordToSignedByte (SRC1[127:112]);
DEST[71:64] SaturateSignedWordToSignedByte (SRC2[15:0]);
DEST[79:72] SaturateSignedWordToSignedByte (SRC2[31:16]);
DEST[87:80] SaturateSignedWordToSignedByte (SRC2[47:32]);
DEST[95:88] SaturateSignedWordToSignedByte (SRC2[63:48]);
DEST[103:96] SaturateSignedWordToSignedByte (SRC2[79:64]);
DEST[111:104] SaturateSignedWordToSignedByte (SRC2[95:80]);
DEST[119:112] SaturateSignedWordToSignedByte (SRC2[111:96]);
DEST[127:120] SaturateSignedWordToSignedByte (SRC2[127:112]);
DEST[135:128] SaturateSignedWordToSignedByte (SRC1[143:128]);
DEST[143:136] SaturateSignedWordToSignedByte (SRC1[159:144]);
DEST[151:144] SaturateSignedWordToSignedByte (SRC1[175:160]);
DEST[159:152] SaturateSignedWordToSignedByte (SRC1[191:176]);
DEST[167:160] SaturateSignedWordToSignedByte (SRC1[207:192]);
DEST[175:168] SaturateSignedWordToSignedByte (SRC1[223:208]);
DEST[183:176] SaturateSignedWordToSignedByte (SRC1[239:224]);
DEST[191:184] SaturateSignedWordToSignedByte (SRC1[255:240]);
DEST[199:192] SaturateSignedWordToSignedByte (SRC2[143:128]);
DEST[207:200] SaturateSignedWordToSignedByte (SRC2[159:144]);
DEST[215:208] SaturateSignedWordToSignedByte (SRC2[175:160]);
DEST[223:216] SaturateSignedWordToSignedByte (SRC2[191:176]);
DEST[231:224] SaturateSignedWordToSignedByte (SRC2[207:192]);
DEST[239:232] SaturateSignedWordToSignedByte (SRC2[223:208]);
DEST[247:240] SaturateSignedWordToSignedByte (SRC2[239:224]);
DEST[255:248] SaturateSignedWordToSignedByte (SRC2[255:240]);
VP AC K S S D W in st ru ct io n (VE X.2 5 6 e n co d e d v e rsio n )
DEST[15:0] SaturateSignedDwordToSignedWord (SRC1[31:0]);
DEST[31:16] SaturateSignedDwordToSignedWord (SRC1[63:32]);
DEST[47:32] SaturateSignedDwordToSignedWord (SRC1[95:64]);
DEST[63:48] SaturateSignedDwordToSignedWord (SRC1[127:96]);
DEST[79:64] SaturateSignedDwordToSignedWord (SRC2[31:0]);
DEST[95:80] SaturateSignedDwordToSignedWord (SRC2[63:32]);
DEST[111:96] SaturateSignedDwordToSignedWord (SRC2[95:64]);
DEST[127:112] SaturateSignedDwordToSignedWord (SRC2[127:96]);
DEST[143:128] SaturateSignedDwordToSignedWord (SRC1[159:128]);
DEST[159:144] SaturateSignedDwordToSignedWord (SRC1[191:160]);
DEST[175:160] SaturateSignedDwordToSignedWord (SRC1[223:192]);
DEST[191:176] SaturateSignedDwordToSignedWord (SRC1[255:224]);
DEST[207:192] SaturateSignedDwordToSignedWord (SRC2[159:128]);
DEST[223:208] SaturateSignedDwordToSignedWord (SRC2[191:160]);
DEST[239:224] SaturateSignedDwordToSignedWord (SRC2[223:192]);
PACKSSWB/PACKSSDWPack with Signed Saturation
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-31
DEST[255:240] SaturateSignedDwordToSignedWord (SRC2[255:224]);
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t s
PACKSSWB: __m64 _mm_packs_pi16(__m64 m1, __m64 m2)
(V)PACKSSWB: __m128i _mm_packs_epi16(__m128i m1, __m128i m2)
VPACKSSWB: __m256i _mm256_packs_epi16(__m256i m1, __m256i m2)
PACKSSDW: __m64 _mm_packs_pi32 (__m64 m1, __m64 m2)
(V)PACKSSDW: __m128i _mm_packs_epi32(__m128i m1, __m128i m2)
VPACKSSDW: __m256i _mm256_packs_epi32(__m256i m1, __m256i m2)
F la g s Af fe ct e d
None.
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PACKUSDW Pack with Unsigned Saturation
INSTRUCTION SET REFERENCE, N-Z
4-32 Vol. 2B
P AC K U S D W P a ck w it h U n sig n e d S a t u ra t io n
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Converts packed signed doubleword integers into packed unsigned word integers using unsigned saturation to
handle overflow conditions. If the signed doubleword value is beyond the range of an unsigned word (that is,
greater than FFFFH or less than 0000H), the saturated unsigned word integer value of FFFFH or 0000H, respec-
tively, is stored in the destination.
128-bit Legacy SSE version: The first source operand is an XMM register. The second operand can be an XMM
register or a 128-bit memory location. The destination is not distinct from the first source XMM register and the
upper bits (VLMAX-1:128) of the corresponding YMM register destination are unmodified.
VEX.128 encoded version: The first source operand is an XMM register. The second source operand is an XMM
register or 128-bit memory location. The destination operand is an XMM register. The upper bits (VLMAX-1:128) of
the corresponding YMM register destination are zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand is a YMM register
or a 256-bit memory location. The destination operand is a YMM register.
Note: VEX.L must be 0, otherwise the instruction will #UD.
O p e ra t io n
P AC K U S D W (Le g a cy S S E in st ru ct io n )
TMP[15:0] (DEST[31:0] <0) ? 0 : DEST[15:0];
DEST[15:0] (DEST[31:0] >FFFFH) ? FFFFH : TMP[15:0] ;
TMP[31:16] (DEST[63:32] <0) ? 0 : DEST[47:32];
DEST[31:16] (DEST[63:32] >FFFFH) ? FFFFH : TMP[31:16] ;
TMP[47:32] (DEST[95:64] <0) ? 0 : DEST[79:64];
DEST[47:32] (DEST[95:64] >FFFFH) ? FFFFH : TMP[47:32] ;
TMP[63:48] (DEST[127:96] <0) ? 0 : DEST[111:96];
DEST[63:48] (DEST[127:96] >FFFFH) ? FFFFH : TMP[63:48] ;
TMP[79:64] (SRC[31:0] <0) ? 0 : SRC[15:0];
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 38 2B /r
PACKUSDW xmm1, xmm2/m128
RM V/V SSE4_1 Convert 4 packed signed doubleword integers
fromxmm1 and 4 packed signed doubleword
integers from xmm2/m128 into 8 packed
unsigned word integers in xmm1 using
unsigned saturation.
VEX.NDS.128.66.0F38.WIG 2B /r
VPACKUSDW xmm1, xmm2, xmm3/m128
RVM V/V AVX Convert 4 packed signed doubleword integers
fromxmm2 and 4 packed signed doubleword
integers from xmm3/m128 into 8 packed
unsigned word integers in xmm1 using
unsigned saturation.
VEX.NDS.256.66.0F38.WIG 2B /r
VPACKUSDW ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Convert 8 packed signed doubleword integers
fromymm2 and 8 packed signed doubleword
integers from ymm3/m128 into 16 packed
unsigned word integers in ymm1 using
unsigned saturation.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m(r) NA
PACKUSDW Pack with Unsigned Saturation
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-33
DEST[63:48] (SRC[31:0] >FFFFH) ? FFFFH : TMP[79:64] ;
TMP[95:80] (SRC[63:32] <0) ? 0 : SRC[47:32];
DEST[95:80] (SRC[63:32] >FFFFH) ? FFFFH : TMP[95:80] ;
TMP[111:96] (SRC[95:64] <0) ? 0 : SRC[79:64];
DEST[111:96] (SRC[95:64] >FFFFH) ? FFFFH : TMP[111:96] ;
TMP[127:112] (SRC[127:96] <0) ? 0 : SRC[111:96];
DEST[127:112] (SRC[127:96] >FFFFH) ? FFFFH : TMP[127:112] ;
P AC K U S D W (V E X.1 2 8 e n co d e d v e rsio n )
TMP[15:0] (SRC1[31:0] <0) ? 0 : SRC1[15:0];
DEST[15:0] (SRC1[31:0] >FFFFH) ? FFFFH : TMP[15:0] ;
TMP[31:16] (SRC1[63:32] <0) ? 0 : SRC1[47:32];
DEST[31:16] (SRC1[63:32] >FFFFH) ? FFFFH : TMP[31:16] ;
TMP[47:32] (SRC1[95:64] <0) ? 0 : SRC1[79:64];
DEST[47:32] (SRC1[95:64] >FFFFH) ? FFFFH : TMP[47:32] ;
TMP[63:48] (SRC1[127:96] <0) ? 0 : SRC1[111:96];
DEST[63:48] (SRC1[127:96] >FFFFH) ? FFFFH : TMP[63:48] ;
TMP[79:64] (SRC2[31:0] <0) ? 0 : SRC2[15:0];
DEST[63:48] (SRC2[31:0] >FFFFH) ? FFFFH : TMP[79:64] ;
TMP[95:80] (SRC2[63:32] <0) ? 0 : SRC2[47:32];
DEST[95:80] (SRC2[63:32] >FFFFH) ? FFFFH : TMP[95:80] ;
TMP[111:96] (SRC2[95:64] <0) ? 0 : SRC2[79:64];
DEST[111:96] (SRC2[95:64] >FFFFH) ? FFFFH : TMP[111:96] ;
TMP[127:112] (SRC2[127:96] <0) ? 0 : SRC2[111:96];
DEST[127:112] (SRC2[127:96] >FFFFH) ? FFFFH : TMP[127:112];
DEST[VLMAX-1:128] 0;
VP AC K U S D W (VE X.2 5 6 e n co d e d v e rsio n )
TMP[15:0] (SRC1[31:0] <0) ? 0 : SRC1[15:0];
DEST[15:0] (SRC1[31:0] >FFFFH) ? FFFFH : TMP[15:0] ;
TMP[31:16] (SRC1[63:32] <0) ? 0 : SRC1[47:32];
DEST[31:16] (SRC1[63:32] >FFFFH) ? FFFFH : TMP[31:16] ;
TMP[47:32] (SRC1[95:64] <0) ? 0 : SRC1[79:64];
DEST[47:32] (SRC1[95:64] >FFFFH) ? FFFFH : TMP[47:32] ;
TMP[63:48] (SRC1[127:96] <0) ? 0 : SRC1[111:96];
DEST[63:48] (SRC1[127:96] >FFFFH) ? FFFFH : TMP[63:48] ;
TMP[79:64] (SRC2[31:0] <0) ? 0 : SRC2[15:0];
DEST[63:48] (SRC2[31:0] >FFFFH) ? FFFFH : TMP[79:64] ;
TMP[95:80] (SRC2[63:32] <0) ? 0 : SRC2[47:32];
DEST[95:80] (SRC2[63:32] >FFFFH) ? FFFFH : TMP[95:80] ;
TMP[111:96] (SRC2[95:64] <0) ? 0 : SRC2[79:64];
DEST[111:96] (SRC2[95:64] >FFFFH) ? FFFFH : TMP[111:96] ;
TMP[127:112] (SRC2[127:96] <0) ? 0 : SRC2[111:96];
DEST[128:112] (SRC2[127:96] >FFFFH) ? FFFFH : TMP[127:112] ;
TMP[143:128] (SRC1[159:128] <0) ? 0 : SRC1[143:128];
DEST[143:128] (SRC1[159:128] >FFFFH) ? FFFFH : TMP[143:128] ;
TMP[159:144] (SRC1[191:160] <0) ? 0 : SRC1[175:160];
DEST[159:144] (SRC1[191:160] >FFFFH) ? FFFFH : TMP[159:144] ;
TMP[175:160] (SRC1[223:192] <0) ? 0 : SRC1[207:192];
DEST[175:160] (SRC1[223:192] >FFFFH) ? FFFFH : TMP[175:160] ;
TMP[191:176] (SRC1[255:224] <0) ? 0 : SRC1[239:224];
DEST[191:176] (SRC1[255:224] >FFFFH) ? FFFFH : TMP[191:176] ;
TMP[207:192] (SRC2[159:128] <0) ? 0 : SRC2[143:128];
DEST[207:192] (SRC2[159:128] >FFFFH) ? FFFFH : TMP[207:192] ;
PACKUSDW Pack with Unsigned Saturation
INSTRUCTION SET REFERENCE, N-Z
4-34 Vol. 2B
TMP[223:208] (SRC2[191:160] <0) ? 0 : SRC2[175:160];
DEST[223:208] (SRC2[191:160] >FFFFH) ? FFFFH : TMP[223:208] ;
TMP[239:224] (SRC2[223:192] <0) ? 0 : SRC2[207:192];
DEST[239:224] (SRC2[223:192] >FFFFH) ? FFFFH : TMP[239:224] ;
TMP[255:240] (SRC2[255:224] <0) ? 0 : SRC2[239:224];
DEST[255:240] (SRC2[255:224] >FFFFH) ? FFFFH : TMP[255:240] ;
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
(V)PACKUSDW: __m128i _mm_packus_epi32(__m128i m1, __m128i m2);
VPACKUSDW: __m256i _mm256_packus_epi32(__m256i m1, __m256i m2);
F la g s Af fe ct e d
None.
S I MD E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PACKUSWBPack with Unsigned Saturation
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-35
P AC K U S WB P a ck w it h U n sig n e d S a t u ra t io n
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Converts 4, 8 or 16 signed word integers from the destination operand (first operand) and 4, 8 or 16 signed word
integers from the source operand (second operand) into 8, 16 or 32 unsigned byte integers and stores the result in
the destination operand. (See Figure 4-2 for an example of the packing operation.) If a signed word integer value
is beyond the range of an unsigned byte integer (that is, greater than FFH or less than 00H), the saturated
unsigned byte integer value of FFH or 00H, respectively, is stored in the destination.
The PACKUSWB instruction operates on either 64-bit, 128-bit or 256-bit operands. When operating on 64-bit oper-
ands, the destination operand must be an MMX technology register and the source operand can be either an MMX
technology register or a 64-bit memory location. In 64-bit mode, using a REX prefix in the form of REX.R permits
this instruction to access additional registers (XMM8-XMM15).
128-bit Legacy SSE version: The first source operand is an XMM register. The second operand can be an XMM
register or a 128-bit memory location. The destination is not distinct from the first source XMM register and the
upper bits (VLMAX-1:128) of the corresponding YMM register destination are unmodified.
VEX.128 encoded version: The first source operand is an XMM register. The second source operand is an XMM
register or 128-bit memory location. The destination operand is an XMM register. The upper bits (VLMAX-1:128) of
the corresponding YMM register destination are zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand is a YMM register
or a 256-bit memory location. The destination operand is a YMM register.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 67 /r
1
PACKUSWB mm, mm/m64
RM V/V MMX Converts 4 signed word integers from mm and
4 signed word integers from mm/m64 into 8
unsigned byte integers in mm using unsigned
saturation.
66 0F 67 /r
PACKUSWB xmm1, xmm2/m128
RM V/V SSE2 Converts 8 signed word integers fromxmm1
and 8 signed word integers from xmm2/m128
into 16 unsigned byte integers in xmm1 using
unsigned saturation.
VEX.NDS.128.66.0F.WIG 67 /r
VPACKUSWB xmm1, xmm2, xmm3/m128
RVM V/V AVX Converts 8 signed word integers fromxmm2
and 8 signed word integers from xmm3/m128
into 16 unsigned byte integers in xmm1 using
unsigned saturation.
VEX.NDS.256.66.0F.WIG 67 /r
VPACKUSWB ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Converts 16 signed word integers from ymm2
and 16signed word integers from
ymm3/m256 into 32 unsigned byte integers
in ymm1 using unsigned saturation.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
PACKUSWBPack with Unsigned Saturation
INSTRUCTION SET REFERENCE, N-Z
4-36 Vol. 2B
O p e ra t io n
P AC K U S WB (w it h 6 4 -b it o p e ra n d s)
DEST[7:0] SaturateSignedWordToUnsignedByte DEST[15:0];
DEST[15:8] SaturateSignedWordToUnsignedByte DEST[31:16];
DEST[23:16] SaturateSignedWordToUnsignedByte DEST[47:32];
DEST[31:24] SaturateSignedWordToUnsignedByte DEST[63:48];
DEST[39:32] SaturateSignedWordToUnsignedByte SRC[15:0];
DEST[47:40] SaturateSignedWordToUnsignedByte SRC[31:16];
DEST[55:48] SaturateSignedWordToUnsignedByte SRC[47:32];
DEST[63:56] SaturateSignedWordToUnsignedByte SRC[63:48];
P AC K U S WB (Le g a cy S S E in st ru ct io n )
DEST[7:0]SaturateSignedWordToUnsignedByte (DEST[15:0]);
DEST[15:8] SaturateSignedWordToUnsignedByte (DEST[31:16]);
DEST[23:16] SaturateSignedWordToUnsignedByte (DEST[47:32]);
DEST[31:24] SaturateSignedWordToUnsignedByte (DEST[63:48]);
DEST[39:32] SaturateSignedWordToUnsignedByte (DEST[79:64]);
DEST[47:40] SaturateSignedWordToUnsignedByte (DEST[95:80]);
DEST[55:48] SaturateSignedWordToUnsignedByte (DEST[111:96]);
DEST[63:56] SaturateSignedWordToUnsignedByte (DEST[127:112]);
DEST[71:64] SaturateSignedWordToUnsignedByte (SRC[15:0]);
DEST[79:72] SaturateSignedWordToUnsignedByte (SRC[31:16]);
DEST[87:80] SaturateSignedWordToUnsignedByte (SRC[47:32]);
DEST[95:88] SaturateSignedWordToUnsignedByte (SRC[63:48]);
DEST[103:96] SaturateSignedWordToUnsignedByte (SRC[79:64]);
DEST[111:104] SaturateSignedWordToUnsignedByte (SRC[95:80]);
DEST[119:112] SaturateSignedWordToUnsignedByte (SRC[111:96]);
DEST[127:120] SaturateSignedWordToUnsignedByte (SRC[127:112]);
P AC K U S WB (VE X.1 2 8 e n co d e d v e rsio n )
DEST[7:0] SaturateSignedWordToUnsignedByte (SRC1[15:0]);
DEST[15:8] SaturateSignedWordToUnsignedByte (SRC1[31:16]);
DEST[23:16] SaturateSignedWordToUnsignedByte (SRC1[47:32]);
DEST[31:24] SaturateSignedWordToUnsignedByte (SRC1[63:48]);
DEST[39:32] SaturateSignedWordToUnsignedByte (SRC1[79:64]);
DEST[47:40] SaturateSignedWordToUnsignedByte (SRC1[95:80]);
DEST[55:48] SaturateSignedWordToUnsignedByte (SRC1[111:96]);
DEST[63:56] SaturateSignedWordToUnsignedByte (SRC1[127:112]);
DEST[71:64] SaturateSignedWordToUnsignedByte (SRC2[15:0]);
DEST[79:72] SaturateSignedWordToUnsignedByte (SRC2[31:16]);
DEST[87:80] SaturateSignedWordToUnsignedByte (SRC2[47:32]);
DEST[95:88] SaturateSignedWordToUnsignedByte (SRC2[63:48]);
DEST[103:96] SaturateSignedWordToUnsignedByte (SRC2[79:64]);
DEST[111:104] SaturateSignedWordToUnsignedByte (SRC2[95:80]);
DEST[119:112] SaturateSignedWordToUnsignedByte (SRC2[111:96]);
DEST[127:120] SaturateSignedWordToUnsignedByte (SRC2[127:112]);
DEST[VLMAX-1:128] 0;
VP AC K U S WB (V E X.2 5 6 e n co d e d v e rsio n )
DEST[7:0] SaturateSignedWordToUnsignedByte (SRC1[15:0]);
DEST[15:8] SaturateSignedWordToUnsignedByte (SRC1[31:16]);
DEST[23:16] SaturateSignedWordToUnsignedByte (SRC1[47:32]);
DEST[31:24] SaturateSignedWordToUnsignedByte (SRC1[63:48]);
DEST[39:32] SaturateSignedWordToUnsignedByte (SRC1[79:64]);
PACKUSWBPack with Unsigned Saturation
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-37
DEST[47:40] SaturateSignedWordToUnsignedByte (SRC1[95:80]);
DEST[55:48] SaturateSignedWordToUnsignedByte (SRC1[111:96]);
DEST[63:56] SaturateSignedWordToUnsignedByte (SRC1[127:112]);
DEST[71:64] SaturateSignedWordToUnsignedByte (SRC2[15:0]);
DEST[79:72] SaturateSignedWordToUnsignedByte (SRC2[31:16]);
DEST[87:80] SaturateSignedWordToUnsignedByte (SRC2[47:32]);
DEST[95:88] SaturateSignedWordToUnsignedByte (SRC2[63:48]);
DEST[103:96] SaturateSignedWordToUnsignedByte (SRC2[79:64]);
DEST[111:104] SaturateSignedWordToUnsignedByte (SRC2[95:80]);
DEST[119:112] SaturateSignedWordToUnsignedByte (SRC2[111:96]);
DEST[127:120] SaturateSignedWordToUnsignedByte (SRC2[127:112]);
DEST[135:128] SaturateSignedWordToUnsignedByte (SRC1[143:128]);
DEST[143:136] SaturateSignedWordToUnsignedByte (SRC1[159:144]);
DEST[151:144] SaturateSignedWordToUnsignedByte (SRC1[175:160]);
DEST[159:152] SaturateSignedWordToUnsignedByte (SRC1[191:176]);
DEST[167:160] SaturateSignedWordToUnsignedByte (SRC1[207:192]);
DEST[175:168] SaturateSignedWordToUnsignedByte (SRC1[223:208]);
DEST[183:176] SaturateSignedWordToUnsignedByte (SRC1[239:224]);
DEST[191:184] SaturateSignedWordToUnsignedByte (SRC1[255:240]);
DEST[199:192] SaturateSignedWordToUnsignedByte (SRC2[143:128]);
DEST[207:200] SaturateSignedWordToUnsignedByte (SRC2[159:144]);
DEST[215:208] SaturateSignedWordToUnsignedByte (SRC2[175:160]);
DEST[223:216] SaturateSignedWordToUnsignedByte (SRC2[191:176]);
DEST[231:224] SaturateSignedWordToUnsignedByte (SRC2[207:192]);
DEST[239:232] SaturateSignedWordToUnsignedByte (SRC2[223:208]);
DEST[247:240] SaturateSignedWordToUnsignedByte (SRC2[239:224]);
DEST[255:248] SaturateSignedWordToUnsignedByte (SRC2[255:240]);
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
PACKUSWB: __m64 _mm_packs_pu16(__m64 m1, __m64 m2)
(V)PACKUSWB: __m128i _mm_packus_epi16(__m128i m1, __m128i m2)
VPACKUSWB: __m256i _mm256_packus_epi16(__m256i m1, __m256i m2);
F la g s Af fe ct e d
None.
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PADDB/PADDW/PADDDAdd Packed Integers
INSTRUCTION SET REFERENCE, N-Z
4-38 Vol. 2B
P AD D B /P AD D W/P AD D D Ad d P a cke d I n t e g e rs
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a SIMD add of the packed integers from the source operand (second operand) and the destination
operand (first operand), and stores the packed integer results in the destination operand. See Figure 9-4 in the
Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1, for an illustration of a SIMD operation.
Overflow is handled with wraparound, as described in the following paragraphs.
Adds the packed byte, word, doubleword, or quadword integers in the first source operand to the second source
operand and stores the result in the destination operand. When a result is too large to be represented in the
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F FC /r
1
PADDB mm, mm/m64
RM V/V MMX Add packed byte integers from mm/m64 and
mm.
66 0F FC /r
PADDB xmm1, xmm2/m128
RM V/V SSE2 Add packed byte integers from xmm2/m128
and xmm1.
0F FD /r
1
PADDW mm, mm/m64
RM V/V MMX Add packed word integers from mm/m64 and
mm.
66 0F FD /r
PADDW xmm1, xmm2/m128
RM V/V SSE2 Add packed word integers fromxmm2/m128
and xmm1.
0F FE /r
1
PADDD mm, mm/m64
RM V/V MMX Add packed doubleword integers from
mm/m64 and mm.
66 0F FE /r
PADDD xmm1, xmm2/m128
RM V/V SSE2 Add packed doubleword integers from
xmm2/m128 and xmm1.
VEX.NDS.128.66.0F.WIG FC /r
VPADDB xmm1, xmm2, xmm3/m128
RVM V/V AVX Add packed byte integers from xmm3/m128
and xmm2.
VEX.NDS.128.66.0F.WIG FD /r
VPADDW xmm1, xmm2, xmm3/m128
RVM V/V AVX Add packed word integers from xmm3/m128
and xmm2.
VEX.NDS.128.66.0F.WIG FE /r
VPADDD xmm1, xmm2, xmm3/m128
RVM V/V AVX Add packed doubleword integers from
xmm3/m128 and xmm2.
VEX.NDS.256.66.0F.WIG FC /r
VPADDB ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Add packed byte integers from ymm2, and
ymm3/m256 and store in ymm1.
VEX.NDS.256.66.0F.WIG FD /r
VPADDW ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Add packed word integers fromymm2,
ymm3/m256 and store in ymm1.
VEX.NDS.256.66.0F.WIG FE /r
VPADDD ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Add packed doubleword integers fromymm2,
ymm3/m256 and store in ymm1.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m(r) NA
PADDB/PADDW/PADDDAdd Packed Integers
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-39
8/16/32 integer (overflow), the result is wrapped around and the low bits are written to the destination element
(that is, the carry is ignored).
Note that these instructions can operate on either unsigned or signed (twos complement notation) integers;
however, it does not set bits in the EFLAGS register to indicate overflow and/or a carry. To prevent undetected
overflow conditions, software must control the ranges of the values operated on.
These instructions can operate on either 64-bit, 128-bit or 256-bit operands. When operating on 64-bit operands,
the destination operand must be an MMX technology register and the source operand can be either an MMX tech-
nology register or a 64-bit memory location. In 64-bit mode, using a REX prefix in the form of REX.R permits this
instruction to access additional registers (XMM8-XMM15).
128-bit Legacy SSE version: The first source operand is an XMM register. The second operand can be an XMM
register or a 128-bit memory location. The destination is not distinct from the first source XMM register and the
upper bits (VLMAX-1:128) of the corresponding YMM register destination are unmodified.
VEX.128 encoded version: The first source operand is an XMM register. The second source operand is an XMM
register or 128-bit memory location. The destination operand is an XMM register. The upper bits (VLMAX-1:128) of
the corresponding YMM register destination are zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand is a YMM register
or a 256-bit memory location. The destination operand is a YMM register.
Note: VEX.L must be 0, otherwise the instruction will #UD.
O p e ra t io n
P AD D B (w it h 6 4 -b it o p e ra n d s)
DEST[7:0] DEST[7:0] + SRC[7:0];
(* Repeat add operation for 2nd through 7th byte *)
DEST[63:56] DEST[63:56] + SRC[63:56];
P AD D B (w it h 1 2 8 -b it o p e ra n d s)
DEST[7:0] DEST[7:0] + SRC[7:0];
(* Repeat add operation for 2nd through 14th byte *)
DEST[127:120] DEST[111:120] + SRC[127:120];
VP AD D B (VE X.1 2 8 e n co d e d v e rsio n )
DEST[7:0] SRC1[7:0]+SRC2[7:0]
DEST[15:8] SRC1[15:8]+SRC2[15:8]
DEST[23:16] SRC1[23:16]+SRC2[23:16]
DEST[31:24] SRC1[31:24]+SRC2[31:24]
DEST[39:32] SRC1[39:32]+SRC2[39:32]
DEST[47:40] SRC1[47:40]+SRC2[47:40]
DEST[55:48] SRC1[55:48]+SRC2[55:48]
DEST[63:56] SRC1[63:56]+SRC2[63:56]
DEST[71:64] SRC1[71:64]+SRC2[71:64]
DEST[79:72] SRC1[79:72]+SRC2[79:72]
DEST[87:80] SRC1[87:80]+SRC2[87:80]
DEST[95:88] SRC1[95:88]+SRC2[95:88]
DEST[103:96] SRC1[103:96]+SRC2[103:96]
DEST[111:104] SRC1[111:104]+SRC2[111:104]
DEST[119:112] SRC1[119:112]+SRC2[119:112]
DEST[127:120] SRC1[127:120]+SRC2[127:120]
DEST[VLMAX-1:128] 0
VP AD D B (VE X.2 5 6 e n co d e d in st ru ct io n )
DEST[7:0] SRC1[7:0] +SRC2[7:0];
(* Repeat add operation for 2nd through 31th byte *)
DEST[255:248] SRC1[255:248] +SRC2[255:248];
PADDB/PADDW/PADDDAdd Packed Integers
INSTRUCTION SET REFERENCE, N-Z
4-40 Vol. 2B
P AD D W (w it h 6 4 -b it o p e ra n d s)
DEST[15:0] DEST[15:0] + SRC[15:0];
(* Repeat add operation for 2nd and 3th word *)
DEST[63:48] DEST[63:48] + SRC[63:48];
P AD D W (w it h 1 2 8 -b it o p e ra n d s)
DEST[15:0] DEST[15:0] + SRC[15:0];
(* Repeat add operation for 2nd through 7th word *)
DEST[127:112] DEST[127:112] + SRC[127:112];
VP AD D W (VE X.1 2 8 e n co d e d v e rsio n )
DEST[15:0] SRC1[15:0]+SRC2[15:0]
DEST[31:16] SRC1[31:16]+SRC2[31:16]
DEST[47:32] SRC1[47:32]+SRC2[47:32]
DEST[63:48] SRC1[63:48]+SRC2[63:48]
DEST[79:64] SRC1[79:64]+SRC2[79:64]
DEST[95:80] SRC1[95:80]+SRC2[95:80]
DEST[111:96] SRC1[111:96]+SRC2[111:96]
DEST[127:112] SRC1[127:112]+SRC2[127:112]
DEST[VLMAX-1:128] 0
VP AD D W (VE X.2 5 6 e n co d e d in st ru ct io n )
DEST[15:0] SRC1[15:0] +SRC2[15:0];
(* Repeat add operation for 2nd through 15th word *)
DEST[255:240] SRC1[255:240] +SRC2[255:240];
P AD D D (w it h 6 4 -b it o p e ra n d s)
DEST[31:0] DEST[31:0] + SRC[31:0];
DEST[63:32] DEST[63:32] + SRC[63:32];
P AD D D (w it h 1 2 8 -b it o p e ra n d s)
DEST[31:0] DEST[31:0] + SRC[31:0];
(* Repeat add operation for 2nd and 3th doubleword *)
DEST[127:96] DEST[127:96] + SRC[127:96];
VP AD D D (VE X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] SRC1[31:0]+SRC2[31:0]
DEST[63:32] SRC1[63:32]+SRC2[63:32]
DEST[95:64] SRC1[95:64]+SRC2[95:64]
DEST[127:96] SRC1[127:96]+SRC2[127:96]
DEST[VLMAX-1:128] 0
VP AD D D (VE X.2 5 6 e n co d e d in st ru ct io n )
DEST[31:0] SRC1[31:0] +SRC2[31:0];
(* Repeat add operation for 2nd and 7th doubleword *)
DEST[255:224] SRC1[255:224] +SRC2[255:224];
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t s
PADDB: __m64 _mm_add_pi8(__m64 m1, __m64 m2)
(V)PADDB: __m128i _mm_add_epi8 (__m128ia,__m128ib )
VPADDB: __m256i _mm256_add_epi8 (__m256ia,__m256i b )
PADDW: __m64 _mm_add_pi16(__m64 m1, __m64 m2)
(V)PADDW: __m128i _mm_add_epi16 ( __m128i a, __m128i b)
PADDB/PADDW/PADDDAdd Packed Integers
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-41
VPADDW: __m256i _mm256_add_epi16 ( __m256i a, __m256i b)
PADDD: __m64 _mm_add_pi32(__m64 m1, __m64 m2)
(V)PADDD: __m128i _mm_add_epi32 ( __m128i a, __m128i b)
VPADDD: __m256i _mm256_add_epi32 ( __m256i a, __m256i b)
F la g s Af fe ct e d
None.
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PADDQAdd Packed Quadword Integers
INSTRUCTION SET REFERENCE, N-Z
4-42 Vol. 2B
P AD D Q Ad d P a cke d Q u a d w o rd I n t e g e rs
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Adds the first operand (destination operand) to the second operand (source operand) and stores the result in the
destination operand. The source operand can be a quadword integer stored in an MMX technology register or a 64-
bit memory location, or it can be two packed quadword integers stored in an XMM register or an 128-bit memory
location. The destination operand can be a quadword integer stored in an MMX technology register or two packed
quadword integers stored in an XMM register. When packed quadword operands are used, a SIMD add is
performed. When a quadword result is too large to be represented in 64 bits (overflow), the result is wrapped
around and the low 64 bits are written to the destination element (that is, the carry is ignored).
Note that the (V)PADDQ instruction can operate on either unsigned or signed (twos complement notation) inte-
gers; however, it does not set bits in the EFLAGS register to indicate overflow and/or a carry. To prevent undetected
overflow conditions, software must control the ranges of the values operated on.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
128-bit Legacy SSE version: The first source operand is an XMM register. The second operand can be an XMM
register or a 128-bit memory location. The destination is not distinct from the first source XMM register and the
upper bits (VLMAX-1:128) of the corresponding YMM register destination are unmodified.
VEX.128 encoded version: The first source operand is an XMM register. The second source operand is an XMM
register or 128-bit memory location. The destination operand is an XMM register. The upper bits (VLMAX-1:128) of
the corresponding YMM register destination are zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand is a YMM register
or a 256-bit memory location. The destination operand is a YMM register.
Note: VEX.L must be 0, otherwise the instruction will #UD.
O p e ra t io n
P AD D Q (w it h 6 4 -B it o p e ra n d s)
DEST[63:0] DEST[63:0] + SRC[63:0];
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F D4 /r
1
PADDQ mm1, mm2/m64
RM V/V SSE2 Add quadword integer mm2/m64 to mm1.
66 0F D4 /r
PADDQ xmm1, xmm2/m128
RM V/V SSE2 Add packed quadword integers xmm2/m128
to xmm1.
VEX.NDS.128.66.0F.WIG D4 /r
VPADDQ xmm1, xmm2, xmm3/m128
RVM V/V AVX Add packed quadword integers xmm3/m128
and xmm2.
VEX.NDS.256.66.0F.WIG D4 /r
VPADDQ ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Add packed quadword integers from ymm2,
ymm3/m256 and store in ymm1.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
PADDQAdd Packed Quadword Integers
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-43
P AD D Q (w it h 1 2 8 -B it o p e ra n d s)
DEST[63:0] DEST[63:0] + SRC[63:0];
DEST[127:64] DEST[127:64] + SRC[127:64];
VP AD D Q (VE X.1 2 8 e n co d e d in st ru ct io n )
DEST[63:0] SRC1[63:0] +SRC2[63:0];
DEST[127:64] SRC1[127:64] +SRC2[127:64];
DEST[VLMAX-1:128] 0;
VP AD D Q (VE X.2 5 6 e n co d e d in st ru ct io n )
DEST[63:0] SRC1[63:0] +SRC2[63:0];
DEST[127:64] SRC1[127:64] +SRC2[127:64];
DEST[191:128] SRC1[191:128] +SRC2[191:128];
DEST[255:192] SRC1[255:192] +SRC2[255:192];
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t s
PADDQ: __m64 _mm_add_si64 (__m64 a, __m64 b)
(V)PADDQ: __m128i _mm_add_epi64 ( __m128i a, __m128i b)
VPADDQ: __m256i _mm256_add_epi64 ( __m256i a, __m256i b)
F la g s Af fe ct e d
None.
N u m e ric E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PADDSB/PADDSWAdd Packed Signed Integers with Signed Saturation
INSTRUCTION SET REFERENCE, N-Z
4-44 Vol. 2B
P AD D S B /P AD D S WAd d P a cke d S ig n e d I n t e g e rs w it h S ig n e d S a t u ra t io n
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a SIMD add of the packed signed integers from the source operand (second operand) and the destination
operand (first operand), and stores the packed integer results in the destination operand. See Figure 9-4 in the
Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1, for an illustration of a SIMD operation.
Overflow is handled with signed saturation, as described in the following paragraphs.
The PADDSB instruction adds packed signed byte integers. When an individual byte result is beyond the range of a
signed byte integer (that is, greater than 7FH or less than 80H), the saturated value of 7FH or 80H, respectively, is
written to the destination operand.
The PADDSW instruction adds packed signed word integers. When an individual word result is beyond the range of
a signed word integer (that is, greater than 7FFFH or less than 8000H), the saturated value of 7FFFH or 8000H,
respectively, is written to the destination operand.
These instructions can operate on either 64-bit, 128-bit or 256-bit operands. When operating on 64-bit operands,
the destination operand must be an MMX technology register and the source operand can be either an MMX tech-
nology register or a 64-bit memory location. In 64-bit mode, using a REX prefix in the form of REX.R permits this
instruction to access additional registers (XMM8-XMM15).
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F EC /r
1
PADDSB mm, mm/m64
RM V/V MMX Add packed signed byte integers from
mm/m64 and mm and saturate the results.
66 0F EC /r
PADDSB xmm1, xmm2/m128
RM V/V SSE2 Add packed signed byte integers from
xmm2/m128 and xmm1 saturate the results.
0F ED /r
1
PADDSW mm, mm/m64
RM V/V MMX Add packed signed word integers from
mm/m64 and mm and saturate the results.
66 0F ED /r
PADDSW xmm1, xmm2/m128
RM V/V SSE2 Add packed signed word integers from
xmm2/m128 and xmm1 and saturate the
results.
VEX.NDS.128.66.0F.WIG EC /r
VPADDSB xmm1, xmm2, xmm3/m128
RVM V/V AVX Add packed signed byte integers from
xmm3/m128 and xmm2 saturate the results.
VEX.NDS.128.66.0F.WIG ED /r
VPADDSW xmm1, xmm2, xmm3/m128
RVM V/V AVX Add packed signed word integers from
xmm3/m128 and xmm2 and saturate the
results.
VEX.NDS.256.66.0F.WIG EC /r
VPADDSB ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Add packed signed byte integers fromymm2,
and ymm3/m256 and store the saturated
results in ymm1.
VEX.NDS.256.66.0F.WIG ED /r
VPADDSW ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Add packed signed word integers fromymm2,
and ymm3/m256 and store the saturated
results in ymm1.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m(r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
PADDSB/PADDSWAdd Packed Signed Integers with Signed Saturation
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-45
128-bit Legacy SSE version: The first source operand is an XMM register. The second operand can be an XMM
register or a 128-bit memory location. The destination is not distinct from the first source XMM register and the
upper bits (VLMAX-1:128) of the corresponding YMM register destination are unmodified.
VEX.128 encoded version: The first source operand is an XMM register. The second source operand is an XMM
register or 128-bit memory location. The destination operand is an XMM register. The upper bits (VLMAX-1:128) of
the corresponding YMM register destination are zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand is a YMM register
or a 256-bit memory location. The destination operand is a YMM register.
Note: VEX.L must be 0, otherwise the instruction will #UD.
O p e ra t io n
P AD D S B (w it h 6 4 -b it o p e ra n d s)
DEST[7:0] SaturateToSignedByte(DEST[7:0] + SRC (7:0]);
(* Repeat add operation for 2nd through 7th bytes *)
DEST[63:56] SaturateToSignedByte(DEST[63:56] + SRC[63:56] );
P AD D S B (w it h 1 2 8 -b it o p e ra n d s)
DEST[7:0] SaturateToSignedByte (DEST[7:0] + SRC[7:0]);
(* Repeat add operation for 2nd through 14th bytes *)
DEST[127:120] SaturateToSignedByte (DEST[111:120] + SRC[127:120]);
VP AD D S B (V E X.1 2 8 e n co d e d v e rsio n )
DEST[7:0] SaturateToSignedByte (SRC1[7:0] +SRC2[7:0]);
(* Repeat subtract operation for 2nd through 14th bytes *)
DEST[127:120] SaturateToSignedByte (SRC1[111:120] +SRC2[127:120]);
DEST[VLMAX-1:128] 0
VP AD D S B (V E X.2 5 6 e n co d e d v e rsio n )
DEST[7:0] SaturateToSignedByte (SRC1[7:0] +SRC2[7:0]);
(* Repeat add operation for 2nd through 31st bytes *)
DEST[255:248] SaturateToSignedByte (SRC1[255:248] +SRC2[255:248]);
P AD D S W (w it h 6 4 -b it o p e ra n d s)
DEST[15:0] SaturateToSignedWord(DEST[15:0] + SRC[15:0] );
(* Repeat add operation for 2nd and 7th words *)
DEST[63:48] SaturateToSignedWord(DEST[63:48] + SRC[63:48] );
P AD D S W (w it h 1 2 8 -b it o p e ra n d s)
DEST[15:0] SaturateToSignedWord (DEST[15:0] + SRC[15:0]);
(* Repeat add operation for 2nd through 7th words *)
DEST[127:112] SaturateToSignedWord (DEST[127:112] + SRC[127:112]);
VP AD D S W (VE X.1 2 8 e n co d e d v e rsio n )
DEST[15:0] SaturateToSignedWord (SRC1[15:0] +SRC2[15:0]);
(* Repeat subtract operation for 2nd through 7th words *)
DEST[127:112] SaturateToSignedWord (SRC1[127:112] +SRC2[127:112]);
DEST[VLMAX-1:128] 0
VP AD D S W (VE X.2 5 6 e n co d e d v e rsio n )
DEST[15:0] SaturateToSignedWord (SRC1[15:0] +SRC2[15:0]);
(* Repeat add operation for 2nd through 15th words *)
DEST[255:240] SaturateToSignedWord (SRC1[255:240] +SRC2[255:240])
PADDSB/PADDSWAdd Packed Signed Integers with Signed Saturation
INSTRUCTION SET REFERENCE, N-Z
4-46 Vol. 2B
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t s
PADDSB: __m64 _mm_adds_pi8(__m64 m1, __m64 m2)
(V)PADDSB: __m128i _mm_adds_epi8 ( __m128i a, __m128i b)
VPADDSB: __m256i _mm256_adds_epi8 ( __m256i a, __m256i b)
PADDSW: __m64 _mm_adds_pi16(__m64 m1, __m64 m2)
(V)PADDSW: __m128i _mm_adds_epi16 ( __m128i a, __m128i b)
VPADDSW: __m256i _mm256_adds_epi16 ( __m256i a, __m256i b)
F la g s Af fe ct e d
None.
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PADDUSB/PADDUSWAdd Packed Unsigned Integers with Unsigned Saturation
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-47
P AD D U S B /P AD D U S WAd d P a cke d U n sig n e d I n t e g e rs w it h U n sig n e d S a t u ra t io n
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a SIMD add of the packed unsigned integers from the source operand (second operand) and the destina-
tion operand (first operand), and stores the packed integer results in the destination operand. See Figure 9-4 in the
Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1, for an illustration of a SIMD operation.
Overflow is handled with unsigned saturation, as described in the following paragraphs.
The (V)PADDUSB instruction adds packed unsigned byte integers. When an individual byte result is beyond the
range of an unsigned byte integer (that is, greater than FFH), the saturated value of FFH is written to the destina-
tion operand.
The (V)PADDUSW instruction adds packed unsigned word integers. When an individual word result is beyond the
range of an unsigned word integer (that is, greater than FFFFH), the saturated value of FFFFH is written to the
destination operand.
These instructions can operate on either 64-bit, 128-bit or 256-bit operands. When operating on 64-bit operands,
the destination operand must be an MMX technology register and the source operand can be either an MMX tech-
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F DC /r
1
PADDUSB mm, mm/m64
RM V/V MMX Add packed unsigned byte integers from
mm/m64 and mm and saturate the results.
66 0F DC /r
PADDUSB xmm1, xmm2/m128
RM V/V SSE2 Add packed unsigned byte integers from
xmm2/m128 and xmm1 saturate the results.
0F DD /r
1
PADDUSW mm, mm/m64
RM V/V MMX Add packed unsigned word integers from
mm/m64 and mm and saturate the results.
66 0F DD /r
PADDUSW xmm1, xmm2/m128
RM V/V SSE2 Add packed unsigned word integers from
xmm2/m128 to xmm1 and saturate the
results.
VEX.NDS.128.660F.WIG DC /r
VPADDUSB xmm1, xmm2, xmm3/m128
RVM V/V AVX Add packed unsigned byte integers from
xmm3/m128 to xmm2 and saturate the
results.
VEX.NDS.128.66.0F.WIG DD /r
VPADDUSW xmm1, xmm2, xmm3/m128
RVM V/V AVX Add packed unsigned word integers from
xmm3/m128 to xmm2 and saturate the
results.
VEX.NDS.256.66.0F.WIG DC /r
VPADDUSB ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Add packed unsigned byte integers from
ymm2, and ymm3/m256 and store the
saturated results in ymm1.
VEX.NDS.256.66.0F.WIG DD /r
VPADDUSW ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Add packed unsigned word integers from
ymm2, and ymm3/m256 and store the
saturated results in ymm1.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
PADDUSB/PADDUSWAdd Packed Unsigned Integers with Unsigned Saturation
INSTRUCTION SET REFERENCE, N-Z
4-48 Vol. 2B
nology register or a 64-bit memory location. In 64-bit mode, using a REX prefix in the form of REX.R permits this
instruction to access additional registers (XMM8-XMM15).
128-bit Legacy SSE version: The first source operand is an XMM register. The second operand can be an XMM
register or a 128-bit memory location. The destination is not distinct from the first source XMM register and the
upper bits (VLMAX-1:128) of the corresponding YMM register destination are unmodified.
VEX.128 encoded version: The first source operand is an XMM register. The second source operand is an XMM
register or 128-bit memory location. The destination operand is an XMM register. The upper bits (VLMAX-1:128) of
the corresponding YMM register destination are zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand is a YMM register
or a 256-bit memory location. The destination operand is a YMM register.
Note: VEX.L must be 0, otherwise the instruction will #UD.
O p e ra t io n
P AD D U S B (w it h 6 4 -b it o p e ra n d s)
DEST[7:0] SaturateToUnsignedByte(DEST[7:0] + SRC (7:0] );
(* Repeat add operation for 2nd through 7th bytes *)
DEST[63:56] SaturateToUnsignedByte(DEST[63:56] + SRC[63:56]
P AD D U S B (w it h 1 2 8 -b it o p e ra n d s)
DEST[7:0] SaturateToUnsignedByte (DEST[7:0] + SRC[7:0]);
(* Repeat add operation for 2nd through 14th bytes *)
DEST[127:120] SaturateToUnSignedByte (DEST[127:120] + SRC[127:120]);
VP AD D U S B (VE X.1 2 8 e n co d e d v e rsio n )
DEST[7:0] SaturateToUnsignedByte (SRC1[7:0] +SRC2[7:0]);
(* Repeat subtract operation for 2nd through 14th bytes *)
DEST[127:120] SaturateToUnsignedByte (SRC1[111:120] +SRC2[127:120]);
DEST[VLMAX-1:128] 0
VP AD D U S B (VE X.2 5 6 e n co d e d v e rsio n )
DEST[7:0] SaturateToUnsignedByte (SRC1[7:0] +SRC2[7:0]);
(* Repeat add operation for 2nd through 31st bytes *)
DEST[255:248] SaturateToUnsignedByte (SRC1[255:248] +SRC2[255:248]);
P AD D U S W (w it h 6 4 -b it o p e ra n d s)
DEST[15:0] SaturateToUnsignedWord(DEST[15:0] + SRC[15:0] );
(* Repeat add operation for 2nd and 3rd words *)
DEST[63:48] SaturateToUnsignedWord(DEST[63:48] + SRC[63:48] );
P AD D U S W (w it h 1 2 8 -b it o p e ra n d s)
DEST[15:0] SaturateToUnsignedWord (DEST[15:0] + SRC[15:0]);
(* Repeat add operation for 2nd through 7th words *)
DEST[127:112] SaturateToUnSignedWord (DEST[127:112] + SRC[127:112]);
VP AD D U S W (V E X.1 2 8 e n co d e d v e rsio n )
DEST[15:0] SaturateToUnsignedWord (SRC1[15:0] +SRC2[15:0]);
(* Repeat subtract operation for 2nd through 7th words *)
DEST[127:112] SaturateToUnsignedWord (SRC1[127:112] +SRC2[127:112]);
DEST[VLMAX-1:128] 0
VP AD D U S W (V E X.2 5 6 e n co d e d v e rsio n )
DEST[15:0] SaturateToUnsignedWord (SRC1[15:0] +SRC2[15:0]);
(* Repeat add operation for 2nd through 15th words *)
DEST[255:240] SaturateToUnsignedWord (SRC1[255:240] +SRC2[255:240])
PADDUSB/PADDUSWAdd Packed Unsigned Integers with Unsigned Saturation
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-49
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t s
PADDUSB: __m64 _mm_adds_pu8(__m64 m1, __m64 m2)
PADDUSW: __m64 _mm_adds_pu16(__m64 m1, __m64 m2)
(V)PADDUSB: __m128i _mm_adds_epu8 ( __m128i a, __m128i b)
(V)PADDUSW: __m128i _mm_adds_epu16 ( __m128i a, __m128i b)
VPADDUSB: __m256i _mm256_adds_epu8 ( __m256i a, __m256i b)
VPADDUSW: __m256i _mm256_adds_epu16 ( __m256i a, __m256i b)
F la g s Af fe ct e d
None.
N u m e ric E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PALIGNR Packed Align Right
INSTRUCTION SET REFERENCE, N-Z
4-50 Vol. 2B
P ALI G N R P a cke d Alig n R ig h t
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
(V)PALIGNR concatenates the destination operand (the first operand) and the source operand (the second
operand) into an intermediate composite, shifts the composite at byte granularity to the right by a constant imme-
diate, and extracts the right-aligned result into the destination. The first and the second operands can be an MMX,
XMM or a YMM register. The immediate value is considered unsigned. Immediate shift counts larger than the 2L
(i.e. 32 for 128-bit operands, or 16 for 64-bit operands) produce a zero result. Both operands can be MMX regis-
ters, XMM registers or YMM registers. When the source operand is a 128-bit memory operand, the operand must
be aligned on a 16-byte boundary or a general-protection exception (#GP) will be generated.
In 64-bit mode, use the REX prefix to access additional registers.
128-bit Legacy SSE version: Bits (VLMAX-1:128) of the corresponding YMM destination register remain
unchanged.
VEX.128 encoded version: The first source operand is an XMM register. The second source operand is an XMM
register or 128-bit memory location. The destination operand is an XMM register. The upper bits (VLMAX-1:128) of
the corresponding YMM register destination are zeroed.
VEX.256 encoded version: The first source operand is a YMM register and contains two 16-byte blocks. The second
source operand is a YMM register or a 256-bit memory location containing two 16-byte block. The destination
operand is a YMM register and contain two 16-byte results. The imm8[7:0] is the common shift count used for the
two lower 16-byte block sources and the two upper 16-byte block sources. The low 16-byte block of the two source
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 3A 0F /r ib
1
PALIGNR mm1, mm2/m64, imm8
RMI V/V SSSE3 Concatenate destination and source
operands, extract byte-aligned result shifted
to the right by constant value in imm8 into
mm1.
66 0F 3A 0F /r ib
PALIGNR xmm1, xmm2/m128, imm8
RMI V/V SSSE3 Concatenate destination and source
operands, extract byte-aligned result shifted
to the right by constant value in imm8 into
xmm1.
VEX.NDS.128.66.0F3A.WIG 0F /r ib
VPALIGNR xmm1, xmm2, xmm3/m128, imm8
RVMI V/V AVX Concatenate xmm2 and xmm3/m128, extract
byte aligned result shifted to the right by
constant value in imm8 and result is stored in
xmm1.
VEX.NDS.256.66.0F3A.WIG 0F /r ib
VPALIGNR ymm1, ymm2, ymm3/m256, imm8
RVMI V/V AVX2 Concatenate pairs of 16 bytes in ymm2 and
ymm3/m256 into 32-byte intermediate
result, extract byte-aligned, 16-byte result
shifted to the right by constant values in
imm8 fromeach intermediate result, and two
16-byte results are stored in ymm1.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RMI ModRM:reg (r, w) ModRM:r/m (r) imm8 NA
RVMI ModRM:reg (w) VEX.vvvv (r) ModRM:r/m(r) imm8
PALIGNR Packed Align Right
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-51
operands produce the low 16-byte result of the destination operand, the high 16-byte block of the two source oper-
ands produce the high 16-byte result of the destination operand.
Concatenation is done with 128-bit data in the first and second source operand for both 128-bit and 256-bit
instructions. The high 128-bits of the intermediate composite 256-bit result came from the 128-bit data from the
first source operand; the low 128-bits of the intermediate result came from the 128-bit data of the second source
operand.
Note: VEX.L must be 0, otherwise the instruction will #UD.
F ig u re 4 -3 . 2 5 6 -b it V P ALI G N I n st ru ct io n O p e ra t io n
O p e ra t io n
P ALI G N R (w it h 6 4 -b it o p e ra n d s)
temp1[127:0] =CONCATENATE(DEST,SRC)>>(imm8*8)
DEST[63:0] =temp1[63:0]
P ALI G N R (w it h 1 2 8 -b it o p e ra n d s)
temp1[255:0] ((DEST[127:0] <<128) OR SRC[127:0])>>(imm8*8);
DEST[127:0] temp1[127:0]
DEST[VLMAX-1:128] (Unmodified)
VP ALI G N R (V E X.1 2 8 e n co d e d v e rsio n )
temp1[255:0] ((SRC1[127:0] <<128) OR SRC2[127:0])>>(imm8*8);
DEST[127:0] temp1[127:0]
DEST[VLMAX-1:128] 0
VP ALI G N R (V E X.2 5 6 e n co d e d v e rsio n )
temp1[255:0] ((SRC1[127:0] <<128) OR SRC2[127:0])>>(imm8[7:0]*8);
DEST[127:0] temp1[127:0]
temp1[255:0] ((SRC1[255:128] <<128) OR SRC2[255:128])>>(imm8[7:0]*8);
DEST[255:128] temp1[127:0]
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t s
PALIGNR: __m64 _mm_alignr_pi8 (__m64 a, __m64 b, int n)
(V)PALIGNR: __m128i _mm_alignr_epi8 (__m128i a, __m128i b, int n)
VPALIGNR: __m256i _mm256_alignr_epi8 (__m256i a, __m256i b, const int n)
127 0
SRC1
Imm8[7:0]*8
Imm8[7:0]*8
127 0
SRC2
255 128
SRC1
255 128
SRC2
255 128
DEST
127 0
DEST
PALIGNR Packed Align Right
INSTRUCTION SET REFERENCE, N-Z
4-52 Vol. 2B
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PANDLogical AND
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-53
P AN D Lo g ica l AN D
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a bitwise logical AND operation on the first source operand and second source operand and stores the
result in the destination operand. Each bit of the result is set to 1 if the corresponding bits of the first and second
operands are 1, otherwise it is set to 0.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
Legacy SSE instructions: The source operand can be an MMX technology register or a 64-bit memory location. The
destination operand can be an MMX technology register.
128-bit Legacy SSE version: The first source operand is an XMM register. The second operand can be an XMM
register or a 128-bit memory location. The destination is not distinct from the first source XMM register and the
upper bits (VLMAX-1:128) of the corresponding YMM register destination are unmodified.
VEX.128 encoded version: The first source operand is an XMM register. The second source operand is an XMM
register or 128-bit memory location. The destination operand is an XMM register. The upper bits (VLMAX-1:128) of
the corresponding YMM register destination are zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand is a YMM register
or a 256-bit memory location. The destination operand is a YMM register.
Note: VEX.L must be 0, otherwise the instruction will #UD.
O p e ra t io n
P AN D (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST DEST AND SRC
DEST[VLMAX-1:128] (Unmodified)
VP AN D (VE X.1 2 8 e n co d e d v e rsio n )
DEST SRC1 AND SRC2
DEST[VLMAX-1:128] 0
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F DB /r
1
PAND mm, mm/m64
RM V/V MMX Bitwise AND mm/m64 and mm.
66 0F DB /r
PAND xmm1, xmm2/m128
RM V/V SSE2 Bitwise AND of xmm2/m128 and xmm1.
VEX.NDS.128.66.0F.WIG DB /r
VPAND xmm1, xmm2, xmm3/m128
RVM V/V AVX Bitwise AND of xmm3/m128 and xmm.
VEX.NDS.256.66.0F.WIG DB /r
VPAND ymm1, ymm2, ymm3/.m256
RVM V/V AVX2 Bitwise AND of ymm2, and ymm3/m256 and
store result in ymm1.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m(r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
PANDLogical AND
INSTRUCTION SET REFERENCE, N-Z
4-54 Vol. 2B
VP AN D (VE X.2 5 6 e n co d e d in st ru ct io n )
DEST[255:0] (SRC1[255:0] AND SRC2[255:0])
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
PAND: __m64 _mm_and_si64 (__m64 m1, __m64 m2)
(V)PAND: __m128i _mm_and_si128 ( __m128i a, __m128i b)
VPAND: __m256i _mm256_and_si256 ( __m256i a, __m256i b)
F la g s Af fe ct e d
None.
N u m e ric E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PANDNLogical AND NOT
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-55
P AN D N Lo g ica l AN D N O T
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a bitwise logical NOT operation on the first source operand, then performs bitwise AND with second
source operand and stores the result in the destination operand. Each bit of the result is set to 1 if the corre-
sponding bit in the first operand is 0 and the corresponding bit in the second operand is 1, otherwise it is set to 0.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
Legacy SSE instructions: The source operand can be an MMX technology register or a 64-bit memory location. The
destination operand can be an MMX technology register.
128-bit Legacy SSE version: The first source operand is an XMM register. The second operand can be an XMM
register or a 128-bit memory location. The destination is not distinct from the first source XMM register and the
upper bits (VLMAX-1:128) of the corresponding YMM register destination are unmodified.
VEX.128 encoded version: The first source operand is an XMM register. The second source operand is an XMM
register or 128-bit memory location. The destination operand is an XMM register. The upper bits (VLMAX-1:128) of
the corresponding YMM register destination are zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand is a YMM register
or a 256-bit memory location. The destination operand is a YMM register.
Note: VEX.L must be 0, otherwise the instruction will #UD.
O p e ra t io n
P AN D N (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST NOT(DEST) AND SRC
DEST[VLMAX-1:128] (Unmodified)
VP AN D N (VE X.1 2 8 e n co d e d v e rsio n )
DEST NOT(SRC1) AND SRC2
DEST[VLMAX-1:128] 0
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F DF /r
1
PANDN mm, mm/m64
RM V/V MMX Bitwise AND NOT of mm/m64 and mm.
66 0F DF /r
PANDN xmm1, xmm2/m128
RM V/V SSE2 Bitwise AND NOT of xmm2/m128 and xmm1.
VEX.NDS.128.66.0F.WIG DF /r
VPANDN xmm1, xmm2, xmm3/m128
RVM V/V AVX Bitwise AND NOT of xmm3/m128 and xmm2.
VEX.NDS.256.66.0F.WIG DF /r
VPANDN ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Bitwise AND NOT of ymm2, and ymm3/m256
and store result in ymm1.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
PANDNLogical AND NOT
INSTRUCTION SET REFERENCE, N-Z
4-56 Vol. 2B
VP AN D N (VE X.2 5 6 e n co d e d in st ru ct io n )
DEST[255:0] ((NOT SRC1[255:0]) AND SRC2[255:0])
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
PANDN: __m64 _mm_andnot_si64 (__m64 m1, __m64 m2)
(V)PANDN: __m128i _mm_andnot_si128 ( __m128i a, __m128i b)
VPANDN: __m256i _mm256_andnot_si256 ( __m256i a, __m256i b)
F la g s Af fe ct e d
None.
N u m e ric E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PAUSESpin Loop Hint
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-57
P AU S E S p in Lo o p H in t
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Improves the performance of spin-wait loops. When executing a spin-wait loop, processors will suffer a severe
performance penalty when exiting the loop because it detects a possible memory order violation. The PAUSE
instruction provides a hint to the processor that the code sequence is a spin-wait loop. The processor uses this hint
to avoid the memory order violation in most situations, which greatly improves processor performance. For this
reason, it is recommended that a PAUSE instruction be placed in all spin-wait loops.
An additional function of the PAUSE instruction is to reduce the power consumed by a processor while executing a
spin loop. A processor can execute a spin-wait loop extremely quickly, causing the processor to consume a lot of
power while it waits for the resource it is spinning on to become available. Inserting a pause instruction in a spin-
wait loop greatly reduces the processors power consumption.
This instruction was introduced in the Pentium 4 processors, but is backward compatible with all IA-32 processors.
In earlier IA-32 processors, the PAUSE instruction operates like a NOP instruction. The Pentium 4 and Intel Xeon
processors implement the PAUSE instruction as a delay. The delay is finite and can be zero for some processors.
This instruction does not change the architectural state of the processor (that is, it performs essentially a delaying
no-op operation).
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
Execute_Next_Instruction(DELAY);
N u m e ric E x ce p t io n s
None.
E x ce p t io n s (All O p e ra t in g Mo d e s)
#UD If the LOCK prefix is used.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
F3 90 PAUSE NP Valid Valid Gives hint to processor that improves
performance of spin-wait loops.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
PAVGB/PAVGWAverage Packed Integers
INSTRUCTION SET REFERENCE, N-Z
4-58 Vol. 2B
P AVG B /P AVG WAv e ra g e P a cke d I n t e g e rs
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a SIMD average of the packed unsigned integers from the source operand (second operand) and the
destination operand (first operand), and stores the results in the destination operand. For each corresponding pair
of data elements in the first and second operands, the elements are added together, a 1 is added to the temporary
sum, and that result is shifted right one bit position.
The (V)PAVGB instruction operates on packed unsigned bytes and the (V)PAVGW instruction operates on packed
unsigned words.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
Legacy SSE instructions: The source operand can be an MMX technology register or a 64-bit memory location. The
destination operand can be an MMX technology register.
128-bit Legacy SSE version: The first source operand is an XMM register. The second operand can be an XMM
register or a 128-bit memory location. The destination is not distinct from the first source XMM register and the
upper bits (VLMAX-1:128) of the corresponding YMM register destination are unmodified.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F E0 /r
1
PAVGB mm1, mm2/m64
RM V/V SSE Average packed unsigned byte integers from
mm2/m64 and mm1 with rounding.
66 0F E0, /r
PAVGB xmm1, xmm2/m128
RM V/V SSE2 Average packed unsigned byte integers from
xmm2/m128 and xmm1 with rounding.
0F E3 /r
1
PAVGW mm1, mm2/m64
RM V/V SSE Average packed unsigned word integers from
mm2/m64 and mm1 with rounding.
66 0F E3 /r
PAVGW xmm1, xmm2/m128
RM V/V SSE2 Average packed unsigned word integers from
xmm2/m128 and xmm1 with rounding.
VEX.NDS.128.66.0F.WIG E0 /r
VPAVGB xmm1, xmm2, xmm3/m128
RVM V/V AVX Average packed unsigned byte integers from
xmm3/m128 and xmm2 with rounding.
VEX.NDS.128.66.0F.WIG E3 /r
VPAVGW xmm1, xmm2, xmm3/m128
RVM V/V AVX Average packed unsigned word integers from
xmm3/m128 and xmm2 with rounding.
VEX.NDS.256.66.0F.WIG E0 /r
VPAVGB ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Average packed unsigned byte integers from
ymm2, and ymm3/m256 with rounding and
store to ymm1.
VEX.NDS.256.66.0F.WIG E3 /r
VPAVGW ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Average packed unsigned word integers from
ymm2, ymm3/m256 with rounding to ymm1.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
PAVGB/PAVGWAverage Packed Integers
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-59
VEX.128 encoded version: The first source operand is an XMM register. The second source operand is an XMM
register or 128-bit memory location. The destination operand is an XMM register. The upper bits (VLMAX-1:128) of
the corresponding YMM register destination are zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand is a YMM register
or a 256-bit memory location. The destination operand is a YMM register.
O p e ra t io n
P AVG B (w it h 6 4 -b it o p e ra n d s)
DEST[7:0] (SRC[7:0] + DEST[7:0] + 1) >> 1; (* Temp sum before shifting is 9 bits *)
(* Repeat operation performed for bytes 2 through 6 *)
DEST[63:56] (SRC[63:56] + DEST[63:56] + 1) >> 1;
P AV G W (w it h 6 4 -b it o p e ra n d s)
DEST[15:0] (SRC[15:0] + DEST[15:0] + 1) >> 1; (* Temp sum before shifting is 17 bits *)
(* Repeat operation performed for words 2 and 3 *)
DEST[63:48] (SRC[63:48] + DEST[63:48] + 1) >> 1;
P AV G B (w it h 1 2 8 -b it o p e ra n d s)
DEST[7:0] (SRC[7:0] + DEST[7:0] + 1) >> 1; (* Temp sum before shifting is 9 bits *)
(* Repeat operation performed for bytes 2 through 14 *)
DEST[127:120] (SRC[127:120] + DEST[127:120] + 1) >> 1;
P AV G W (w it h 1 2 8 -b it o p e ra n d s)
DEST[15:0] (SRC[15:0] + DEST[15:0] + 1) >> 1; (* Temp sum before shifting is 17 bits *)
(* Repeat operation performed for words 2 through 6 *)
DEST[127:112] (SRC[127:112] + DEST[127:112] + 1) >> 1;
VP AVG B (VE X.1 2 8 e n co d e d v e rsio n )
DEST[7:0] (SRC1[7:0] +SRC2[7:0] +1) >>1;
(* Repeat operation performed for bytes 2 through 15 *)
DEST[127:120] (SRC1[127:120] +SRC2[127:120] +1) >>1
DEST[VLMAX-1:128] 0
VP AVG W (VE X.1 2 8 e n co d e d v e rsio n )
DEST[15:0] (SRC1[15:0] +SRC2[15:0] +1) >>1;
(* Repeat operation performed for 16-bit words 2 through 7 *)
DEST[127:112] (SRC1[127:112] +SRC2[127:112] +1) >>1
DEST[VLMAX-1:128] 0
VP AVG B (VE X.2 5 6 e n co d e d in st ru ct io n )
DEST[7:0] (SRC1[7:0] +SRC2[7:0] +1) >>1; (* Temp sum before shifting is 9 bits *)
(* Repeat operation performed for bytes 2 through 31)
DEST[255:248] (SRC1[255:248] +SRC2[255:248] +1) >>1;
VP AVG W (VE X.2 5 6 e n co d e d in st ru ct io n )
DEST[15:0] (SRC1[15:0] +SRC2[15:0] +1) >>1; (* Temp sum before shifting is 17 bits *)
(* Repeat operation performed for words 2 through 15)
DEST[255:14]) (SRC1[255:240] +SRC2[255:240] +1) >>1;
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
PAVGB: __m64 _mm_avg_pu8 (__m64 a, __m64 b)
PAVGW: __m64 _mm_avg_pu16 (__m64 a, __m64 b)
(V)PAVGB: __m128i _mm_avg_epu8 ( __m128i a, __m128i b)
PAVGB/PAVGWAverage Packed Integers
INSTRUCTION SET REFERENCE, N-Z
4-60 Vol. 2B
(V)PAVGW: __m128i _mm_avg_epu16 ( __m128i a, __m128i b)
VPAVGB: __m256i _mm256_avg_epu8 ( __m256i a, __m256i b)
VPAVGW: __m256i _mm256_avg_epu16 ( __m256i a, __m256i b)
F la g s Af fe ct e d
None.
N u m e ric E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PBLENDVB Variable Blend Packed Bytes
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-61
P B LE N D VB Va ria b le B le n d P a cke d B y t e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Conditionally copies byte elements from the source operand (second operand) to the destination operand (first
operand) depending on mask bits defined in the implicit third register argument, XMM0. The mask bits are the
most significant bit in each byte element of the XMM0 register.
If a mask bit is 1", then the corresponding byte element in the source operand is copied to the destination, else
the byte element in the destination operand is left unchanged.
The register assignment of the implicit third operand is defined to be the architectural register XMM0.
128-bit Legacy SSE version: The first source operand and the destination operand is the same. Bits (VLMAX-1:128)
of the corresponding YMM destination register remain unchanged. The mask register operand is implicitly defined
to be the architectural register XMM0. An attempt to execute PBLENDVB with a VEX prefix will cause #UD.
VEX.128 encoded version: The first source operand and the destination operand are XMM registers. The second
source operand is an XMM register or 128-bit memory location. The mask operand is the third source register, and
encoded in bits[7:4] of the immediate byte(imm8). The bits[3:0] of imm8 are ignored. In 32-bit mode, imm8[7] is
ignored. The upper bits (VLMAX-1:128) of the corresponding YMM register (destination register) are zeroed. VEX.L
must be 0, otherwise the instruction will #UD. VEX.W must be 0, otherwise, the instruction will #UD.
VEX.256 encoded version: The first source operand and the destination operand are YMM registers. The second
source operand is an YMM register or 256-bit memory location. The third source register is an YMM register and
encoded in bits[7:4] of the immediate byte(imm8). The bits[3:0] of imm8 are ignored. In 32-bit mode, imm8[7] is
ignored.
VPBLENDVB permits the mask to be any XMM or YMM register. In contrast, PBLENDVB treats XMM0 implicitly as the
mask and do not support non-destructive destination operation. An attempt to execute PBLENDVB encoded with a
VEX prefix will cause a #UD exception.
O p e ra t io n
P B LE N D VB (1 2 8 -b it Le g a cy S S E v e rsio n )
MASK XMM0
IF (MASK[7] =1) THEN DEST[7:0] SRC[7:0];
ELSE DEST[7:0] DEST[7:0];
IF (MASK[15] =1) THEN DEST[15:8] SRC[15:8];
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 38 10 /r
PBLENDVB xmm1, xmm2/m128, <XMM0>
RM V/V SSE4_1 Select byte values from xmm1 and
xmm2/m128 frommask specified in the high
bit of each byte in XMM0 and store the
values into xmm1.
VEX.NDS.128.66.0F3A.W0 4C /r /is4
VPBLENDVB xmm1, xmm2, xmm3/m128, xmm4
RVMR V/V AVX Select byte values from xmm2 and
xmm3/m128 using mask bits in the specified
mask register, xmm4, and store the values
into xmm1.
VEX.NDS.256.66.0F3A.W0 4C /r /is4
VPBLENDVB ymm1, ymm2, ymm3/m256, ymm4
RVMR V/V AVX2 Select byte values from ymm2 and
ymm3/m256 from mask specified in the high
bit of each byte in ymm4 and store the
values into ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) <XMM0> NA
RVMR ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) ModRM:reg (r)
PBLENDVB Variable Blend Packed Bytes
INSTRUCTION SET REFERENCE, N-Z
4-62 Vol. 2B
ELSE DEST[15:8] DEST[15:8];
IF (MASK[23] =1) THEN DEST[23:16] SRC[23:16]
ELSE DEST[23:16] DEST[23:16];
IF (MASK[31] =1) THEN DEST[31:24] SRC[31:24]
ELSE DEST[31:24] DEST[31:24];
IF (MASK[39] =1) THEN DEST[39:32] SRC[39:32]
ELSE DEST[39:32] DEST[39:32];
IF (MASK[47] =1) THEN DEST[47:40] SRC[47:40]
ELSE DEST[47:40] DEST[47:40];
IF (MASK[55] =1) THEN DEST[55:48] SRC[55:48]
ELSE DEST[55:48] DEST[55:48];
IF (MASK[63] =1) THEN DEST[63:56] SRC[63:56]
ELSE DEST[63:56] DEST[63:56];
IF (MASK[71] =1) THEN DEST[71:64] SRC[71:64]
ELSE DEST[71:64] DEST[71:64];
IF (MASK[79] =1) THEN DEST[79:72] SRC[79:72]
ELSE DEST[79:72] DEST[79:72];
IF (MASK[87] =1) THEN DEST[87:80] SRC[87:80]
ELSE DEST[87:80] DEST[87:80];
IF (MASK[95] =1) THEN DEST[95:88] SRC[95:88]
ELSE DEST[95:88] DEST[95:88];
IF (MASK[103] =1) THEN DEST[103:96] SRC[103:96]
ELSE DEST[103:96] DEST[103:96];
IF (MASK[111] =1) THEN DEST[111:104] SRC[111:104]
ELSE DEST[111:104] DEST[111:104];
IF (MASK[119] =1) THEN DEST[119:112] SRC[119:112]
ELSE DEST[119:112] DEST[119:112];
IF (MASK[127] =1) THEN DEST[127:120] SRC[127:120]
ELSE DEST[127:120] DEST[127:120])
DEST[VLMAX-1:128] (Unmodified)
VP B LE N D V B (VE X.1 2 8 e n co d e d v e rsio n )
MASK SRC3
IF (MASK[7] =1) THEN DEST[7:0] SRC2[7:0];
ELSE DEST[7:0] SRC1[7:0];
IF (MASK[15] =1) THEN DEST[15:8] SRC2[15:8];
ELSE DEST[15:8] SRC1[15:8];
IF (MASK[23] =1) THEN DEST[23:16] SRC2[23:16]
ELSE DEST[23:16] SRC1[23:16];
IF (MASK[31] =1) THEN DEST[31:24] SRC2[31:24]
ELSE DEST[31:24] SRC1[31:24];
IF (MASK[39] =1) THEN DEST[39:32] SRC2[39:32]
ELSE DEST[39:32] SRC1[39:32];
IF (MASK[47] =1) THEN DEST[47:40] SRC2[47:40]
ELSE DEST[47:40] SRC1[47:40];
IF (MASK[55] =1) THEN DEST[55:48] SRC2[55:48]
ELSE DEST[55:48] SRC1[55:48];
IF (MASK[63] =1) THEN DEST[63:56] SRC2[63:56]
ELSE DEST[63:56] SRC1[63:56];
IF (MASK[71] =1) THEN DEST[71:64] SRC2[71:64]
ELSE DEST[71:64] SRC1[71:64];
IF (MASK[79] =1) THEN DEST[79:72] SRC2[79:72]
ELSE DEST[79:72] SRC1[79:72];
IF (MASK[87] =1) THEN DEST[87:80] SRC2[87:80]
PBLENDVB Variable Blend Packed Bytes
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-63
ELSE DEST[87:80] SRC1[87:80];
IF (MASK[95] =1) THEN DEST[95:88] SRC2[95:88]
ELSE DEST[95:88] SRC1[95:88];
IF (MASK[103] =1) THEN DEST[103:96] SRC2[103:96]
ELSE DEST[103:96] SRC1[103:96];
IF (MASK[111] =1) THEN DEST[111:104] SRC2[111:104]
ELSE DEST[111:104] SRC1[111:104];
IF (MASK[119] =1) THEN DEST[119:112] SRC2[119:112]
ELSE DEST[119:112] SRC1[119:112];
IF (MASK[127] =1) THEN DEST[127:120] SRC2[127:120]
ELSE DEST[127:120] SRC1[127:120])
DEST[VLMAX-1:128] 0
VP B LE N D VB (VE X.2 5 6 e n co d e d v e rsio n )
MASK SRC3
IF (MASK[7] ==1) THEN DEST[7:0] ? SRC2[7:0];
ELSE DEST[7:0] SRC1[7:0];
IF (MASK[15] ==1) THEN DEST[15:8] ? SRC2[15:8];
ELSE DEST[15:8] SRC1[15:8];
IF (MASK[23] ==1) THEN DEST[23:16] ? SRC2[23:16]
ELSE DEST[23:16] SRC1[23:16];
IF (MASK[31] ==1) THEN DEST[31:24] ? SRC2[31:24]
ELSE DEST[31:24] SRC1[31:24];
IF (MASK[39] ==1) THEN DEST[39:32] ? SRC2[39:32]
ELSE DEST[39:32] SRC1[39:32];
IF (MASK[47] ==1) THEN DEST[47:40] ? SRC2[47:40]
ELSE DEST[47:40] SRC1[47:40];
IF (MASK[55] ==1) THEN DEST[55:48] ? SRC2[55:48]
ELSE DEST[55:48] SRC1[55:48];
IF (MASK[63] ==1) THEN DEST[63:56] ? SRC2[63:56]
ELSE DEST[63:56] SRC1[63:56];
IF (MASK[71] ==1) THEN DEST[71:64] ? SRC2[71:64]
ELSE DEST[71:64] SRC1[71:64];
IF (MASK[79] ==1) THEN DEST[79:72] ? SRC2[79:72]
ELSE DEST[79:72] SRC1[79:72];
IF (MASK[87] ==1) THEN DEST[87:80] ? SRC2[87:80]
ELSE DEST[87:80] SRC1[87:80];
IF (MASK[95] ==1) THEN DEST[95:88] SRC2[95:88]
ELSE DEST[95:88] SRC1[95:88];
IF (MASK[103] ==1) THEN DEST[103:96] SRC2[103:96]
ELSE DEST[103:96] SRC1[103:96];
IF (MASK[111] ==1) THEN DEST[111:104] SRC2[111:104]
ELSE DEST[111:104] SRC1[111:104];
IF (MASK[119] ==1) THEN DEST[119:112] SRC2[119:112]
ELSE DEST[119:112] SRC1[119:112];
IF (MASK[127] ==1) THEN DEST[127:120] SRC2[127:120]
ELSE DEST[127:120] SRC1[127:120])
IF (MASK[135] ==1) THEN DEST[135:128] SRC2[135:128];
ELSE DEST[135:128] SRC1[135:128];
IF (MASK[143] ==1) THEN DEST[143:136] SRC2[143:136];
ELSE DEST[[143:136] SRC1[143:136];
IF (MASK[151] ==1) THEN DEST[151:144] SRC2[151:144]
ELSE DEST[151:144] SRC1[151:144];
IF (MASK[159] ==1) THEN DEST[159:152] SRC2[159:152]
PBLENDVB Variable Blend Packed Bytes
INSTRUCTION SET REFERENCE, N-Z
4-64 Vol. 2B
ELSE DEST[159:152] SRC1[159:152];
IF (MASK[167] ==1) THEN DEST[167:160] SRC2[167:160]
ELSE DEST[167:160] SRC1[167:160];
IF (MASK[175] ==1) THEN DEST[175:168] SRC2[175:168]
ELSE DEST[175:168] SRC1[175:168];
IF (MASK[183] ==1) THEN DEST[183:176] SRC2[183:176]
ELSE DEST[183:176] SRC1[183:176];
IF (MASK[191] ==1) THEN DEST[191:184] SRC2[191:184]
ELSE DEST[191:184] SRC1[191:184];
IF (MASK[199] ==1) THEN DEST[199:192] SRC2[199:192]
ELSE DEST[199:192] SRC1[199:192];
IF (MASK[207] ==1) THEN DEST[207:200] SRC2[207:200]
ELSE DEST[207:200] SRC1[207:200]
IF (MASK[215] ==1) THEN DEST[215:208] SRC2[215:208]
ELSE DEST[215:208] SRC1[215:208];
IF (MASK[223] ==1) THEN DEST[223:216] SRC2[223:216]
ELSE DEST[223:216] SRC1[223:216];
IF (MASK[231] ==1) THEN DEST[231:224] SRC2[231:224]
ELSE DEST[231:224] SRC1[231:224];
IF (MASK[239] ==1) THEN DEST[239:232] SRC2[239:232]
ELSE DEST[239:232] SRC1[239:232];
IF (MASK[247] ==1) THEN DEST[247:240] SRC2[247:240]
ELSE DEST[247:240] SRC1[247:240];
IF (MASK[255] ==1) THEN DEST[255:248] SRC2[255:248]
ELSE DEST[255:248] SRC1[255:248]
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
(V)PBLENDVB: __m128i _mm_blendv_epi8 (__m128i v1, __m128i v2, __m128i mask);
VPBLENDVB: __m256i _mm256_blendv_epi8 (__m256i v1, __m256i v2, __m256i mask);
F la g s Af fe ct e d
None.
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
If VEX.W = 1.
PBLENDW Blend Packed Words
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-65
P B LE N D W B le n d P a cke d Wo rd s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Words from the source operand (second operand) are conditionally written to the destination operand (first
operand) depending on bits in the immediate operand (third operand). The immediate bits (bits 7:0) form a mask
that determines whether the corresponding word in the destination is copied from the source. If a bit in the mask,
corresponding to a word, is 1", then the word is copied, else the word element in the destination operand is
unchanged.
128-bit Legacy SSE version: The second source operand can be an XMM register or a 128-bit memory location. The
first source and destination operands are XMM registers. Bits (VLMAX-1:128) of the corresponding YMM destination
register remain unchanged.
VEX.128 encoded version: The second source operand can be an XMM register or a 128-bit memory location. The
first source and destination operands are XMM registers. Bits (VLMAX-1:128) of the corresponding YMM register
are zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand is a YMM register
or a 256-bit memory location. The destination operand is a YMM register.
O p e ra t io n
P B LE N D W (1 2 8 -b it Le g a cy S S E v e rsio n )
IF (imm8[0] =1) THEN DEST[15:0] SRC[15:0]
ELSE DEST[15:0] DEST[15:0]
IF (imm8[1] =1) THEN DEST[31:16] SRC[31:16]
ELSE DEST[31:16] DEST[31:16]
IF (imm8[2] =1) THEN DEST[47:32] SRC[47:32]
ELSE DEST[47:32] DEST[47:32]
IF (imm8[3] =1) THEN DEST[63:48] SRC[63:48]
ELSE DEST[63:48] DEST[63:48]
IF (imm8[4] =1) THEN DEST[79:64] SRC[79:64]
ELSE DEST[79:64] DEST[79:64]
IF (imm8[5] =1) THEN DEST[95:80] SRC[95:80]
ELSE DEST[95:80] DEST[95:80]
IF (imm8[6] =1) THEN DEST[111:96] SRC[111:96]
ELSE DEST[111:96] DEST[111:96]
IF (imm8[7] =1) THEN DEST[127:112] SRC[127:112]
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 3A 0E /r ib
PBLENDW xmm1, xmm2/m128, imm8
RMI V/V SSE4_1 Select words from xmm1 and xmm2/m128
from mask specified in imm8 and store the
values into xmm1.
VEX.NDS.128.66.0F3A.WIG 0E /r ib
VPBLENDW xmm1, xmm2, xmm3/m128, imm8
RVMI V/V AVX Select words from xmm2 and xmm3/m128
from mask specified in imm8 and store the
values into xmm1.
VEX.NDS.256.66.0F3A.WIG 0E /r ib
VPBLENDW ymm1, ymm2, ymm3/m256, imm8
RVMI V/V AVX2 Select words from ymm2 and ymm3/m256
from mask specified in imm8 and store the
values into ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RMI ModRM:reg (r, w) ModRM:r/m(r) imm8 NA
RVMI ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) imm8
PBLENDW Blend Packed Words
INSTRUCTION SET REFERENCE, N-Z
4-66 Vol. 2B
ELSE DEST[127:112] DEST[127:112]
VP B LE N D W (VE X.1 2 8 e n co d e d v e rsio n )
IF (imm8[0] =1) THEN DEST[15:0] SRC2[15:0]
ELSE DEST[15:0] SRC1[15:0]
IF (imm8[1] =1) THEN DEST[31:16] SRC2[31:16]
ELSE DEST[31:16] SRC1[31:16]
IF (imm8[2] =1) THEN DEST[47:32] SRC2[47:32]
ELSE DEST[47:32] SRC1[47:32]
IF (imm8[3] =1) THEN DEST[63:48] SRC2[63:48]
ELSE DEST[63:48] SRC1[63:48]
IF (imm8[4] =1) THEN DEST[79:64] SRC2[79:64]
ELSE DEST[79:64] SRC1[79:64]
IF (imm8[5] =1) THEN DEST[95:80] SRC2[95:80]
ELSE DEST[95:80] SRC1[95:80]
IF (imm8[6] =1) THEN DEST[111:96] SRC2[111:96]
ELSE DEST[111:96] SRC1[111:96]
IF (imm8[7] =1) THEN DEST[127:112] SRC2[127:112]
ELSE DEST[127:112] SRC1[127:112]
DEST[VLMAX-1:128] 0
VP B LE N D W (VE X.2 5 6 e n co d e d v e rsio n )
IF (imm8[0] ==1) THEN DEST[15:0] SRC2[15:0]
ELSE DEST[15:0] SRC1[15:0]
IF (imm8[1] ==1) THEN DEST[31:16] SRC2[31:16]
ELSE DEST[31:16] SRC1[31:16]
IF (imm8[2] ==1) THEN DEST[47:32] SRC2[47:32]
ELSE DEST[47:32] SRC1[47:32]
IF (imm8[3] ==1) THEN DEST[63:48] SRC2[63:48]
ELSE DEST[63:48] SRC1[63:48]
IF (imm8[4] ==1) THEN DEST[79:64] SRC2[79:64]
ELSE DEST[79:64] SRC1[79:64]
IF (imm8[5] ==1) THEN DEST[95:80] SRC2[95:80]
ELSE DEST[95:80] SRC1[95:80]
IF (imm8[6] ==1) THEN DEST[111:96] SRC2[111:96]
ELSE DEST[111:96] SRC1[111:96]
IF (imm8[7] ==1) THEN DEST[127:112] SRC2[127:112]
ELSE DEST[127:112] SRC1[127:112]
IF (imm8[0] ==1) THEN DEST[143:128] SRC2[143:128]
ELSE DEST[143:128] SRC1[143:128]
IF (imm8[1] ==1) THEN DEST[159:144] SRC2[159:144]
ELSE DEST[159:144] SRC1[159:144]
IF (imm8[2] ==1) THEN DEST[175:160] SRC2[175:160]
ELSE DEST[175:160] SRC1[175:160]
IF (imm8[3] ==1) THEN DEST[191:176] SRC2[191:176]
ELSE DEST[191:176] SRC1[191:176]
IF (imm8[4] ==1) THEN DEST[207:192] SRC2[207:192]
ELSE DEST[207:192] SRC1[207:192]
IF (imm8[5] ==1) THEN DEST[223:208] SRC2[223:208]
ELSE DEST[223:208] SRC1[223:208]
IF (imm8[6] ==1) THEN DEST[239:224] SRC2[239:224]
ELSE DEST[239:224] SRC1[239:224]
IF (imm8[7] ==1) THEN DEST[255:240] SRC2[255:240]
ELSE DEST[255:240] SRC1[255:240]
PBLENDW Blend Packed Words
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-67
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
(V)PBLENDW: __m128i _mm_blend_epi16 (__m128i v1, __m128i v2, const int mask);
VPBLENDW: __m256i _mm256_blend_epi16 (__m256i v1, __m256i v2, const int mask)
F la g s Af fe ct e d
None.
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PCLMULQDQ - Carry-Less Multiplication Quadword
INSTRUCTION SET REFERENCE, N-Z
4-68 Vol. 2B
P C LMU LQ D Q - C a rry -Le ss Mu lt ip lica t io n Q u a d w o rd
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a carry-less multiplication of two quadwords, selected from the first source and second source operand
according to the value of the immediate byte. Bits 4 and 0 are used to select which 64-bit half of each operand to
use according to Table 4-10, other bits of the immediate byte are ignored.
The first source operand and the destination operand are the same and must be an XMM register. The second
source operand can be an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the corresponding
YMM destination register remain unchanged.
Compilers and assemblers may implement the following pseudo-op syntax to simply programming and emit the
required encoding for Imm8.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 3A 44 /r ib
PCLMULQDQ xmm1, xmm2/m128, imm8
RMI V/V CLMUL Carry-less multiplication of one quadword of
xmm1 by one quadword of xmm2/m128,
stores the 128-bit result in xmm1. The imme-
diate is used to determine which quadwords
of xmm1 and xmm2/m128 should be used.
VEX.NDS.128.66.0F3A.WIG 44 /r ib
VPCLMULQDQ xmm1, xmm2, xmm3/m128, imm8
RVMI V/V Both
CLMUL
and AVX
flags
Carry-less multiplication of one quadword of
xmm2 by one quadword of xmm3/m128,
stores the 128-bit result in xmm1. The imme-
diate is used to determine which quadwords
of xmm2 and xmm3/m128 should be used.
Op/En Operand 1 Operand2 Operand3 Operand4
RMI ModRM:reg (r, w) ModRM:r/m (r) imm8 NA
RVMI ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) imm8
Ta b le 4 -1 0 . P C LMU LQ D Q Q u a d w o rd S e le ct io n o f I m m e d ia t e B y t e
I m m [4 ] I m m [0 ] P C LMU LQ D Q O p e ra t io n
0 0 CL_MUL( SRC2
1
[63:0], SRC1[63:0] )
N O T E S :
1. SRC2 denotes the second source operand, which can be a register or memory; SRC1 denotes the first source and destination oper-
and.
0 1 CL_MUL( SRC2[63:0], SRC1[127:64] )
1 0 CL_MUL( SRC2[127:64], SRC1[63:0] )
1 1 CL_MUL( SRC2[127:64], SRC1[127:64] )
Ta b le 4 -1 1 . P se u d o -O p a n d P C LMU LQ D Q I m p le m e n t a t io n
P se u d o -O p I m m 8 E n co d in g
P C LMU LLQ LQ D Q xmm1, xmm2 0 0 0 0 _0 0 0 0 B
P C LMU LH Q LQ D Q xmm1, xmm2 0 0 0 0 _0 0 0 1 B
P C LMU LLQ H D Q xmm1, xmm2 0 0 0 1 _0 0 0 0 B
P C LMU LH Q H D Q xmm1, xmm2 0 0 0 1 _0 0 0 1 B
PCLMULQDQ - Carry-Less Multiplication Quadword
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-69
O p e ra t io n
P C LMU LQ D Q
IF (Imm8[0] = 0 )
THEN
TEMP1 SRC1 [63:0];
ELSE
TEMP1 SRC1 [127:64];
FI
IF (Imm8[4] = 0 )
THEN
TEMP2 SRC2 [63:0];
ELSE
TEMP2 SRC2 [127:64];
FI
For i = 0 to 63 {
TmpB [ i ] (TEMP1[ 0 ] and TEMP2[ i ]);
For j = 1 to i {
TmpB [ i ] TmpB [ i ] xor (TEMP1[ j ] and TEMP2[ i - j ])
}
DEST[ i ] TmpB[ i ];
}
For i = 64 to 126 {
TmpB [ i ] 0;
For j = i - 63 to 63 {
TmpB [ i ] TmpB [ i ] xor (TEMP1[ j ] and TEMP2[ i - j ])
}
DEST[ i ] TmpB[ i ];
}
DEST[127] 0;
DEST[VLMAX-1:128] (Unmodified)
VP C LMU LQ D Q
IF (Imm8[0] =0 )
THEN
TEMP1 SRC1 [63:0];
ELSE
TEMP1 SRC1 [127:64];
FI
IF (Imm8[4] =0 )
THEN
TEMP2 SRC2 [63:0];
ELSE
TEMP2 SRC2 [127:64];
FI
For i =0 to 63 {
TmpB [ i ] (TEMP1[ 0 ] and TEMP2[ i ]);
For j =1 to i {
TmpB [i] TmpB [i] xor (TEMP1[ j ] and TEMP2[ i - j ])
}
DEST[i] TmpB[i];
}
For i =64 to 126 {
TmpB [ i ] 0;
For j =i - 63 to 63 {
PCLMULQDQ - Carry-Less Multiplication Quadword
INSTRUCTION SET REFERENCE, N-Z
4-70 Vol. 2B
TmpB [i] TmpB [i] xor (TEMP1[ j ] and TEMP2[ i - j ])
}
DEST[i] TmpB[i];
}
DEST[VLMAX-1:127] 0;
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
(V)PCLMULQDQ: __m128i _mm_clmulepi64_si128 (__m128i, __m128i, const int)
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4.
PCMPEQB/PCMPEQW/PCMPEQD Compare Packed Data for Equal
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-71
P C MP E Q B /P C MP E Q W/P C MP E Q D C o m p a re P a cke d D a t a fo r E q u a l
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a SIMD compare for equality of the packed bytes, words, or doublewords in the destination operand (first
operand) and the source operand (second operand). If a pair of data elements is equal, the corresponding data
element in the destination operand is set to all 1s; otherwise, it is set to all 0s.
The (V)PCMPEQB instruction compares the corresponding bytes in the destination and source operands; the
(V)PCMPEQW instruction compares the corresponding words in the destination and source operands; and the
(V)PCMPEQD instruction compares the corresponding doublewords in the destination and source operands.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 74 /r
1
PCMPEQB mm, mm/m64
RM V/V MMX Compare packed bytes in mm/m64 and mm
for equality.
66 0F 74 /r
PCMPEQB xmm1, xmm2/m128
RM V/V SSE2 Compare packed bytes in xmm2/m128 and
xmm1 for equality.
0F 75 /r
1
PCMPEQW mm, mm/m64
RM V/V MMX Compare packed words in mm/m64 and mm
for equality.
66 0F 75 /r
PCMPEQW xmm1, xmm2/m128
RM V/V SSE2 Compare packed words in xmm2/m128 and
xmm1 for equality.
0F 76 /r
1
PCMPEQD mm, mm/m64
RM V/V MMX Compare packed doublewords in mm/m64 and
mm for equality.
66 0F 76 /r
PCMPEQD xmm1, xmm2/m128
RM V/V SSE2 Compare packed doublewords in xmm2/m128
and xmm1 for equality.
VEX.NDS.128.66.0F.WIG 74 /r
VPCMPEQB xmm1, xmm2, xmm3/m128
RVM V/V AVX Compare packed bytes in xmm3/m128 and
xmm2 for equality.
VEX.NDS.128.66.0F.WIG 75 /r
VPCMPEQW xmm1, xmm2, xmm3/m128
RVM V/V AVX Compare packed words in xmm3/m128 and
xmm2 for equality.
VEX.NDS.128.66.0F.WIG 76 /r
VPCMPEQD xmm1, xmm2, xmm3/m128
RVM V/V AVX Compare packed doublewords in xmm3/m128
and xmm2 for equality.
VEX.NDS.256.66.0F.WIG 75 /r
VPCMPEQW ymm1, ymm2, ymm3 /m256
RVM V/V AVX2 Compare packed words in ymm3/m256 and
ymm2 for equality.
VEX.NDS.256.66.0F.WIG 76 /r
VPCMPEQD ymm1, ymm2, ymm3 /m256
RVM V/V AVX2 Compare packed doublewords in ymm3/m256
and ymm2 for equality.
VEX.NDS.256.66.0F38.WIG 29 /r
VPCMPEQQ ymm1, ymm2, ymm3 /m256
RVM V/V AVX2 Compare packed quadwords in ymm3/m256
and ymm2 for equality.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m(r) NA
PCMPEQB/PCMPEQW/PCMPEQD Compare Packed Data for Equal
INSTRUCTION SET REFERENCE, N-Z
4-72 Vol. 2B
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
Legacy SSE instructions: The source operand can be an MMX technology register or a 64-bit memory location. The
destination operand can be an MMX technology register.
128-bit Legacy SSE version: The second source operand can be an XMM register or a 128-bit memory location. The
first source and destination operands are XMM registers. Bits (VLMAX-1:128) of the corresponding YMM destination
register remain unchanged.
VEX.128 encoded version: The second source operand can be an XMM register or a 128-bit memory location. The
first source and destination operands are XMM registers. Bits (VLMAX-1:128) of the corresponding YMM register
are zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand is a YMM register
or a 256-bit memory location. The destination operand is a YMM register.
Note: VEX.L must be 0, otherwise the instruction will #UD.
O p e ra t io n
P C MP E Q B (w it h 6 4 -b it o p e ra n d s)
IF DEST[7:0] = SRC[7:0]
THEN DEST[7:0) FFH;
ELSE DEST[7:0] 0; FI;
(* Continue comparison of 2nd through 7th bytes in DEST and SRC *)
IF DEST[63:56] = SRC[63:56]
THEN DEST[63:56] FFH;
ELSE DEST[63:56] 0; FI;
P C MP E Q B (w it h 1 2 8 -b it o p e ra n d s)
IF DEST[7:0] = SRC[7:0]
THEN DEST[7:0) FFH;
ELSE DEST[7:0] 0; FI;
(* Continue comparison of 2nd through 15th bytes in DEST and SRC *)
IF DEST[127:120] = SRC[127:120]
THEN DEST[127:120] FFH;
ELSE DEST[127:120] 0; FI;
VP C MP E Q B (VE X.1 2 8 e n co d e d v e rsio n )
DEST[127:0] COMPARE_BYTES_EQUAL(SRC1[127:0],SRC2[127:0])
DEST[VLMAX-1:128] 0
VP C MP E Q B (VE X.2 5 6 e n co d e d v e rsio n )
DEST[127:0] COMPARE_BYTES_EQUAL(SRC1[127:0],SRC2[127:0])
DEST[255:128] COMPARE_BYTES_EQUAL(SRC1[255:128],SRC2[255:128])
P C MP E Q W (w it h 6 4 -b it o p e ra n d s)
IF DEST[15:0] = SRC[15:0]

THEN DEST[15:0] FFFFH;
ELSE DEST[15:0] 0; FI;
(* Continue comparison of 2nd and 3rd words in DEST and SRC *)
IF DEST[63:48] = SRC[63:48]
THEN DEST[63:48] FFFFH;
ELSE DEST[63:48] 0; FI;
P C MP E Q W (w it h 1 2 8 -b it o p e ra n d s)
IF DEST[15:0] = SRC[15:0]

THEN DEST[15:0] FFFFH;
ELSE DEST[15:0] 0; FI;
PCMPEQB/PCMPEQW/PCMPEQD Compare Packed Data for Equal
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-73
(* Continue comparison of 2nd through 7th words in DEST and SRC *)
IF DEST[127:112] = SRC[127:112]
THEN DEST[127:112] FFFFH;
ELSE DEST[127:112] 0; FI;
VP C MP E Q W (VE X.1 2 8 e n co d e d v e rsio n )
DEST[127:0] COMPARE_WORDS_EQUAL(SRC1[127:0],SRC2[127:0])
DEST[VLMAX-1:128] 0
VP C MP E Q W (VE X.2 5 6 e n co d e d v e rsio n )
DEST[127:0] COMPARE_WORDS_EQUAL(SRC1[127:0],SRC2[127:0])
DEST[255:128] COMPARE_WORDS_EQUAL(SRC1[255:128],SRC2[255:128])
P C MP E Q D (w it h 6 4 -b it o p e ra n d s)
IF DEST[31:0] = SRC[31:0]
THEN DEST[31:0] FFFFFFFFH;
ELSE DEST[31:0] 0; FI;
IF DEST[63:32] = SRC[63:32]
THEN DEST[63:32] FFFFFFFFH;
ELSE DEST[63:32] 0; FI;
P C MP E Q D (w it h 1 2 8 -b it o p e ra n d s)
IF DEST[31:0] = SRC[31:0]
THEN DEST[31:0] FFFFFFFFH;
ELSE DEST[31:0] 0; FI;
(* Continue comparison of 2nd and 3rd doublewords in DEST and SRC *)
IF DEST[127:96] = SRC[127:96]
THEN DEST[127:96] FFFFFFFFH;
ELSE DEST[127:96] 0; FI;
VP C MP E Q D (VE X.1 2 8 e n co d e d v e rsio n )
DEST[127:0] COMPARE_DWORDS_EQUAL(SRC1[127:0],SRC2[127:0])
DEST[VLMAX-1:128] 0
VP C MP E Q D (VE X.2 5 6 e n co d e d v e rsio n )
DEST[127:0] COMPARE_DWORDS_EQUAL(SRC1[127:0],SRC2[127:0])
DEST[255:128] COMPARE_DWORDS_EQUAL(SRC1[255:128],SRC2[255:128])
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t s
PCMPEQB: __m64 _mm_cmpeq_pi8 (__m64 m1, __m64 m2)
PCMPEQW: __m64 _mm_cmpeq_pi16 (__m64 m1, __m64 m2)
PCMPEQD: __m64 _mm_cmpeq_pi32 (__m64 m1, __m64 m2)
(V)PCMPEQB: __m128i _mm_cmpeq_epi8 ( __m128i a, __m128i b)
(V)PCMPEQW: __m128i _mm_cmpeq_epi16 ( __m128i a, __m128i b)
(V)PCMPEQD: __m128i _mm_cmpeq_epi32 ( __m128i a, __m128i b)
VPCMPEQB: __m256i _mm256_cmpeq_epi8 ( __m256i a, __m256i b)
VPCMPEQW: __m256i _mm256_cmpeq_epi16 ( __m256i a, __m256i b)
VPCMPEQD: __m256i _mm256_cmpeq_epi32 ( __m256i a, __m256i b)
PCMPEQB/PCMPEQW/PCMPEQD Compare Packed Data for Equal
INSTRUCTION SET REFERENCE, N-Z
4-74 Vol. 2B
F la g s Af fe ct e d
None.
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PCMPEQQ Compare Packed Qword Data for Equal
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-75
P C MP E Q Q C o m p a re P a cke d Q w o rd D a t a fo r E q u a l
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs an SIMD compare for equality of the packed quadwords in the destination operand (first operand) and the
source operand (second operand). If a pair of data elements is equal, the corresponding data element in the desti-
nation is set to all 1s; otherwise, it is set to 0s.
128-bit Legacy SSE version: The second source operand can be an XMM register or a 128-bit memory location. The
first source and destination operands are XMM registers. Bits (VLMAX-1:128) of the corresponding YMM destination
register remain unchanged.
VEX.128 encoded version: The second source operand can be an XMM register or a 128-bit memory location. The
first source and destination operands are XMM registers. Bits (VLMAX-1:128) of the corresponding YMM register
are zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand is a YMM register
or a 256-bit memory location. The destination operand is a YMM register.
Note: VEX.L must be 0, otherwise the instruction will #UD.
O p e ra t io n
IF (DEST[63:0] =SRC[63:0])
THEN DEST[63:0] FFFFFFFFFFFFFFFFH;
ELSE DEST[63:0] 0; FI;
IF (DEST[127:64] =SRC[127:64])
THEN DEST[127:64] FFFFFFFFFFFFFFFFH;
ELSE DEST[127:64] 0; FI;
VP C MP E Q Q (V E X.1 2 8 e n co d e d v e rsio n )
DEST[127:0] COMPARE_QWORDS_EQUAL(SRC1,SRC2)
DEST[VLMAX-1:128] 0
VP C MP E Q Q (V E X.2 5 6 e n co d e d v e rsio n )
DEST[127:0] COMPARE_QWORDS_EQUAL(SRC1[127:0],SRC2[127:0])
DEST[255:128] COMPARE_QWORDS_EQUAL(SRC1[255:128],SRC2[255:128])
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
(V)PCMPEQQ: __m128i _mm_cmpeq_epi64(__m128i a, __m128i b);
VPCMPEQQ: __m256i _mm256_cmpeq_epi64( __m256i a, __m256i b);
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 38 29 /r
PCMPEQQ xmm1, xmm2/m128
RM V/V SSE4_1 Compare packed qwords in xmm2/m128 and
xmm1 for equality.
VEX.NDS.128.66.0F38.WIG 29 /r
VPCMPEQQ xmm1, xmm2, xmm3/m128
RVM V/V AVX Compare packed quadwords in xmm3/m128
and xmm2 for equality.
VEX.NDS.256.66.0F38.WIG 29 /r
VPCMPEQQ ymm1, ymm2, ymm3 /m256
RVM V/V AVX2 Compare packed quadwords in ymm3/m256
and ymm2 for equality.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m(r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
PCMPEQQ Compare Packed Qword Data for Equal
INSTRUCTION SET REFERENCE, N-Z
4-76 Vol. 2B
F la g s Af fe ct e d
None.
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PCMPESTRI Packed Compare Explicit Length Strings, Return Index
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-77
P C MP E S T R I P a cke d C o m p a re E x p licit Le n g t h S t rin g s, R e t u rn I n d e x
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
The instruction compares and processes data from two string fragments based on the encoded value in the Imm8
Control Byte (see Section 4.1, Imm8 Control Byte Operation for PCMPESTRI / PCMPESTRM / PCMPISTRI / PCMP-
ISTRM), and generates an index stored to the count register (ECX/RCX).
Each string fragment is represented by two values. The first value is an xmm (or possibly m128 for the second
operand) which contains the data elements of the string (byte or word data). The second value is stored in an input
length register. The input length register is EAX/RAX (for xmm1) or EDX/RDX (for xmm2/m128). The length repre-
sents the number of bytes/words which are valid for the respective xmm/m128 data.
The length of each input is interpreted as being the absolute-value of the value in the length register. The absolute-
value computation saturates to 16 (for bytes) and 8 (for words), based on the value of imm8[bit3] when the value
in the length register is greater than 16 (8) or less than -16 (-8).
The comparison and aggregation operations are performed according to the encoded value of Imm8 bit fields (see
Section 4.1). The index of the first (or last, according to imm8[6]) set bit of IntRes2 (see Section 4.1.4) is returned
in ECX. If no bits are set in IntRes2, ECX is set to 16 (8).
Note that the Arithmetic Flags are written in a non-standard manner in order to supply the most relevant informa-
tion:
CFlag Reset if IntRes2 is equal to zero, set otherwise
ZFlag Set if absolute-value of EDX is <16 (8), reset otherwise
SFlag Set if absolute-value of EAX is <16 (8), reset otherwise
OFlag IntRes2[0]
AFlag Reset
PFlag Reset
E f fe ct iv e O p e ra n d S ize
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t Fo r R e t u rn in g I n d e x
int _mm_cmpestri (__m128i a, int la, __m128i b, int lb, const int mode);
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 3A 61 /r imm8
PCMPESTRI xmm1, xmm2/m128, imm8
RMI V/V SSE4_2 Performa packed comparison of string data
with explicit lengths, generating an index, and
storing the result in ECX.
VEX.128.66.0F3A.WIG 61 /r ib
VPCMPESTRI xmm1, xmm2/m128, imm8
RMI V/V AVX Performa packed comparison of string data
with explicit lengths, generating an index, and
storing the result in ECX.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RMI ModRM:reg (r) ModRM:r/m (r) imm8 NA
O p e ra t in g m o d e /size O p e ra n d 1 O p e ra n d 2 Le n g t h 1 Le n g t h 2 R e su lt
16 bit xmm xmm/m128 EAX EDX ECX
32 bit xmm xmm/m128 EAX EDX ECX
64 bit xmm xmm/m128 EAX EDX ECX
64 bit +REX.W xmm xmm/m128 RAX RDX RCX
PCMPESTRI Packed Compare Explicit Length Strings, Return Index
INSTRUCTION SET REFERENCE, N-Z
4-78 Vol. 2B
I n t e l C /C ++C o m p ile r I n t rin sics Fo r R e a d in g E F la g R e su lt s
int _mm_cmpestra (__m128i a, int la, __m128i b, int lb, const int mode);
int _mm_cmpestrc (__m128i a, int la, __m128i b, int lb, const int mode);
int _mm_cmpestro (__m128i a, int la, __m128i b, int lb, const int mode);
int _mm_cmpestrs (__m128i a, int la, __m128i b, int lb, const int mode);
int _mm_cmpestrz (__m128i a, int la, __m128i b, int lb, const int mode);
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally, this instruction does not cause #GP if the memory operand is not aligned to 16
Byte boundary, and
#UD If VEX.L = 1.
If VEX.vvvv != 1111B.
PCMPESTRM Packed Compare Explicit Length Strings, Return Mask
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-79
P C MP E S T R M P a cke d C o m p a re E x p licit Le n g t h S t rin g s, R e t u rn Ma sk
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
The instruction compares data from two string fragments based on the encoded value in the imm8 contol byte (see
Section 4.1, Imm8 Control Byte Operation for PCMPESTRI / PCMPESTRM / PCMPISTRI / PCMPISTRM), and gener-
ates a mask stored to XMM0.
Each string fragment is represented by two values. The first value is an xmm (or possibly m128 for the second
operand) which contains the data elements of the string (byte or word data). The second value is stored in an input
length register. The input length register is EAX/RAX (for xmm1) or EDX/RDX (for xmm2/m128). The length repre-
sents the number of bytes/words which are valid for the respective xmm/m128 data.
The length of each input is interpreted as being the absolute-value of the value in the length register. The absolute-
value computation saturates to 16 (for bytes) and 8 (for words), based on the value of imm8[bit3] when the value
in the length register is greater than 16 (8) or less than -16 (-8).
The comparison and aggregation operations are performed according to the encoded value of Imm8 bit fields (see
Section 4.1). As defined by imm8[6], IntRes2 is then either stored to the least significant bits of XMM0 (zero
extended to 128 bits) or expanded into a byte/word-mask and then stored to XMM0.
Note that the Arithmetic Flags are written in a non-standard manner in order to supply the most relevant informa-
tion:
CFlag Reset if IntRes2 is equal to zero, set otherwise
ZFlag Set if absolute-value of EDX is <16 (8), reset otherwise
SFlag Set if absolute-value of EAX is <16 (8), reset otherwise
OFlag IntRes2[0]
AFlag Reset
PFlag Reset
Note: In VEX.128 encoded versions, bits (VLMAX-1:128) of XMM0 are zeroed. VEX.vvvv is reserved and must be
1111b, VEX.L must be 0, otherwise the instruction will #UD.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 3A 60 /r imm8
PCMPESTRM xmm1, xmm2/m128, imm8
RMI V/V SSE4_2 Performa packed comparison of string data
with explicit lengths, generating a mask, and
storing the result in XMM0
VEX.128.66.0F3A.WIG 60 /r ib
VPCMPESTRM xmm1, xmm2/m128, imm8
RMI V/V AVX Performa packed comparison of string data
with explicit lengths, generating a mask, and
storing the result in XMM0.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RMI ModRM:reg (r) ModRM:r/m (r) imm8 NA
PCMPESTRM Packed Compare Explicit Length Strings, Return Mask
INSTRUCTION SET REFERENCE, N-Z
4-80 Vol. 2B
E f fe ct iv e O p e ra n d S ize
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t Fo r R e t u rn in g Ma sk
__m128i _mm_cmpestrm (__m128i a, int la, __m128i b, int lb, const int mode);
I n t e l C /C ++C o m p ile r I n t rin sics Fo r R e a d in g E F la g R e su lt s
int _mm_cmpestra (__m128i a, int la, __m128i b, int lb, const int mode);
int _mm_cmpestrc (__m128i a, int la, __m128i b, int lb, const int mode);
int _mm_cmpestro (__m128i a, int la, __m128i b, int lb, const int mode);
int _mm_cmpestrs (__m128i a, int la, __m128i b, int lb, const int mode);
int _mm_cmpestrz (__m128i a, int la, __m128i b, int lb, const int mode);
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally, this instruction does not cause #GP if the memory operand is not aligned to 16
Byte boundary, and
#UD If VEX.L = 1.
If VEX.vvvv != 1111B.
O p e ra t in g m o d e /size O p e ra n d 1 O p e ra n d 2 Le n g t h 1 Le n g t h 2 R e su lt
16 bit xmm xmm/m128 EAX EDX XMM0
32 bit xmm xmm/m128 EAX EDX XMM0
64 bit xmm xmm/m128 EAX EDX XMM0
64 bit +REX.W xmm xmm/m128 RAX RDX XMM0
PCMPGTB/PCMPGTW/PCMPGTDCompare Packed Signed Integers for Greater Than
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-81
P C MP G T B /P C MP G T W/P C MP G T D C o m p a re P a cke d S ig n e d I n t e g e rs fo r G re a t e r T h a n
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs an SIMD signed compare for the greater value of the packed byte, word, or doubleword integers in the
destination operand (first operand) and the source operand (second operand). If a data element in the destination
operand is greater than the corresponding date element in the source operand, the corresponding data element in
the destination operand is set to all 1s; otherwise, it is set to all 0s.
The PCMPGTB instruction compares the corresponding signed byte integers in the destination and source oper-
ands; the PCMPGTW instruction compares the corresponding signed word integers in the destination and source
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 64 /r
1
PCMPGTB mm, mm/m64
RM V/V MMX Compare packed signed byte integers in mm
and mm/m64 for greater than.
66 0F 64 /r
PCMPGTB xmm1, xmm2/m128
RM V/V SSE2 Compare packed signed byte integers in xmm1
and xmm2/m128 for greater than.
0F 65 /r
1
PCMPGTW mm, mm/m64
RM V/V MMX Compare packed signed word integers in mm
and mm/m64 for greater than.
66 0F 65 /r
PCMPGTW xmm1, xmm2/m128
RM V/V SSE2 Compare packed signed word integers in
xmm1 and xmm2/m128 for greater than.
0F 66 /r
1
PCMPGTD mm, mm/m64
RM V/V MMX Compare packed signed doubleword integers in
mm and mm/m64 for greater than.
66 0F 66 /r
PCMPGTD xmm1, xmm2/m128
RM V/V SSE2 Compare packed signed doubleword integers in
xmm1 and xmm2/m128 for greater than.
VEX.NDS.128.66.0F.WIG 64 /r
VPCMPGTB xmm1, xmm2, xmm3/m128
RVM V/V AVX Compare packed signed byte integers in xmm2
and xmm3/m128 for greater than.
VEX.NDS.128.66.0F.WIG 65 /r
VPCMPGTW xmm1, xmm2, xmm3/m128
RVM V/V AVX Compare packed signed word integers in
xmm2 and xmm3/m128 for greater than.
VEX.NDS.128.66.0F.WIG 66 /r
VPCMPGTD xmm1, xmm2, xmm3/m128
RVM V/V AVX Compare packed signed doubleword integers in
xmm2 and xmm3/m128 for greater than.
VEX.NDS.256.66.0F.WIG 64 /r
VPCMPGTB ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Compare packed signed byte integers in ymm2
and ymm3/m256 for greater than.
VEX.NDS.256.66.0F.WIG 65 /r
VPCMPGTW ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Compare packed signed word integers in ymm2
and ymm3/m256 for greater than.
VEX.NDS.256.66.0F.WIG 66 /r
VPCMPGTD ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Compare packed signed doubleword integers in
ymm2 and ymm3/m256 for greater than.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
PCMPGTB/PCMPGTW/PCMPGTDCompare Packed Signed Integers for Greater Than
INSTRUCTION SET REFERENCE, N-Z
4-82 Vol. 2B
operands; and the PCMPGTD instruction compares the corresponding signed doubleword integers in the destina-
tion and source operands.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
Legacy SSE instructions: The source operand can be an MMX technology register or a 64-bit memory location. The
destination operand can be an MMX technology register.
128-bit Legacy SSE version: The second source operand can be an XMM register or a 128-bit memory location. The
first source operand and destination operand are XMM registers. Bits (VLMAX-1:128) of the corresponding YMM
destination register remain unchanged.
VEX.128 encoded version: The second source operand can be an XMM register or a 128-bit memory location. The
first source operand and destination operand are XMM registers. Bits (VLMAX-1:128) of the corresponding YMM
register are zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand is a YMM register
or a 256-bit memory location. The destination operand is a YMM register.
Note: VEX.L must be 0, otherwise the instruction will #UD.
O p e ra t io n
P C MP G T B (w it h 6 4 -b it o p e ra n d s)
IF DEST[7:0] > SRC[7:0]
THEN DEST[7:0) FFH;
ELSE DEST[7:0] 0; FI;
(* Continue comparison of 2nd through 7th bytes in DEST and SRC *)
IF DEST[63:56] > SRC[63:56]
THEN DEST[63:56] FFH;
ELSE DEST[63:56] 0; FI;
P C MP G T B (w it h 1 2 8 -b it o p e ra n d s)
IF DEST[7:0] > SRC[7:0]
THEN DEST[7:0) FFH;
ELSE DEST[7:0] 0; FI;
(* Continue comparison of 2nd through 15th bytes in DEST and SRC *)
IF DEST[127:120] > SRC[127:120]
THEN DEST[127:120] FFH;
ELSE DEST[127:120] 0; FI;
VP C MP G T B (VE X.1 2 8 e n co d e d v e rsio n )
DEST[127:0] COMPARE_BYTES_GREATER(SRC1,SRC2)
DEST[VLMAX-1:128] 0
VP C MP G T B (VE X.2 5 6 e n co d e d v e rsio n )
DEST[127:0] COMPARE_BYTES_GREATER(SRC1[127:0],SRC2[127:0])
DEST[255:128] COMPARE_BYTES_GREATER(SRC1[255:128],SRC2[255:128])
P C MP G T W (w it h 6 4 -b it o p e ra n d s)
IF DEST[15:0] > SRC[15:0]

THEN DEST[15:0] FFFFH;
ELSE DEST[15:0] 0; FI;
(* Continue comparison of 2nd and 3rd words in DEST and SRC *)
IF DEST[63:48] > SRC[63:48]
THEN DEST[63:48] FFFFH;
ELSE DEST[63:48] 0; FI;
PCMPGTB/PCMPGTW/PCMPGTDCompare Packed Signed Integers for Greater Than
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-83
P C MP G T W (w it h 1 2 8 -b it o p e ra n d s)
IF DEST[15:0] > SRC[15:0]

THEN DEST[15:0] FFFFH;
ELSE DEST[15:0] 0; FI;
(* Continue comparison of 2nd through 7th words in DEST and SRC *)
IF DEST[63:48] > SRC[127:112]
THEN DEST[127:112] FFFFH;
ELSE DEST[127:112] 0; FI;
VP C MP G T W (V E X.1 2 8 e n co d e d v e rsio n )
DEST[127:0] COMPARE_WORDS_GREATER(SRC1,SRC2)
DEST[VLMAX-1:128] 0
VP C MP G T W (V E X.2 5 6 e n co d e d v e rsio n )
DEST[127:0] COMPARE_WORDS_GREATER(SRC1[127:0],SRC2[127:0])
DEST[255:128] COMPARE_WORDS_GREATER(SRC1[255:128],SRC2[255:128])
P C MP G T D (w it h 6 4 -b it o p e ra n d s)
IF DEST[31:0] > SRC[31:0]
THEN DEST[31:0] FFFFFFFFH;
ELSE DEST[31:0] 0; FI;
IF DEST[63:32] > SRC[63:32]
THEN DEST[63:32] FFFFFFFFH;
ELSE DEST[63:32] 0; FI;
P C MP G T D (w it h 1 2 8 -b it o p e ra n d s)
IF DEST[31:0] > SRC[31:0]
THEN DEST[31:0] FFFFFFFFH;
ELSE DEST[31:0] 0; FI;
(* Continue comparison of 2nd and 3rd doublewords in DEST and SRC *)
IF DEST[127:96] > SRC[127:96]
THEN DEST[127:96] FFFFFFFFH;
ELSE DEST[127:96] 0; FI;
VP C MP G T D (V E X.1 2 8 e n co d e d v e rsio n )
DEST[127:0] COMPARE_DWORDS_GREATER(SRC1,SRC2)
DEST[VLMAX-1:128] 0
VP C MP G T D (V E X.2 5 6 e n co d e d v e rsio n )
DEST[127:0] COMPARE_DWORDS_GREATER(SRC1[127:0],SRC2[127:0])
DEST[255:128] COMPARE_DWORDS_GREATER(SRC1[255:128],SRC2[255:128])
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t s
PCMPGTB: __m64 _mm_cmpgt_pi8 (__m64 m1, __m64 m2)
PCMPGTW: __m64 _mm_pcmpgt_pi16 (__m64 m1, __m64 m2)
DCMPGTD: __m64 _mm_pcmpgt_pi32 (__m64 m1, __m64 m2)
(V)PCMPGTB: __m128i _mm_cmpgt_epi8 ( __m128i a, __m128i b)
(V)PCMPGTW: __m128i _mm_cmpgt_epi16 ( __m128i a, __m128i b)
(V)DCMPGTD: __m128i _mm_cmpgt_epi32 ( __m128i a, __m128i b)
VPCMPGTB: __m256i _mm256_cmpgt_epi8 ( __m256i a, __m256i b)
VPCMPGTW: __m256i _mm256_cmpgt_epi16 ( __m256i a, __m256i b)
VPCMPGTD: __m256i _mm256_cmpgt_epi32 ( __m256i a, __m256i b)
PCMPGTB/PCMPGTW/PCMPGTDCompare Packed Signed Integers for Greater Than
INSTRUCTION SET REFERENCE, N-Z
4-84 Vol. 2B
F la g s Af fe ct e d
None.
N u m e ric E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PCMPGTQ Compare Packed Data for Greater Than
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-85
P C MP G T Q C o m p a re P a cke d D a t a fo r G re a t e r T h a n
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs an SIMD signed compare for the packed quadwords in the destination operand (first operand) and the
source operand (second operand). If the data element in the first (destination) operand is greater than the
corresponding element in the second (source) operand, the corresponding data element in the destination is set
to all 1s; otherwise, it is set to 0s.
128-bit Legacy SSE version: The second source operand can be an XMM register or a 128-bit memory location. The
first source operand and destination operand are XMM registers. Bits (VLMAX-1:128) of the corresponding YMM
destination register remain unchanged.
VEX.128 encoded version: The second source operand can be an XMM register or a 128-bit memory location. The
first source operand and destination operand are XMM registers. Bits (VLMAX-1:128) of the corresponding YMM
register are zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand is a YMM register
or a 256-bit memory location. The destination operand is a YMM register.
Note: VEX.L must be 0, otherwise the instruction will #UD.
O p e ra t io n
IF (DEST[63-0] >SRC[63-0])
THEN DEST[63-0] FFFFFFFFFFFFFFFFH;
ELSE DEST[63-0] 0; FI
IF (DEST[127-64] >SRC[127-64])
THEN DEST[127-64] FFFFFFFFFFFFFFFFH;
ELSE DEST[127-64] 0; FI
VP C MP G T Q (VE X.1 2 8 e n co d e d v e rsio n )
DEST[127:0] COMPARE_QWORDS_GREATER(SRC1,SRC2)
DEST[VLMAX-1:128] 0
VP C MP G T Q (VE X.2 5 6 e n co d e d v e rsio n )
DEST[127:0] COMPARE_QWORDS_GREATER(SRC1[127:0],SRC2[127:0])
DEST[255:128] COMPARE_QWORDS_GREATER(SRC1[255:128],SRC2[255:128])
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 38 37 /r
PCMPGTQ xmm1,xmm2/m128
RM V/V SSE4_2 Compare packed signed qwords in
xmm2/m128 and xmm1 for greater than.
VEX.NDS.128.66.0F38.WIG 37 /r
VPCMPGTQ xmm1, xmm2, xmm3/m128
RVM V/V AVX Compare packed signed qwords in xmm2 and
xmm3/m128 for greater than.
VEX.NDS.256.66.0F38.WIG 37 /r
VPCMPGTQ ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Compare packed signed qwords in ymm2 and
ymm3/m256 for greater than.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m(r) NA
PCMPGTQ Compare Packed Data for Greater Than
INSTRUCTION SET REFERENCE, N-Z
4-86 Vol. 2B
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
(V)PCMPGTQ: __m128i _mm_cmpgt_epi64(__m128i a, __m128i b)
VPCMPGTQ: __m256i _mm256_cmpgt_epi64( __m256i a, __m256i b);
F la g s Af fe ct e d
None.
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PCMPISTRI Packed Compare Implicit Length Strings, Return Index
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-87
P C MP I S T R I P a cke d C o m p a re I m p licit Le n g t h S t rin g s, R e t u rn I n d e x
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
The instruction compares data from two strings based on the encoded value in the Imm8 Control Byte (see Section
4.1, Imm8 Control Byte Operation for PCMPESTRI / PCMPESTRM / PCMPISTRI / PCMPISTRM), and generates an
index stored to ECX.
Each string is represented by a single value. The value is an xmm (or possibly m128 for the second operand) which
contains the data elements of the string (byte or word data). Each input byte/word is augmented with a
valid/invalid tag. A byte/word is considered valid only if it has a lower index than the least significant null
byte/word. (The least significant null byte/word is also considered invalid.)
The comparison and aggregation operations are performed according to the encoded value of Imm8 bit fields (see
Section 4.1). The index of the first (or last, according to imm8[6]) set bit of IntRes2 is returned in ECX. If no bits
are set in IntRes2, ECX is set to 16 (8).
Note that the Arithmetic Flags are written in a non-standard manner in order to supply the most relevant informa-
tion:
CFlag Reset if IntRes2 is equal to zero, set otherwise
ZFlag Set if any byte/word of xmm2/mem128 is null, reset otherwise
SFlag Set if any byte/word of xmm1 is null, reset otherwise
OFlag IntRes2[0]
AFlag Reset
PFlag Reset
Note: In VEX.128 encoded version, VEX.vvvv is reserved and must be 1111b, VEX.L must be 0, otherwise the
instruction will #UD.
E f fe ct iv e O p e ra n d S ize
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 3A 63 /r imm8
PCMPISTRI xmm1, xmm2/m128, imm8
RM V/V SSE4_2 Perform a packed comparison of string data
with implicit lengths, generating an index, and
storing the result in ECX.
VEX.128.66.0F3A.WIG 63 /r ib
VPCMPISTRI xmm1, xmm2/m128, imm8
RM V/V AVX Perform a packed comparison of string data
with implicit lengths, generating an index, and
storing the result in ECX.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r) ModRM:r/m(r) imm8 NA
O p e ra t in g m o d e /size O p e ra n d 1 O p e ra n d 2 R e su lt
16 bit xmm xmm/m128 ECX
32 bit xmm xmm/m128 ECX
64 bit xmm xmm/m128 ECX
64 bit +REX.W xmm xmm/m128 RCX
PCMPISTRI Packed Compare Implicit Length Strings, Return Index
INSTRUCTION SET REFERENCE, N-Z
4-88 Vol. 2B
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t Fo r R e t u rn in g I n d e x
int _mm_cmpistri (__m128i a, __m128i b, const int mode);
I n t e l C /C ++C o m p ile r I n t rin sics Fo r R e a d in g E F la g R e su lt s
int _mm_cmpistra (__m128i a, __m128i b, const int mode);
int _mm_cmpistrc (__m128i a, __m128i b, const int mode);
int _mm_cmpistro (__m128i a, __m128i b, const int mode);
int _mm_cmpistrs (__m128i a, __m128i b, const int mode);
int _mm_cmpistrz (__m128i a, __m128i b, const int mode);
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally, this instruction does not cause #GP if the memory operand is not aligned to 16
Byte boundary, and
#UD If VEX.L = 1.
If VEX.vvvv != 1111B.
PCMPISTRM Packed Compare Implicit Length Strings, Return Mask
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-89
P C MP I S T R M P a cke d C o m p a re I m p licit Le n g t h S t rin g s, R e t u rn Ma sk
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
The instruction compares data from two strings based on the encoded value in the imm8 byte (see Section 4.1,
Imm8 Control Byte Operation for PCMPESTRI / PCMPESTRM / PCMPISTRI / PCMPISTRM) generating a mask
stored to XMM0.
Each string is represented by a single value. The value is an xmm (or possibly m128 for the second operand) which
contains the data elements of the string (byte or word data). Each input byte/word is augmented with a
valid/invalid tag. A byte/word is considered valid only if it has a lower index than the least significant null
byte/word. (The least significant null byte/word is also considered invalid.)
The comparison and aggregation operation are performed according to the encoded value of Imm8 bit fields (see
Section 4.1). As defined by imm8[6], IntRes2 is then either stored to the least significant bits of XMM0 (zero
extended to 128 bits) or expanded into a byte/word-mask and then stored to XMM0.
Note that the Arithmetic Flags are written in a non-standard manner in order to supply the most relevant informa-
tion:
CFlag Reset if IntRes2 is equal to zero, set otherwise
ZFlag Set if any byte/word of xmm2/mem128 is null, reset otherwise
SFlag Set if any byte/word of xmm1 is null, reset otherwise
OFlag IntRes2[0]
AFlag Reset
PFlag Reset
Note: In VEX.128 encoded versions, bits (VLMAX-1:128) of XMM0 are zeroed. VEX.vvvv is reserved and must be
1111b, VEX.L must be 0, otherwise the instruction will #UD.
E f fe ct iv e O p e ra n d S ize
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t Fo r R e t u rn in g Ma sk
__m128i _mm_cmpistrm (__m128i a, __m128i b, const int mode);
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 3A 62 /r imm8
PCMPISTRM xmm1, xmm2/m128, imm8
RM V/V SSE4_2 Perform a packed comparison of string data
with implicit lengths, generating a mask, and
storing the result in XMM0.
VEX.128.66.0F3A.WIG 62 /r ib
VPCMPISTRM xmm1, xmm2/m128, imm8
RM V/V AVX Perform a packed comparison of string data
with implicit lengths, generating a Mask, and
storing the result in XMM0.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r) ModRM:r/m (r) imm8 NA
O p e ra t in g m o d e /size O p e ra n d 1 O p e ra n d 2 R e su lt
16 bit xmm xmm/m128 XMM0
32 bit xmm xmm/m128 XMM0
64 bit xmm xmm/m128 XMM0
64 bit +REX.W xmm xmm/m128 XMM0
PCMPISTRM Packed Compare Implicit Length Strings, Return Mask
INSTRUCTION SET REFERENCE, N-Z
4-90 Vol. 2B
I n t e l C /C ++C o m p ile r I n t rin sics Fo r R e a d in g E F la g R e su lt s
int _mm_cmpistra (__m128i a, __m128i b, const int mode);
int _mm_cmpistrc (__m128i a, __m128i b, const int mode);
int _mm_cmpistro (__m128i a, __m128i b, const int mode);
int _mm_cmpistrs (__m128i a, __m128i b, const int mode);
int _mm_cmpistrz (__m128i a, __m128i b, const int mode);
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally, this instruction does not cause #GP if the memory operand is not aligned to 16
Byte boundary, and
#UD If VEX.L = 1.
If VEX.vvvv != 1111B.
PDEP Parallel Bits Deposit
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-91
P D E P P a ra lle l B it s D e p o sit
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
PDEP uses a mask in the second source operand (the third operand) to transfer/scatter contiguous low order bits
in the first source operand (the second operand) into the destination (the first operand). PDEP takes the low bits
from the first source operand and deposit them in the destination operand at the corresponding bit locations that
are set in the second source operand (mask). All other bits (bits not set in mask) in destination are set to zero.
F ig u re 4 -4 . P D E P E x a m p le
This instruction is not supported in real mode and virtual-8086 mode. The operand size is always 32 bits if not in
64-bit mode. In 64-bit mode operand size 64 requires VEX.W1. VEX.W1 is ignored in non-64-bit modes. An
attempt to execute this instruction with VEX.L not equal to 0 will cause #UD.
O p e ra t io n
TEMP SRC1;
MASK SRC2;
DEST 0 ;
m 0, k 0;
DOWHILE m<OperandSize
IF MASK[ m] =1 THEN
DEST[ m] TEMP[ k];
k k+1;
FI
m m+1;
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.NDS.LZ.F2.0F38.W0 F5 /r RVM V/V BMI2 Parallel deposit of bits fromr32b using mask in r/m32, result is writ-
ten to r32a.
PDEP r32a, r32b, r/m32
VEX.NDS.LZ.F2.0F38.W1 F5 /r RVM V/N.E. BMI2 Parallel deposit of bits fromr64b using mask in r/m64, result is writ-
ten to r64a.
PDEP r64a, r64b, r/m64
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
0 0 1 0 0 1 0 1 0 1 0 0 0
0 0 0 0 0 0 0 0 0 DEST
SRC1
SRC2
(mask)
S
31
S
30
S
29
S
28
S
27
S
7
S
6
S
5
S
3
S
4
S
2
S
1
S
0
S
0
S
1
S
2
S
3
bit 0
bit 31
PDEP Parallel Bits Deposit
INSTRUCTION SET REFERENCE, N-Z
4-92 Vol. 2B
OD
F la g s Af fe ct e d
None.
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
PDEP: unsigned __int32 _pdep_u32(unsigned __int32 src, unsigned __int32 mask);
PDEP: unsigned __int64 _pdep_u64(unsigned __int64 src, unsigned __int32 mask);
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Section 2.5.1, Exception Conditions for VEX-Encoded GPR Instructions, Table 2-29; additionally
#UD If VEX.W = 1.
PEXT Parallel Bits Extract
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-93
P E XT P a ra lle l B it s E x t ra ct
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
PEXT uses a mask in the second source operand (the third operand) to transfer either contiguous or non-contig-
uous bits in the first source operand (the second operand) to contiguous low order bit positions in the destination
(the first operand). For each bit set in the MASK, PEXT extracts the corresponding bits from the first source
operand and writes them into contiguous lower bits of destination operand. The remaining upper bits of destination
are zeroed.
F ig u re 4 -5 . P E XT E x a m p le
This instruction is not supported in real mode and virtual-8086 mode. The operand size is always 32 bits if not in
64-bit mode. In 64-bit mode operand size 64 requires VEX.W1. VEX.W1 is ignored in non-64-bit modes. An
attempt to execute this instruction with VEX.L not equal to 0 will cause #UD.
O p e ra t io n
TEMP SRC1;
MASK SRC2;
DEST 0 ;
m 0, k 0;
DOWHILE m<OperandSize
IF MASK[ m] =1 THEN
DEST[ k] TEMP[ m];
k k+1;
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.NDS.LZ.F3.0F38.W0 F5 /r RVM V/V BMI2 Parallel extract of bits from r32b using mask in r/m32, result is writ-
ten to r32a.
PEXT r32a, r32b, r/m32
VEX.NDS.LZ.F3.0F38.W1 F5 /r RVM V/N.E. BMI2 Parallel extract of bits fromr64b using mask in r/m64, result is writ-
ten to r64a.
PEXT r64a, r64b, r/m64
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
DEST
SRC1
SRC2
(mask)
S
31
S
30
S
29
S
28
S
27
S
7
S
6
S
5
S
3
S
4
S
2
S
1
S
0
0 0 1 0 1 0 1 0 1 0 0 0
S
2
S
5
S
7
0 0 0 0 0 0 0 0 0
0
S
28
bit 0
bit 31
PEXT Parallel Bits Extract
INSTRUCTION SET REFERENCE, N-Z
4-94 Vol. 2B
FI
m m+1;
OD
F la g s Af fe ct e d
None.
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
PEXT: unsigned __int32 _pext_u32(unsigned __int32 src, unsigned __int32 mask);
PEXT: unsigned __int64 _pext_u64(unsigned __int64 src, unsigned __int32 mask);
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Section 2.5.1, Exception Conditions for VEX-Encoded GPR Instructions, Table 2-29; additionally
#UD If VEX.W = 1.
PEXTRB/PEXTRD/PEXTRQ Extract Byte/Dword/Qword
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-95
P E XT R B /P E XT R D /P E XT R Q E x t ra ct B y t e /D w o rd /Q w o rd
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Extract a byte/dword/qword integer value from the source XMM register at a byte/dword/qword offset determined
from imm8[3:0]. The destination can be a register or byte/dword/qword memory location. If the destination is a
register, the upper bits of the register are zero extended.
In legacy non-VEX encoded version and if the destination operand is a register, the default operand size in 64-bit
mode for PEXTRB/PEXTRD is 64 bits, the bits above the least significant byte/dword data are filled with zeros.
PEXTRQ is not encodable in non-64-bit modes and requires REX.W in 64-bit mode.
Note: In VEX.128 encoded versions, VEX.vvvv is reserved and must be 1111b, VEX.L must be 0, otherwise the
instruction will #UD. If the destination operand is a register, the default operand size in 64-bit mode for
VPEXTRB/VPEXTRD is 64 bits, the bits above the least significant byte/word/dword data are filled with zeros.
Attempt to execute VPEXTRQ in non-64-bit mode will cause #UD.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 3A 14
/r ib
PEXTRB reg/m8, xmm2, imm8
MRI V/V SSE4_1 Extract a byte integer value from xmm2 at the
source byte offset specified by imm8 into reg
or m8. The upper bits of r32 or r64 are zeroed.
66 0F 3A 16
/r ib
PEXTRD r/m32, xmm2, imm8
MRI V/V SSE4_1 Extract a dword integer value from xmm2 at
the source dword offset specified by imm8
into r/m32.
66 REX.W 0F 3A 16
/r ib
PEXTRQ r/m64, xmm2, imm8
MRI V/N.E. SSE4_1 Extract a qword integer value from xmm2 at
the source qword offset specified by imm8
into r/m64.
VEX.128.66.0F3A.W0 14 /r ib
VPEXTRB reg/m8, xmm2, imm8
MRI V
1
/V AVX Extract a byte integer value from xmm2 at the
source byte offset specified by imm8 into reg
or m8. The upper bits of r64/r32 is filled with
zeros.
VEX.128.66.0F3A.W0 16 /r ib
VPEXTRD r32/m32, xmm2, imm8
MRI V/V AVX Extract a dword integer value from xmm2 at
the source dword offset specified by imm8
into r32/m32.
VEX.128.66.0F3A.W1 16 /r ib
VPEXTRQ r64/m64, xmm2, imm8
MRI V/i AVX Extract a qword integer value from xmm2 at
the source dword offset specified by imm8
into r64/m64.
NOTES:
1. In 64-bit mode, VEX.W1 is ignored for VPEXTRB (similar to legacy REX.W=1 prefix in PEXTRB).
Op/En Operand 1 Operand 2 Operand 3 Operand 4
MRI ModRM:r/m (w) ModRM:reg (r) imm8 NA
PEXTRB/PEXTRD/PEXTRQ Extract Byte/Dword/Qword
INSTRUCTION SET REFERENCE, N-Z
4-96 Vol. 2B
O p e ra t io n
CASE of
PEXTRB: SEL COUNT[3:0];
TEMP (Src >>SEL*8) AND FFH;
IF (DEST =Mem8)
THEN
Mem8 TEMP[7:0];
ELSE IF (64-Bit Mode and 64-bit register selected)
THEN
R64[7:0] TEMP[7:0];
r64[63:8] ZERO_FILL; };
ELSE
R32[7:0] TEMP[7:0];
r32[31:8] ZERO_FILL; };
FI;
PEXTRD:SEL COUNT[1:0];
TEMP (Src >>SEL*32) AND FFFF_FFFFH;
DEST TEMP;
PEXTRQ: SEL COUNT[0];
TEMP (Src >>SEL*64);
DEST TEMP;
EASC:
(V )P E XT R T D /(V )P E XT R Q
IF (64-Bit Mode and 64-bit dest operand)
THEN
Src_Offset Imm8[0]
r64/m64 (Src >>Src_Offset * 64)
ELSE
Src_Offset Imm8[1:0]
r32/m32 ((Src >>Src_Offset *32) AND 0FFFFFFFFh);
FI
(V)P E XT R B ( d e st = m 8 )
SRC_Offset Imm8[3:0]
Mem8 (Src >>Src_Offset*8)
(V)P E XT R B ( d e st = re g )
IF (64-Bit Mode )
THEN
SRC_Offset Imm8[3:0]
DEST[7:0] ((Src >>Src_Offset*8) AND 0FFh)
DEST[63:8] ZERO_FILL;
ELSE
SRC_Offset . Imm8[3:0];
DEST[7:0] ((Src >>Src_Offset*8) AND 0FFh);
DEST[31:8] ZERO_FILL;
FI
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
PEXTRB: int _mm_extract_epi8 (__m128i src, const int ndx);
PEXTRD: int _mm_extract_epi32 (__m128i src, const int ndx);
PEXTRB/PEXTRD/PEXTRQ Extract Byte/Dword/Qword
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-97
PEXTRQ: __int64 _mm_extract_epi64 (__m128i src, const int ndx);
F la g s Af fe ct e d
None.
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 5; additionally
#UD If VEX.L = 1.
If VEX.vvvv != 1111B.
If VPEXTRQ in non-64-bit mode, VEX.W=1.
PEXTRWExtract Word
INSTRUCTION SET REFERENCE, N-Z
4-98 Vol. 2B
P E XT R WE x t ra ct Wo rd
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Copies the word in the source operand (second operand) specified by the count operand (third operand) to the
destination operand (first operand). The source operand can be an MMX technology register or an XMM register.
The destination operand can be the low word of a general-purpose register or a 16-bit memory address. The count
operand is an 8-bit immediate. When specifying a word location in an MMX technology register, the 2 least-signifi-
cant bits of the count operand specify the location; for an XMM register, the 3 least-significant bits specify the loca-
tion. The content of the destination register above bit 16 is cleared (set to all 0s).
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15, R8-15). If the destination operand is a general-purpose register, the default operand size is 64-bits
in 64-bit mode.
Note: In VEX.128 encoded versions, VEX.vvvv is reserved and must be 1111b, VEX.L must be 0, otherwise the
instruction will #UD. If the destination operand is a register, the default operand size in 64-bit mode for VPEXTRW
is 64 bits, the bits above the least significant byte/word/dword data are filled with zeros.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F C5 /r ib
1
PEXTRW reg, mm, imm8
RMI V/V SSE Extract the word specified by imm8 frommm
and move it to reg, bits 15-0. The upper bits of
r32 or r64 is zeroed.
66 0F C5 /r ib
PEXTRW reg, xmm, imm8
RMI V/V SSE2 Extract the word specified by imm8 from xmm
and move it to reg, bits 15-0. The upper bits of
r32 or r64 is zeroed.
66 0F 3A 15
/r ib
PEXTRW reg/m16, xmm, imm8
MRI V/V SSE4_1 Extract the word specified by imm8 from xmm
and copy it to lowest 16 bits of reg or m16.
Zero-extend the result in the destination, r32
or r64.
VEX.128.66.0F.W0 C5 /r ib
VPEXTRW reg, xmm1, imm8
RMI V
2
/V AVX Extract the word specified by imm8 from
xmm1 and move it to reg, bits 15:0. Zero-
extend the result. The upper bits of r64/r32 is
filled with zeros.
VEX.128.66.0F3A.W0 15 /r ib
VPEXTRW reg/m16, xmm2, imm8
MRI V/V AVX Extract a word integer value fromxmm2 at
the source word offset specified by imm8 into
reg or m16. The upper bits of r64/r32 is filled
with zeros.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
2. In 64-bit mode, VEX.W1 is ignored for VPEXTRW (similar to legacy REX.W=1 prefix in PEXTRW).
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RMI ModRM:reg (w) ModRM:r/m (r) imm8 NA
MRI ModRM:r/m (w) ModRM:reg (r) imm8 NA
PEXTRWExtract Word
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-99
O p e ra t io n
IF (DEST =Mem16)
THEN
SEL COUNT[2:0];
TEMP (Src >>SEL*16) AND FFFFH;
Mem16 TEMP[15:0];
ELSE IF (64-Bit Mode and destination is a general-purpose register)
THEN
FOR (PEXTRW instruction with 64-bit source operand)
{ SEL COUNT[1:0];
TEMP (SRC >> (SEL 16)) AND FFFFH;
r64[15:0] TEMP[15:0];
r64[63:16] ZERO_FILL; };
FOR (PEXTRW instruction with 128-bit source operand)
{ SEL COUNT[2:0];
TEMP (SRC >> (SEL 16)) AND FFFFH;
r64[15:0] TEMP[15:0];
r64[63:16] ZERO_FILL; }
ELSE
FOR (PEXTRW instruction with 64-bit source operand)
{ SEL COUNT[1:0];
TEMP (SRC >> (SEL 16)) AND FFFFH;
r32[15:0] TEMP[15:0];
r32[31:16] ZERO_FILL; };
FOR (PEXTRW instruction with 128-bit source operand)
{ SEL COUNT[2:0];
TEMP (SRC >> (SEL 16)) AND FFFFH;
r32[15:0] TEMP[15:0];
r32[31:16] ZERO_FILL; };
FI;
FI;
(V)P E XT R W ( d e st = m 1 6 )
SRC_Offset Imm8[2:0]
Mem16 (Src >>Src_Offset*16)
(V)P E XT R W ( d e st = re g )
IF (64-Bit Mode )
THEN
SRC_Offset Imm8[2:0]
DEST[15:0] ((Src >>Src_Offset*16) AND 0FFFFh)
DEST[63:16] ZERO_FILL;
ELSE
SRC_Offset Imm8[2:0]
DEST[15:0] ((Src >>Src_Offset*16) AND 0FFFFh)
DEST[31:16] ZERO_FILL;
FI
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
PEXTRW: int _mm_extract_pi16 (__m64 a, int n)
PEXTRW: int _mm_extract_epi16 ( __m128i a, int imm)
PEXTRWExtract Word
INSTRUCTION SET REFERENCE, N-Z
4-100 Vol. 2B
F la g s Af fe ct e d
None.
N u m e ric E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 5; additionally
#UD If VEX.L = 1.
If VEX.vvvv != 1111B.
PHADDW/PHADDD Packed Horizontal Add
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-101
P H AD D W/P H AD D D P a cke d H o rizo n t a l Ad d
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
(V)PHADDW adds two adjacent 16-bit signed integers horizontally from the source and destination operands and
packs the 16-bit signed results to the destination operand (first operand). (V)PHADDD adds two adjacent 32-bit
signed integers horizontally from the source and destination operands and packs the 32-bit signed results to the
destination operand (first operand). When the source operand is a 128-bit memory operand, the operand must be
aligned on a 16-byte boundary or a general-protection exception (#GP) will be generated.
Note that these instructions can operate on either unsigned or signed (twos complement notation) integers;
however, it does not set bits in the EFLAGS register to indicate overflow and/or a carry. To prevent undetected
overflow conditions, software must control the ranges of the values operated on.
Legacy SSE instructions: Both operands can be MMX registers. The second source operand can be an MMX register
or a 64-bit memory location.
128-bit Legacy SSE version: The first source and destination operands are XMM registers. The second source
operand can be an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the corresponding YMM
destination register remain unchanged.
In 64-bit mode, use the REX prefix to access additional registers.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 38 01 /r
1

PHADDW mm1, mm2/m64
RM V/V SSSE3 Add 16-bit integers horizontally, pack to mm1.
66 0F 38 01 /r
PHADDW xmm1, xmm2/m128
RM V/V SSSE3 Add 16-bit integers horizontally, pack to
xmm1.
0F 38 02 /r
PHADDD mm1, mm2/m64
RM V/V SSSE3 Add 32-bit integers horizontally, pack to mm1.
66 0F 38 02 /r
PHADDD xmm1, xmm2/m128
RM V/V SSSE3 Add 32-bit integers horizontally, pack to
xmm1.
VEX.NDS.128.66.0F38.WIG 01 /r
VPHADDW xmm1, xmm2, xmm3/m128
RVM V/V AVX Add 16-bit integers horizontally, pack to
xmm1.
VEX.NDS.128.66.0F38.WIG 02 /r
VPHADDD xmm1, xmm2, xmm3/m128
RVM V/V AVX Add 32-bit integers horizontally, pack to
xmm1.
VEX.NDS.256.66.0F38.WIG 01 /r
VPHADDW ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Add 16-bit signed integers horizontally, pack
to ymm1.
VEX.NDS.256.66.0F38.WIG 02 /r
VPHADDD ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Add 32-bit signed integers horizontally, pack
to ymm1.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
PHADDW/PHADDD Packed Horizontal Add
INSTRUCTION SET REFERENCE, N-Z
4-102 Vol. 2B
VEX.128 encoded version: The first source and destination operands are XMM registers. The second source
operand can be an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the corresponding YMM
register are zeroed.
VEX.256 encoded version: Horizontal addition of two adjacent data elements of the low 16-bytes of the first and
second source operands are packed into the low 16-bytes of the destination operand. Horizontal addition of two
adjacent data elements of the high 16-bytes of the first and second source operands are packed into the high 16-
bytes of the destination operand. The first source and destination operands are YMM registers. The second source
operand can be an YMM register or a 256-bit memory location.
Note: VEX.L must be 0, otherwise the instruction will #UD.
F ig u re 4 -6 . 2 5 6 -b it V P H AD D D I n st ru ct io n O p e ra t io n
O p e ra t io n
P H AD D W (w it h 6 4 -b it o p e ra n d s)
mm1[15-0] =mm1[31-16] +mm1[15-0];
mm1[31-16] =mm1[63-48] +mm1[47-32];
mm1[47-32] =mm2/m64[31-16] +mm2/m64[15-0];
mm1[63-48] =mm2/m64[63-48] +mm2/m64[47-32];
P H AD D W (w it h 1 2 8 -b it o p e ra n d s)
xmm1[15-0] =xmm1[31-16] +xmm1[15-0];
xmm1[31-16] =xmm1[63-48] +xmm1[47-32];
xmm1[47-32] =xmm1[95-80] +xmm1[79-64];
xmm1[63-48] =xmm1[127-112] +xmm1[111-96];
xmm1[79-64] =xmm2/m128[31-16] +xmm2/m128[15-0];
xmm1[95-80] =xmm2/m128[63-48] +xmm2/m128[47-32];
xmm1[111-96] =xmm2/m128[95-80] +xmm2/m128[79-64];
xmm1[127-112] =xmm2/m128[127-112] +xmm2/m128[111-96];
VP H AD D W (VE X.1 2 8 e n co d e d v e rsio n )
DEST[15:0] SRC1[31:16] +SRC1[15:0]
DEST[31:16] SRC1[63:48] +SRC1[47:32]
DEST[47:32] SRC1[95:80] +SRC1[79:64]
DEST[63:48] SRC1[127:112] +SRC1[111:96]
DEST[79:64] SRC2[31:16] +SRC2[15:0]
DEST[95:80] SRC2[63:48] +SRC2[47:32]
DEST[111:96] SRC2[95:80] +SRC2[79:64]
DEST[127:112] SRC2[127:112] +SRC2[111:96]
DEST[VLMAX-1:128] 0
X0 X3 X2 X1
Y0 Y3 Y2 Y1
X4 X7 X6 X5 Y4 Y7 Y6 Y5 SRC2
S0
Dest
S3 S4
0 255
SRC1
S7 S2 S1 S3 S3
PHADDW/PHADDD Packed Horizontal Add
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-103
VP H AD D W (VE X.2 5 6 e n co d e d v e rsio n )
DEST[15:0] SRC1[31:16] +SRC1[15:0]
DEST[31:16] SRC1[63:48] +SRC1[47:32]
DEST[47:32] SRC1[95:80] +SRC1[79:64]
DEST[63:48] SRC1[127:112] +SRC1[111:96]
DEST[79:64] SRC2[31:16] +SRC2[15:0]
DEST[95:80] SRC2[63:48] +SRC2[47:32]
DEST[111:96] SRC2[95:80] +SRC2[79:64]
DEST[127:112] SRC2[127:112] +SRC2[111:96]
DEST[143:128] SRC1[159:144] +SRC1[143:128]
DEST[159:144] SRC1[191:176] +SRC1[175:160]
DEST[175:160] SRC1[223:208] +SRC1[207:192]
DEST[191:176] SRC1[255:240] +SRC1[239:224]
DEST[207:192] SRC2[127:112] +SRC2[143:128]
DEST[223:208] SRC2[159:144] +SRC2[175:160]
DEST[239:224] SRC2[191:176] +SRC2[207:192]
DEST[255:240] SRC2[223:208] +SRC2[239:224]
P H AD D D (w it h 6 4 -b it o p e ra n d s)
mm1[31-0] =mm1[63-32] +mm1[31-0];
mm1[63-32] =mm2/m64[63-32] +mm2/m64[31-0];
P H AD D D (w it h 1 2 8 -b it o p e ra n d s)
xmm1[31-0] =xmm1[63-32] +xmm1[31-0];
xmm1[63-32] =xmm1[127-96] +xmm1[95-64];
xmm1[95-64] =xmm2/m128[63-32] +xmm2/m128[31-0];
xmm1[127-96] =xmm2/m128[127-96] +xmm2/m128[95-64];
VP H AD D D (VE X.1 2 8 e n co d e d v e rsio n )
DEST[31-0] SRC1[63-32] +SRC1[31-0]
DEST[63-32] SRC1[127-96] +SRC1[95-64]
DEST[95-64] SRC2[63-32] +SRC2[31-0]
DEST[127-96] SRC2[127-96] +SRC2[95-64]
DEST[VLMAX-1:128] 0
VP H AD D D (VE X.2 5 6 e n co d e d v e rsio n )
DEST[31-0] SRC1[63-32] +SRC1[31-0]
DEST[63-32] SRC1[127-96] +SRC1[95-64]
DEST[95-64] SRC2[63-32] +SRC2[31-0]
DEST[127-96] SRC2[127-96] +SRC2[95-64]
DEST[159-128] SRC1[191-160] +SRC1[159-128]
DEST[191-160] SRC1[255-224] +SRC1[223-192]
DEST[223-192] SRC2[191-160] +SRC2[159-128]
DEST[255-224] SRC2[255-224] +SRC2[223-192]
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t s
PHADDW: __m64 _mm_hadd_pi16 (__m64 a, __m64 b)
PHADDD: __m64 _mm_hadd_pi32 (__m64 a, __m64 b)
(V)PHADDW: __m128i _mm_hadd_epi16 (__m128i a, __m128i b)
(V)PHADDD: __m128i _mm_hadd_epi32 (__m128i a, __m128i b)
VPHADDW: __m256i _mm256_hadd_epi16 (__m256i a, __m256i b)
VPHADDD: __m256i _mm256_hadd_epi32 (__m256i a, __m256i b)
PHADDW/PHADDD Packed Horizontal Add
INSTRUCTION SET REFERENCE, N-Z
4-104 Vol. 2B
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PHADDSW Packed Horizontal Add and Saturate
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-105
P H AD D S W P a cke d H o rizo n t a l Ad d a n d S a t u ra t e
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
(V)PHADDSW adds two adjacent signed 16-bit integers horizontally from the source and destination operands and
saturates the signed results; packs the signed, saturated 16-bit results to the destination operand (first operand)
When the source operand is a 128-bit memory operand, the operand must be aligned on a 16-byte boundary or a
general-protection exception (#GP) will be generated.
Legacy SSE version: Both operands can be MMX registers. The second source operand can be an MMX register or
a 64-bit memory location.
128-bit Legacy SSE version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the corresponding YMM destina-
tion register remain unchanged.
In 64-bit mode, use the REX prefix to access additional registers.
VEX.128 encoded version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the destination YMM register are
zeroed.
VEX.256 encoded version: The first source and destination operands are YMM registers. The second source
operand can be an YMM register or a 256-bit memory location.
Note: VEX.L must be 0, otherwise the instruction will #UD.
O p e ra t io n
P H AD D S W (w it h 6 4 -b it o p e ra n d s)
mm1[15-0] =SaturateToSignedWord((mm1[31-16] +mm1[15-0]);
mm1[31-16] =SaturateToSignedWord(mm1[63-48] +mm1[47-32]);
mm1[47-32] =SaturateToSignedWord(mm2/m64[31-16] +mm2/m64[15-0]);
mm1[63-48] =SaturateToSignedWord(mm2/m64[63-48] +mm2/m64[47-32]);
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 38 03 /r
1

PHADDSW mm1, mm2/m64
RM V/V SSSE3 Add 16-bit signed integers horizontally, pack
saturated integers to mm1.
66 0F 38 03 /r
PHADDSW xmm1, xmm2/m128
RM V/V SSSE3 Add 16-bit signed integers horizontally, pack
saturated integers to xmm1.
VEX.NDS.128.66.0F38.WIG 03 /r
VPHADDSW xmm1, xmm2, xmm3/m128
RVM V/V AVX Add 16-bit signed integers horizontally, pack
saturated integers to xmm1.
VEX.NDS.256.66.0F38.WIG 03 /r
VPHADDSW ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Add 16-bit signed integers horizontally, pack
saturated integers to ymm1.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
PHADDSW Packed Horizontal Add and Saturate
INSTRUCTION SET REFERENCE, N-Z
4-106 Vol. 2B
P H AD D S W (w it h 1 2 8 -b it o p e ra n d s)
xmm1[15-0]=SaturateToSignedWord(xmm1[31-16] +xmm1[15-0]);
xmm1[31-16] =SaturateToSignedWord(xmm1[63-48] +xmm1[47-32]);
xmm1[47-32] =SaturateToSignedWord(xmm1[95-80] +xmm1[79-64]);
xmm1[63-48] =SaturateToSignedWord(xmm1[127-112] +xmm1[111-96]);
xmm1[79-64] =SaturateToSignedWord(xmm2/m128[31-16] +xmm2/m128[15-0]);
xmm1[95-80] =SaturateToSignedWord(xmm2/m128[63-48] +xmm2/m128[47-32]);
xmm1[111-96] =SaturateToSignedWord(xmm2/m128[95-80] +xmm2/m128[79-64]);
xmm1[127-112] =SaturateToSignedWord(xmm2/m128[127-112] +xmm2/m128[111-96]);
VP H AD D S W (V E X.1 2 8 e n co d e d v e rsio n )
DEST[15:0]=SaturateToSignedWord(SRC1[31:16] +SRC1[15:0])
DEST[31:16] =SaturateToSignedWord(SRC1[63:48] +SRC1[47:32])
DEST[47:32] =SaturateToSignedWord(SRC1[95:80] +SRC1[79:64])
DEST[63:48] =SaturateToSignedWord(SRC1[127:112] +SRC1[111:96])
DEST[79:64] =SaturateToSignedWord(SRC2[31:16] +SRC2[15:0])
DEST[95:80] =SaturateToSignedWord(SRC2[63:48] +SRC2[47:32])
DEST[111:96] =SaturateToSignedWord(SRC2[95:80] +SRC2[79:64])
DEST[127:112] =SaturateToSignedWord(SRC2[127:112] +SRC2[111:96])
DEST[VLMAX-1:128] 0
VP H AD D S W (V E X.2 5 6 e n co d e d v e rsio n )
DEST[15:0]=SaturateToSignedWord(SRC1[31:16] +SRC1[15:0])
DEST[31:16] =SaturateToSignedWord(SRC1[63:48] +SRC1[47:32])
DEST[47:32] =SaturateToSignedWord(SRC1[95:80] +SRC1[79:64])
DEST[63:48] =SaturateToSignedWord(SRC1[127:112] +SRC1[111:96])
DEST[79:64] =SaturateToSignedWord(SRC2[31:16] +SRC2[15:0])
DEST[95:80] =SaturateToSignedWord(SRC2[63:48] +SRC2[47:32])
DEST[111:96] =SaturateToSignedWord(SRC2[95:80] +SRC2[79:64])
DEST[127:112] =SaturateToSignedWord(SRC2[127:112] +SRC2[111:96])
DEST[143:128]=SaturateToSignedWord(SRC1[159:144] +SRC1[143:128])
DEST[159:144] =SaturateToSignedWord(SRC1[191:176] +SRC1[175:160])
DEST[175:160] =SaturateToSignedWord( SRC1[223:208] +SRC1[207:192])
DEST[191:176] =SaturateToSignedWord(SRC1[255:240] +SRC1[239:224])
DEST[207:192] =SaturateToSignedWord(SRC2[127:112] +SRC2[143:128])
DEST[223:208] =SaturateToSignedWord(SRC2[159:144] +SRC2[175:160])
DEST[239:224] =SaturateToSignedWord(SRC2[191-160] +SRC2[159-128])
DEST[255:240] =SaturateToSignedWord(SRC2[255:240] +SRC2[239:224])
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
PHADDSW: __m64 _mm_hadds_pi16 (__m64 a, __m64 b)
(V)PHADDSW: __m128i _mm_hadds_epi16 (__m128i a, __m128i b)
VPHADDSW: __m256i _mm256_hadds_epi16 (__m256i a, __m256i b)
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PHMINPOSUW Packed Horizontal Word Minimum
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-107
P H MI N P O S U W P a cke d H o rizo n t a l Wo rd Min im u m
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Determine the minimum unsigned word value in the source operand (second operand) and place the unsigned
word in the low word (bits 0-15) of the destination operand (first operand). The word index of the minimum value
is stored in bits 16-18 of the destination operand. The remaining upper bits of the destination are set to zero.
128-bit Legacy SSE version: Bits (VLMAX-1:128) of the corresponding YMM destination register remain
unchanged.
VEX.128 encoded version: Bits (VLMAX-1:128) of the destination YMM register are zeroed. VEX.vvvv is reserved
and must be 1111b, VEX.L must be 0, otherwise the instruction will #UD.
O p e ra t io n
P H MI N P O S U W (1 2 8 -b it Le g a cy S S E v e rsio n )
INDEX 0;
MIN SRC[15:0]
IF (SRC[31:16] <MIN)
THEN INDEX 1; MIN SRC[31:16]; FI;
IF (SRC[47:32] <MIN)
THEN INDEX 2; MIN SRC[47:32]; FI;
* Repeat operation for words 3 through 6
IF (SRC[127:112] <MIN)
THEN INDEX 7; MIN SRC[127:112]; FI;
DEST[15:0] MIN;
DEST[18:16] INDEX;
DEST[127:19] 0000000000000000000000000000H;
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 38 41 /r
PHMINPOSUW xmm1, xmm2/m128
RM V/V SSE4_1 Find the minimum unsigned word in
xmm2/m128 and place its value in the low
word of xmm1 and its index in the second-
lowest word of xmm1.
VEX.128.66.0F38.WIG 41 /r
VPHMINPOSUW xmm1, xmm2/m128
RM V/V AVX Find the minimum unsigned word in
xmm2/m128 and place its value in the low
word of xmm1 and its index in the second-
lowest word of xmm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m(r) NA NA
PHMINPOSUW Packed Horizontal Word Minimum
INSTRUCTION SET REFERENCE, N-Z
4-108 Vol. 2B
VP H MI N P O S U W (VE X.1 2 8 e n co d e d v e rsio n )
INDEX 0
MIN SRC[15:0]
IF (SRC[31:16] <MIN) THEN INDEX 1; MIN SRC[31:16]
IF (SRC[47:32] <MIN) THEN INDEX 2; MIN SRC[47:32]
* Repeat operation for words 3 through 6
IF (SRC[127:112] <MIN) THEN INDEX 7; MIN SRC[127:112]
DEST[15:0] MIN
DEST[18:16] INDEX
DEST[127:19] 0000000000000000000000000000H
DEST[VLMAX-1:128] 0
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
PHMINPOSUW: __m128i _mm_minpos_epu16( __m128i packed_words);
F la g s Af fe ct e d
None.
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
If VEX.vvvv != 1111B.
PHSUBW/PHSUBD Packed Horizontal Subtract
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-109
P H S U B W/P H S U B D P a cke d H o rizo n t a l S u b t ra ct
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
(V)PHSUBW performs horizontal subtraction on each adjacent pair of 16-bit signed integers by subtracting the
most significant word from the least significant word of each pair in the source and destination operands, and packs
the signed 16-bit results to the destination operand (first operand). (V)PHSUBD performs horizontal subtraction on
each adjacent pair of 32-bit signed integers by subtracting the most significant doubleword from the least signifi-
cant doubleword of each pair, and packs the signed 32-bit result to the destination operand. When the source
operand is a 128-bit memory operand, the operand must be aligned on a 16-byte boundary or a general-protection
exception (#GP) will be generated.
Legacy SSE version: Both operands can be MMX registers. The second source operand can be an MMX register or
a 64-bit memory location.
128-bit Legacy SSE version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the corresponding YMM destina-
tion register remain unchanged.
In 64-bit mode, use the REX prefix to access additional registers.
VEX.128 encoded version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the destination YMM register are
zeroed.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 38 05 /r
1
PHSUBW mm1, mm2/m64
RM V/V SSSE3 Subtract 16-bit signed integers horizontally,
pack to mm1.
66 0F 38 05 /r
PHSUBW xmm1, xmm2/m128
RM V/V SSSE3 Subtract 16-bit signed integers horizontally,
pack to xmm1.
0F 38 06 /r
PHSUBD mm1, mm2/m64
RM V/V SSSE3 Subtract 32-bit signed integers horizontally,
pack to mm1.
66 0F 38 06 /r
PHSUBD xmm1, xmm2/m128
RM V/V SSSE3 Subtract 32-bit signed integers horizontally,
pack to xmm1.
VEX.NDS.128.66.0F38.WIG 05 /r
VPHSUBW xmm1, xmm2, xmm3/m128
RVM V/V AVX Subtract 16-bit signed integers horizontally,
pack to xmm1.
VEX.NDS.128.66.0F38.WIG 06 /r
VPHSUBD xmm1, xmm2, xmm3/m128
RVM V/V AVX Subtract 32-bit signed integers horizontally,
pack to xmm1.
VEX.NDS.256.66.0F38.WIG 05 /r
VPHSUBW ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Subtract 16-bit signed integers horizontally,
pack to ymm1.
VEX.NDS.256.66.0F38.WIG 06 /r
VPHSUBD ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Subtract 32-bit signed integers horizontally,
pack to ymm1.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (r, w) VEX.vvvv (r) ModRM:r/m (r) NA
PHSUBW/PHSUBD Packed Horizontal Subtract
INSTRUCTION SET REFERENCE, N-Z
4-110 Vol. 2B
VEX.256 encoded version: The first source and destination operands are YMM registers. The second source
operand can be an YMM register or a 256-bit memory location.
Note: VEX.L must be 0, otherwise the instruction will #UD.
O p e ra t io n
P H S U B W (w it h 6 4 -b it o p e ra n d s)
mm1[15-0] =mm1[15-0] - mm1[31-16];
mm1[31-16] =mm1[47-32] - mm1[63-48];
mm1[47-32] =mm2/m64[15-0] - mm2/m64[31-16];
mm1[63-48] =mm2/m64[47-32] - mm2/m64[63-48];
P H S U B W (w it h 1 2 8 -b it o p e ra n d s)
xmm1[15-0] =xmm1[15-0] - xmm1[31-16];
xmm1[31-16] =xmm1[47-32] - xmm1[63-48];
xmm1[47-32] =xmm1[79-64] - xmm1[95-80];
xmm1[63-48] =xmm1[111-96] - xmm1[127-112];
xmm1[79-64] =xmm2/m128[15-0] - xmm2/m128[31-16];
xmm1[95-80] =xmm2/m128[47-32] - xmm2/m128[63-48];
xmm1[111-96] =xmm2/m128[79-64] - xmm2/m128[95-80];
xmm1[127-112] =xmm2/m128[111-96] - xmm2/m128[127-112];
VP H S U B W (V E X.1 2 8 e n co d e d v e rsio n )
DEST[15:0] SRC1[15:0] - SRC1[31:16]
DEST[31:16] SRC1[47:32] - SRC1[63:48]
DEST[47:32] SRC1[79:64] - SRC1[95:80]
DEST[63:48] SRC1[111:96] - SRC1[127:112]
DEST[79:64] SRC2[15:0] - SRC2[31:16]
DEST[95:80] SRC2[47:32] - SRC2[63:48]
DEST[111:96] SRC2[79:64] - SRC2[95:80]
DEST[127:112] SRC2[111:96] - SRC2[127:112]
DEST[VLMAX-1:128] 0
VP H S U B W (V E X.2 5 6 e n co d e d v e rsio n )
DEST[15:0] SRC1[15:0] - SRC1[31:16]
DEST[31:16] SRC1[47:32] - SRC1[63:48]
DEST[47:32] SRC1[79:64] - SRC1[95:80]
DEST[63:48] SRC1[111:96] - SRC1[127:112]
DEST[79:64] SRC2[15:0] - SRC2[31:16]
DEST[95:80] SRC2[47:32] - SRC2[63:48]
DEST[111:96] SRC2[79:64] - SRC2[95:80]
DEST[127:112] SRC2[111:96] - SRC2[127:112]
DEST[143:128] SRC1[143:128] - SRC1[159:144]
DEST[159:144] SRC1[175:160] - SRC1[191:176]
DEST[175:160] SRC1[207:192] - SRC1[223:208]
DEST[191:176] SRC1[239:224] - SRC1[255:240]
DEST[207:192] SRC2[143:128] - SRC2[159:144]
DEST[223:208] SRC2[175:160] - SRC2[191:176]
DEST[239:224] SRC2[207:192] - SRC2[223:208]
DEST[255:240] SRC2[239:224] - SRC2[255:240]
P H S U B D (w it h 6 4 -b it o p e ra n d s)
mm1[31-0] =mm1[31-0] - mm1[63-32];
mm1[63-32] =mm2/m64[31-0] - mm2/m64[63-32];
PHSUBW/PHSUBD Packed Horizontal Subtract
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-111
P H S U B D (w it h 1 2 8 -b it o p e ra n d s)
xmm1[31-0] =xmm1[31-0] - xmm1[63-32];
xmm1[63-32] =xmm1[95-64] - xmm1[127-96];
xmm1[95-64] =xmm2/m128[31-0] - xmm2/m128[63-32];
xmm1[127-96] =xmm2/m128[95-64] - xmm2/m128[127-96];
VP H S U B D (VE X.1 2 8 e n co d e d v e rsio n )
DEST[31-0] SRC1[31-0] - SRC1[63-32]
DEST[63-32] SRC1[95-64] - SRC1[127-96]
DEST[95-64] SRC2[31-0] - SRC2[63-32]
DEST[127-96] SRC2[95-64] - SRC2[127-96]
DEST[VLMAX-1:128] 0
VP H S U B D (VE X.2 5 6 e n co d e d v e rsio n )
DEST[31:0] SRC1[31:0] - SRC1[63:32]
DEST[63:32] SRC1[95:64] - SRC1[127:96]
DEST[95:64] SRC2[31:0] - SRC2[63:32]
DEST[127:96] SRC2[95:64] - SRC2[127:96]
DEST[159:128] SRC1[159:128] - SRC1[191:160]
DEST[191:160] SRC1[223:192] - SRC1[255:224]
DEST[223:192] SRC2[159:128] - SRC2[191:160]
DEST[255:224] SRC2[223:192] - SRC2[255:224]
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t s
PHSUBW: __m64 _mm_hsub_pi16 (__m64 a, __m64 b)
PHSUBD: __m64 _mm_hsub_pi32 (__m64 a, __m64 b)
(V)PHSUBW: __m128i _mm_hsub_epi16 (__m128i a, __m128i b)
(V)PHSUBD: __m128i _mm_hsub_epi32 (__m128i a, __m128i b)
VPHSUBW: __m256i _mm256_hsub_epi16 (__m256i a, __m256i b)
VPHSUBD: __m256i _mm256_hsub_epi32 (__m256i a, __m256i b)
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PHSUBSW Packed Horizontal Subtract and Saturate
INSTRUCTION SET REFERENCE, N-Z
4-112 Vol. 2B
P H S U B S W P a cke d H o rizo n t a l S u b t ra ct a n d S a t u ra t e
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
(V)PHSUBSW performs horizontal subtraction on each adjacent pair of 16-bit signed integers by subtracting the
most significant word from the least significant word of each pair in the source and destination operands. The
signed, saturated 16-bit results are packed to the destination operand (first operand). When the source operand is
a 128-bit memory operand, the operand must be aligned on a 16-byte boundary or a general-protection exception
(#GP) will be generated.
Legacy SSE version: Both operands can be MMX registers. The second source operand can be an MMX register or a
64-bit memory location.
128-bit Legacy SSE version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the corresponding YMM destina-
tion register remain unchanged.
In 64-bit mode, use the REX prefix to access additional registers.
VEX.128 encoded version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the destination YMM register are
zeroed.
VEX.256 encoded version: The first source and destination operands are YMM registers. The second source
operand can be an YMM register or a 256-bit memory location.
Note: VEX.L must be 0, otherwise the instruction will #UD.
O p e ra t io n
P H S U B S W (w it h 6 4 -b it o p e ra n d s)
mm1[15-0] =SaturateToSignedWord(mm1[15-0] - mm1[31-16]);
mm1[31-16] =SaturateToSignedWord(mm1[47-32] - mm1[63-48]);
mm1[47-32] =SaturateToSignedWord(mm2/m64[15-0] - mm2/m64[31-16]);
mm1[63-48] =SaturateToSignedWord(mm2/m64[47-32] - mm2/m64[63-48]);
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 38 07 /r
1

PHSUBSW mm1, mm2/m64
RM V/V SSSE3 Subtract 16-bit signed integer horizontally,
pack saturated integers to mm1.
66 0F 38 07 /r
PHSUBSW xmm1, xmm2/m128
RM V/V SSSE3 Subtract 16-bit signed integer horizontally,
pack saturated integers to xmm1.
VEX.NDS.128.66.0F38.WIG 07 /r
VPHSUBSW xmm1, xmm2, xmm3/m128
RVM V/V AVX Subtract 16-bit signed integer horizontally,
pack saturated integers to xmm1.
VEX.NDS.256.66.0F38.WIG 07 /r
VPHSUBSW ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Subtract 16-bit signed integer horizontally,
pack saturated integers to ymm1.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (r, w) VEX.vvvv (r) ModRM:r/m (r) NA
PHSUBSW Packed Horizontal Subtract and Saturate
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-113
P H S U B S W (w it h 1 2 8 -b it o p e ra n d s)
xmm1[15-0] =SaturateToSignedWord(xmm1[15-0] - xmm1[31-16]);
xmm1[31-16] =SaturateToSignedWord(xmm1[47-32] - xmm1[63-48]);
xmm1[47-32] =SaturateToSignedWord(xmm1[79-64] - xmm1[95-80]);
xmm1[63-48] =SaturateToSignedWord(xmm1[111-96] - xmm1[127-112]);
xmm1[79-64] =SaturateToSignedWord(xmm2/m128[15-0] - xmm2/m128[31-16]);
xmm1[95-80] =SaturateToSignedWord(xmm2/m128[47-32] - xmm2/m128[63-48]);
xmm1[111-96] =SaturateToSignedWord(xmm2/m128[79-64] - xmm2/m128[95-80]);
xmm1[127-112]=SaturateToSignedWord(xmm2/m128[111-96] - xmm2/m128[127-112]);
VP H S U B S W (VE X.1 2 8 e n co d e d v e rsio n )
DEST[15:0]=SaturateToSignedWord(SRC1[15:0] - SRC1[31:16])
DEST[31:16] =SaturateToSignedWord(SRC1[47:32] - SRC1[63:48])
DEST[47:32] =SaturateToSignedWord(SRC1[79:64] - SRC1[95:80])
DEST[63:48] =SaturateToSignedWord(SRC1[111:96] - SRC1[127:112])
DEST[79:64] =SaturateToSignedWord(SRC2[15:0] - SRC2[31:16])
DEST[95:80] =SaturateToSignedWord(SRC2[47:32] - SRC2[63:48])
DEST[111:96] =SaturateToSignedWord(SRC2[79:64] - SRC2[95:80])
DEST[127:112] =SaturateToSignedWord(SRC2[111:96] - SRC2[127:112])
DEST[VLMAX-1:128] 0
VP H S U B S W (VE X.2 5 6 e n co d e d v e rsio n )
DEST[15:0]=SaturateToSignedWord(SRC1[15:0] - SRC1[31:16])
DEST[31:16] =SaturateToSignedWord(SRC1[47:32] - SRC1[63:48])
DEST[47:32] =SaturateToSignedWord(SRC1[79:64] - SRC1[95:80])
DEST[63:48] =SaturateToSignedWord(SRC1[111:96] - SRC1[127:112])
DEST[79:64] =SaturateToSignedWord(SRC2[15:0] - SRC2[31:16])
DEST[95:80] =SaturateToSignedWord(SRC2[47:32] - SRC2[63:48])
DEST[111:96] =SaturateToSignedWord(SRC2[79:64] - SRC2[95:80])
DEST[127:112] =SaturateToSignedWord(SRC2[111:96] - SRC2[127:112])
DEST[143:128]=SaturateToSignedWord(SRC1[143:128] - SRC1[159:144])
DEST[159:144] =SaturateToSignedWord(SRC1[175:160] - SRC1[191:176])
DEST[175:160] =SaturateToSignedWord(SRC1[207:192] - SRC1[223:208])
DEST[191:176] =SaturateToSignedWord(SRC1[239:224] - SRC1[255:240])
DEST[207:192] =SaturateToSignedWord(SRC2[143:128] - SRC2[159:144])
DEST[223:208] =SaturateToSignedWord(SRC2[175:160] - SRC2[191:176])
DEST[239:224] =SaturateToSignedWord(SRC2[207:192] - SRC2[223:208])
DEST[255:240] =SaturateToSignedWord(SRC2[239:224] - SRC2[255:240])
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
PHSUBSW: __m64 _mm_hsubs_pi16 (__m64 a, __m64 b)
(V)PHSUBSW: __m128i _mm_hsubs_epi16 (__m128i a, __m128i b)
VPHSUBSW: __m256i _mm256_hsubs_epi16 (__m256i a, __m256i b)
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PINSRB/PINSRD/PINSRQ Insert Byte/Dword/Qword
INSTRUCTION SET REFERENCE, N-Z
4-114 Vol. 2B
P I N S R B /P I N S R D /P I N S R Q I n se rt B y t e /D w o rd /Q w o rd
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Copies a byte/dword/qword from the source operand (second operand) and inserts it in the destination operand
(first operand) at the location specified with the count operand (third operand). (The other elements in the desti-
nation register are left untouched.) The source operand can be a general-purpose register or a memory location.
(When the source operand is a general-purpose register, PINSRB copies the low byte of the register.) The destina-
tion operand is an XMM register. The count operand is an 8-bit immediate. When specifying a qword[dword, byte]
location in an an XMM register, the [2, 4] least-significant bit(s) of the count operand specify the location.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15, R8-15). Use of REX.W permits the use of 64 bit general purpose registers.
128-bit Legacy SSE version: Bits (VLMAX-1:128) of the corresponding YMM destination register remain
unchanged.
VEX.128 encoded version: Bits (VLMAX-1:128) of the destination YMM register are zeroed. VEX.L must be 0, other-
wise the instruction will #UD. Attempt to execute VPINSRQ in non-64-bit mode will cause #UD.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 3A 20 /r ib
PINSRB xmm1, r32/m8, imm8
RMI V/V SSE4_1 Insert a byte integer value from r32/m8 into
xmm1 at the destination element in xmm1
specified by imm8.
66 0F 3A 22 /r ib
PINSRD xmm1, r/m32, imm8
RMI V/V SSE4_1 Insert a dword integer value from r/m32 into
the xmm1 at the destination element
specified by imm8.
66 REX.W 0F 3A 22 /r ib
PINSRQ xmm1, r/m64, imm8
RMI V/N. E. SSE4_1 Insert a qword integer value from r/m64 into
the xmm1 at the destination element
specified by imm8.
VEX.NDS.128.66.0F3A.W0 20 /r ib
VPINSRB xmm1, xmm2, r32/m8, imm8
RVMI V
1
/V AVX Merge a byte integer value from r32/m8 and
rest from xmm2 into xmm1 at the byte offset
in imm8.
VEX.NDS.128.66.0F3A.W0 22 /r ib
VPINSRD xmm1, xmm2, r/m32, imm8
RVMI V/V AVX Insert a dword integer value from r32/m32
and rest from xmm2 into xmm1 at the dword
offset in imm8.
VEX.NDS.128.66.0F3A.W1 22 /r ib
VPINSRQ xmm1, xmm2, r/m64, imm8
RVMI V/I AVX Insert a qword integer value from r64/m64
and rest from xmm2 into xmm1 at the qword
offset in imm8.
NOTES:
1. In 64-bit mode, VEX.W1 is ignored for VPINSRB (similar to legacy REX.W=1 prefix with PINSRB).
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RMI ModRM:reg (w) ModRM:r/m (r) imm8 NA
RVMI ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) imm8
PINSRB/PINSRD/PINSRQ Insert Byte/Dword/Qword
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-115
O p e ra t io n
CASE OF
PINSRB: SEL COUNT[3:0];
MASK (0FFH <<(SEL * 8));
TEMP (((SRC[7:0] <<(SEL *8)) AND MASK);
PINSRD: SEL COUNT[1:0];
MASK (0FFFFFFFFH <<(SEL * 32));
TEMP (((SRC <<(SEL *32)) AND MASK) ;
PINSRQ: SEL COUNT[0]
MASK (0FFFFFFFFFFFFFFFFH <<(SEL * 64));
TEMP (((SRC <<(SEL *32)) AND MASK) ;
ESAC;
DEST ((DEST AND NOT MASK) OR TEMP);
VP I N S R B (VE X.1 2 8 e n co d e d v e rsio n )
SEL imm8[3:0]
DEST[127:0] write_b_element(SEL, SRC2, SRC1)
DEST[VLMAX-1:128] 0
VP I N S R D (V E X.1 2 8 e n co d e d v e rsio n )
SEL imm8[1:0]
DEST[127:0] write_d_element(SEL, SRC2, SRC1)
DEST[VLMAX-1:128] 0
VP I N S R Q (VE X.1 2 8 e n co d e d v e rsio n )
SEL imm8[0]
DEST[127:0] write_q_element(SEL, SRC2, SRC1)
DEST[VLMAX-1:128] 0
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
PINSRB: __m128i _mm_insert_epi8 (__m128i s1, int s2, const int ndx);
PINSRD: __m128i _mm_insert_epi32 (__m128i s2, int s, const int ndx);
PINSRQ: __m128i _mm_insert_epi64(__m128i s2, __int64 s, const int ndx);
F la g s Af fe ct e d
None.
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 5; additionally
#UD If VEX.L = 1.
If VPINSRQ in non-64-bit mode with VEX.W=1.
PINSRWInsert Word
INSTRUCTION SET REFERENCE, N-Z
4-116 Vol. 2B
P I N S R WI n se rt Wo rd
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Copies a word from the source operand (second operand) and inserts it in the destination operand (first operand)
at the location specified with the count operand (third operand). (The other words in the destination register are
left untouched.) The source operand can be a general-purpose register or a 16-bit memory location. (When the
source operand is a general-purpose register, the low word of the register is copied.) The destination operand can
be an MMX technology register or an XMM register. The count operand is an 8-bit immediate. When specifying a
word location in an MMX technology register, the 2 least-significant bits of the count operand specify the location;
for an XMM register, the 3 least-significant bits specify the location.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15, R8-15).
128-bit Legacy SSE version: Bits (VLMAX-1:128) of the corresponding YMM destination register remain
unchanged.
VEX.128 encoded version: Bits (VLMAX-1:128) of the destination YMM register are zeroed. VEX.L must be 0, other-
wise the instruction will #UD.
O p e ra t io n
P I N S R W (w it h 6 4 -b it so u rce o p e ra n d )
SEL COUNT AND 3H;
CASE (Determine word position) OF
SEL 0: MASK 000000000000FFFFH;
SEL 1: MASK 00000000FFFF0000H;
SEL 2: MASK 0000FFFF00000000H;
SEL 3: MASK FFFF000000000000H;
DEST (DEST AND NOT MASK) OR (((SRC << (SEL 16)) AND MASK);
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F C4 /r ib
1
PINSRW mm, r32/m16, imm8
RMI V/V SSE Insert the low word from r32 or fromm16
into mm at the word position specified by
imm8.
66 0F C4 /r ib
PINSRW xmm, r32/m16, imm8
RMI V/V SSE2 Move the low word of r32 or fromm16 into
xmm at the word position specified by imm8.
VEX.NDS.128.66.0F.W0 C4 /r ib
VPINSRW xmm1, xmm2, r32/m16, imm8
RVMI V
2
/V AVX Insert a word integer value from r32/m16
and rest from xmm2 into xmm1 at the word
offset in imm8.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
2. In 64-bit mode, VEX.W1 is ignored for VPINSRW (similar to legacy REX.W=1 prefix in PINSRW).
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RMI ModRM:reg (w) ModRM:r/m (r) imm8 NA
RVMI ModRM:reg (w) VEX.vvvv (r) ModRM:r/m(r) imm8
PINSRWInsert Word
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-117
P I N S R W (w it h 1 2 8 -b it so u rce o p e ra n d )
SEL COUNT AND 7H;
CASE (Determine word position) OF
SEL 0: MASK 0000000000000000000000000000FFFFH;
SEL 1: MASK 000000000000000000000000FFFF0000H;
SEL 2: MASK 00000000000000000000FFFF00000000H;
SEL 3: MASK 0000000000000000FFFF000000000000H;
SEL 4: MASK 000000000000FFFF0000000000000000H;
SEL 5: MASK 00000000FFFF00000000000000000000H;
SEL 6: MASK 0000FFFF000000000000000000000000H;
SEL 7: MASK FFFF0000000000000000000000000000H;
DEST (DEST AND NOT MASK) OR (((SRC << (SEL 16)) AND MASK);
VP I N S R W (VE X.1 2 8 e n co d e d v e rsio n )
SEL imm8[2:0]
DEST[127:0] write_w_element(SEL, SRC2, SRC1)
DEST[VLMAX-1:128] 0
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
PINSRW: __m64 _mm_insert_pi16 (__m64 a, int d, int n)
PINSRW: __m128i _mm_insert_epi16 ( __m128i a, int b, int imm)
F la g s Af fe ct e d
None.
N u m e ric E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 5; additionally
#UD If VEX.L = 1.
If VPINSRW in non-64-bit mode with VEX.W=1.
PMADDUBSW Multiply and Add Packed Signed and Unsigned Bytes
INSTRUCTION SET REFERENCE, N-Z
4-118 Vol. 2B
P MAD D U B S W Mu lt ip ly a n d Ad d P a cke d S ig n e d a n d U n sig n e d B y t e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
(V)PMADDUBSW multiplies vertically each unsigned byte of the destination operand (first operand) with the corre-
sponding signed byte of the source operand (second operand), producing intermediate signed 16-bit integers. Each
adjacent pair of signed words is added and the saturated result is packed to the destination operand. For example,
the lowest-order bytes (bits 7-0) in the source and destination operands are multiplied and the intermediate signed
word result is added with the corresponding intermediate result from the 2nd lowest-order bytes (bits 15-8) of the
operands; the sign-saturated result is stored in the lowest word of the destination register (15-0). The same oper-
ation is performed on the other pairs of adjacent bytes. Both operands can be MMX register or XMM registers. When
the source operand is a 128-bit memory operand, the operand must be aligned on a 16-byte boundary or a
general-protection exception (#GP) will be generated.
In 64-bit mode, use the REX prefix to access additional registers.
128-bit Legacy SSE version: Bits (VLMAX-1:128) of the corresponding YMM destination register remain
unchanged.
VEX.128 encoded version: Bits (VLMAX-1:128) of the destination YMM register are zeroed.
VEX.256 encoded version: The first source and destination operands are YMM registers. The second source
operand can be an YMM register or a 256-bit memory location.
Note: VEX.L must be 0, otherwise the instruction will #UD.
O p e ra t io n
P MAD D U B S W (w it h 6 4 b it o p e ra n d s)
DEST[15-0] =SaturateToSignedWord(SRC[15-8]*DEST[15-8]+SRC[7-0]*DEST[7-0]);
DEST[31-16] =SaturateToSignedWord(SRC[31-24]*DEST[31-24]+SRC[23-16]*DEST[23-16]);
DEST[47-32] =SaturateToSignedWord(SRC[47-40]*DEST[47-40]+SRC[39-32]*DEST[39-32]);
DEST[63-48] =SaturateToSignedWord(SRC[63-56]*DEST[63-56]+SRC[55-48]*DEST[55-48]);
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 38 04 /r
1

PMADDUBSW mm1, mm2/m64
RM V/V SSSE3 Multiply signed and unsigned bytes, add
horizontal pair of signed words, pack
saturated signed-words to mm1.
66 0F 38 04 /r
PMADDUBSW xmm1, xmm2/m128
RM V/V SSSE3 Multiply signed and unsigned bytes, add
horizontal pair of signed words, pack
saturated signed-words to xmm1.
VEX.NDS.128.66.0F38.WIG 04 /r
VPMADDUBSW xmm1, xmm2, xmm3/m128
RVM V/V AVX Multiply signed and unsigned bytes, add
horizontal pair of signed words, pack
saturated signed-words to xmm1.
VEX.NDS.256.66.0F38.WIG 04 /r
VPMADDUBSW ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Multiply signed and unsigned bytes, add
horizontal pair of signed words, pack
saturated signed-words to ymm1.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
PMADDUBSW Multiply and Add Packed Signed and Unsigned Bytes
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-119
P MAD D U B S W (w it h 1 2 8 b it o p e ra n d s)
DEST[15-0] =SaturateToSignedWord(SRC[15-8]* DEST[15-8]+SRC[7-0]*DEST[7-0]);
// Repeat operation for 2nd through 7th word
SRC1/DEST[127-112] =SaturateToSignedWord(SRC[127-120]*DEST[127-120]+SRC[119-112]* DEST[119-112]);
VP MAD D U B S W (V E X.1 2 8 e n co d e d v e rsio n )
DEST[15:0] SaturateToSignedWord(SRC2[15:8]* SRC1[15:8]+SRC2[7:0]*SRC1[7:0])
// Repeat operation for 2nd through 7th word
DEST[127:112] SaturateToSignedWord(SRC2[127:120]*SRC1[127:120]+SRC2[119:112]* SRC1[119:112])
DEST[VLMAX-1:128] 0
VP MAD D U B S W (V E X.2 5 6 e n co d e d v e rsio n )
DEST[15:0] SaturateToSignedWord(SRC2[15:8]* SRC1[15:8]+SRC2[7:0]*SRC1[7:0])
// Repeat operation for 2nd through 15th word
DEST[255:240] SaturateToSignedWord(SRC2[255:248]*SRC1[255:248]+SRC2[247:240]* SRC1[247:240])
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t s
PMADDUBSW: __m64 _mm_maddubs_pi16 (__m64 a, __m64 b)
(V)PMADDUBSW: __m128i _mm_maddubs_epi16 (__m128i a, __m128i b)
VPMADDUBSW: __m256i _mm256_maddubs_epi16 (__m256i a, __m256i b)
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PMADDWDMultiply and Add Packed Integers
INSTRUCTION SET REFERENCE, N-Z
4-120 Vol. 2B
P MAD D WD Mu lt ip ly a n d Ad d P a cke d I n t e g e rs
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Multiplies the individual signed words of the destination operand (first operand) by the corresponding signed words
of the source operand (second operand), producing temporary signed, doubleword results. The adjacent double-
word results are then summed and stored in the destination operand. For example, the corresponding low-order
words (15-0) and (31-16) in the source and destination operands are multiplied by one another and the double-
word results are added together and stored in the low doubleword of the destination register (31-0). The same
operation is performed on the other pairs of adjacent words. (Figure 4-7 shows this operation when using 64-bit
operands).
The (V)PMADDWD instruction wraps around only in one situation: when the 2 pairs of words being operated on in
a group are all 8000H. In this case, the result wraps around to 80000000H.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
Legacy SSE version: The first source and destination operands are MMX registers. The second source operand is an
MMX register or a 64-bit memory location.
128-bit Legacy SSE version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the corresponding YMM destina-
tion register remain unchanged.
VEX.128 encoded version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the destination YMM register are
zeroed.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F F5 /r
1
PMADDWD mm, mm/m64
RM V/V MMX Multiply the packed words in mm by the
packed words in mm/m64, add adjacent
doubleword results, and store in mm.
66 0F F5 /r
PMADDWD xmm1, xmm2/m128
RM V/V SSE2 Multiply the packed word integers in xmm1 by
the packed word integers in xmm2/m128, add
adjacent doubleword results, and store in
xmm1.
VEX.NDS.128.66.0F.WIG F5 /r
VPMADDWD xmm1, xmm2, xmm3/m128
RVM V/V AVX Multiply the packed word integers in xmm2 by
the packed word integers in xmm3/m128, add
adjacent doubleword results, and store in
xmm1.
VEX.NDS.256.66.0F.WIG F5 /r
VPMADDWD ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Multiply the packed word integers in ymm2 by
the packed word integers in ymm3/m256, add
adjacent doubleword results, and store in
ymm1.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m(r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
PMADDWDMultiply and Add Packed Integers
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-121
VEX.256 encoded version: The second source operand can be an YMM register or a 256-bit memory location. The
first source and destination operands are YMM registers.
Note: VEX.L must be 0, otherwise the instruction will #UD.
O p e ra t io n
P MAD D WD (w it h 6 4 -b it o p e ra n d s)
DEST[31:0] (DEST[15:0] SRC[15:0]) + (DEST[31:16] SRC[31:16]);
DEST[63:32] (DEST[47:32] SRC[47:32]) + (DEST[63:48] SRC[63:48]);
P MAD D WD (w it h 1 2 8 -b it o p e ra n d s)
DEST[31:0] (DEST[15:0] SRC[15:0]) + (DEST[31:16] SRC[31:16]);
DEST[63:32] (DEST[47:32] SRC[47:32]) + (DEST[63:48] SRC[63:48]);
DEST[95:64] (DEST[79:64] SRC[79:64]) + (DEST[95:80] SRC[95:80]);
DEST[127:96] (DEST[111:96] SRC[111:96]) + (DEST[127:112] SRC[127:112]);
VP MAD D WD (VE X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] (SRC1[15:0] * SRC2[15:0]) +(SRC1[31:16] * SRC2[31:16])
DEST[63:32] (SRC1[47:32] * SRC2[47:32]) +(SRC1[63:48] * SRC2[63:48])
DEST[95:64] (SRC1[79:64] * SRC2[79:64]) +(SRC1[95:80] * SRC2[95:80])
DEST[127:96] (SRC1[111:96] * SRC2[111:96]) +(SRC1[127:112] * SRC2[127:112])
DEST[VLMAX-1:128] 0
VP MAD D WD (VE X.2 5 6 e n co d e d v e rsio n )
DEST[31:0] (SRC1[15:0] * SRC2[15:0]) +(SRC1[31:16] * SRC2[31:16])
DEST[63:32] (SRC1[47:32] * SRC2[47:32]) +(SRC1[63:48] * SRC2[63:48])
DEST[95:64] (SRC1[79:64] * SRC2[79:64]) +(SRC1[95:80] * SRC2[95:80])
DEST[127:96] (SRC1[111:96] * SRC2[111:96]) +(SRC1[127:112] * SRC2[127:112])
DEST[159:128] (SRC1[143:128] * SRC2[143:128]) +(SRC1[159:144] * SRC2[159:144])
DEST[191:160] (SRC1[175:160] * SRC2[175:160]) +(SRC1[191:176] * SRC2[191:176])
DEST[223:192] (SRC1[207:192] * SRC2[207:192]) +(SRC1[223:208] * SRC2[223:208])
DEST[255:224] (SRC1[239:224] * SRC2[239:224]) +(SRC1[255:240] * SRC2[255:240])
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
PMADDWD: __m64 _mm_madd_pi16(__m64 m1, __m64 m2)
(V)PMADDWD: __m128i _mm_madd_epi16 ( __m128i a, __m128i b)
VPMADDWD: __m256i _mm256_madd_epi16 ( __m256i a, __m256i b)
F la g s Af fe ct e d
None.
F ig u re 4 -7. P MAD D WD E xe cu t io n Mo d e l U sin g 6 4 -b it O p e ra n d s
X3 X2 X1 X0
X3 Y3 X2 Y2 X1 Y1 X0 Y0
SRC
DEST
DEST
Y3 Y2 Y1 Y0
(X1Y1) + (X0Y0) (X3Y3) + (X2Y2)
TEMP
PMADDWDMultiply and Add Packed Integers
INSTRUCTION SET REFERENCE, N-Z
4-122 Vol. 2B
N u m e ric E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PMAXSB Maximumof Packed Signed Byte Integers
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-123
P MAXS B Ma x im u m o f P a cke d S ig n e d B y t e I n t e g e rs
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Compares packed signed byte integers in the destination operand (first operand) and the source operand (second
operand), and returns the maximum for each packed value in the destination operand.
128-bit Legacy SSE version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the corresponding YMM destina-
tion register remain unchanged.
VEX.128 encoded version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the destination YMM register are
zeroed.
VEX.256 encoded version: The second source operand can be an YMM register or a 256-bit memory location. The
first source and destination operands are YMM registers.
Note: VEX.L must be 0, otherwise the instruction will #UD.
O p e ra t io n
IF (DEST[7:0] >SRC[7:0])
THEN DEST[7:0] DEST[7:0];
ELSE DEST[7:0] SRC[7:0]; FI;
IF (DEST[15:8] >SRC[15:8])
THEN DEST[15:8] DEST[15:8];
ELSE DEST[15:8] SRC[15:8]; FI;
IF (DEST[23:16] >SRC[23:16])
THEN DEST[23:16] DEST[23:16];
ELSE DEST[23:16] SRC[23:16]; FI;
IF (DEST[31:24] >SRC[31:24])
THEN DEST[31:24] DEST[31:24];
ELSE DEST[31:24] SRC[31:24]; FI;
IF (DEST[39:32] >SRC[39:32])
THEN DEST[39:32] DEST[39:32];
ELSE DEST[39:32] SRC[39:32]; FI;
IF (DEST[47:40] >SRC[47:40])
THEN DEST[47:40] DEST[47:40];
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 38 3C /r
PMAXSB xmm1, xmm2/m128
RM V/V SSE4_1 Compare packed signed byte integers in
xmm1 and xmm2/m128 and store packed
maximumvalues in xmm1.
VEX.NDS.128.66.0F38.WIG 3C /r
VPMAXSB xmm1, xmm2, xmm3/m128
RVM V/V AVX Compare packed signed byte integers in
xmm2 and xmm3/m128 and store packed
maximumvalues in xmm1.
VEX.NDS.256.66.0F38.WIG 3C /r
VPMAXSB ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Compare packed signed byte integers in
ymm2 and ymm3/m128 and store packed
maximumvalues in ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m(r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
PMAXSB Maximumof Packed Signed Byte Integers
INSTRUCTION SET REFERENCE, N-Z
4-124 Vol. 2B
ELSE DEST[47:40] SRC[47:40]; FI;
IF (DEST[55:48] >SRC[55:48])
THEN DEST[55:48] DEST[55:48];
ELSE DEST[55:48] SRC[55:48]; FI;
IF (DEST[63:56] >SRC[63:56])
THEN DEST[63:56] DEST[63:56];
ELSE DEST[63:56] SRC[63:56]; FI;
IF (DEST[71:64] >SRC[71:64])
THEN DEST[71:64] DEST[71:64];
ELSE DEST[71:64] SRC[71:64]; FI;
IF (DEST[79:72] >SRC[79:72])
THEN DEST[79:72] DEST[79:72];
ELSE DEST[79:72] SRC[79:72]; FI;
IF (DEST[87:80] >SRC[87:80])
THEN DEST[87:80] DEST[87:80];
ELSE DEST[87:80] SRC[87:80]; FI;
IF (DEST[95:88] >SRC[95:88])
THEN DEST[95:88] DEST[95:88];
ELSE DEST[95:88] SRC[95:88]; FI;
IF (DEST[103:96] >SRC[103:96])
THEN DEST[103:96] DEST[103:96];
ELSE DEST[103:96] SRC[103:96]; FI;
IF (DEST[111:104] >SRC[111:104])
THEN DEST[111:104] DEST[111:104];
ELSE DEST[111:104] SRC[111:104]; FI;
IF (DEST[119:112] >SRC[119:112])
THEN DEST[119:112] DEST[119:112];
ELSE DEST[119:112] SRC[119:112]; FI;
IF (DEST[127:120] >SRC[127:120])
THEN DEST[127:120] DEST[127:120];
ELSE DEST[127:120] SRC[127:120]; FI;
VP MAXS B (V E X.1 2 8 e n co d e d v e rsio n )
IF SRC1[7:0] >SRC2[7:0] THEN
DEST[7:0] SRC1[7:0];
ELSE
DEST[7:0] SRC2[7:0]; FI;
(* Repeat operation for 2nd through 15th bytes in source and destination operands *)
IF SRC1[127:120] >SRC2[127:120] THEN
DEST[127:120] SRC1[127:120];
ELSE
DEST[127:120] SRC2[127:120]; FI;
DEST[VLMAX-1:128] 0
VP MAXS B (V E X.2 5 6 e n co d e d v e rsio n )
IF SRC1[7:0] >SRC2[7:0] THEN
DEST[7:0] SRC1[7:0];
ELSE
DEST[15:0] SRC2[7:0]; FI;
(* Repeat operation for 2nd through 31st bytes in source and destination operands *)
IF SRC1[255:248] >SRC2[255:248] THEN
DEST[255:248] SRC1[255:248];
ELSE
DEST[255:248] SRC2[255:248]; FI;
PMAXSB Maximumof Packed Signed Byte Integers
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-125
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
(V)PMAXSB: __m128i _mm_max_epi8 ( __m128i a, __m128i b);
VPMAXSB: __m256i _mm256_max_epi8 ( __m256i a, __m256i b);
F la g s Af fe ct e d
None.
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PMAXSD Maximumof Packed Signed Dword Integers
INSTRUCTION SET REFERENCE, N-Z
4-126 Vol. 2B
P MAXS D Ma x im u m o f P a cke d S ig n e d D w o rd I n t e g e rs
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Compares packed signed dword integers in the destination operand (first operand) and the source operand (second
operand), and returns the maximum for each packed value in the destination operand.
128-bit Legacy SSE version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the corresponding YMM destina-
tion register remain unchanged.
VEX.128 encoded version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the destination YMM register are
zeroed.
VEX.256 encoded version: The second source operand can be an YMM register or a 256-bit memory location. The
first source and destination operands are YMM registers.
Note: VEX.L must be 0, otherwise the instruction will #UD.
O p e ra t io n
IF (DEST[31:0] >SRC[31:0])
THEN DEST[31:0] DEST[31:0];
ELSE DEST[31:0] SRC[31:0]; FI;
IF (DEST[63:32] >SRC[63:32])
THEN DEST[63:32] DEST[63:32];
ELSE DEST[63:32] SRC[63:32]; FI;
IF (DEST[95:64] >SRC[95:64])
THEN DEST[95:64] DEST[95:64];
ELSE DEST[95:64] SRC[95:64]; FI;
IF (DEST[127:96] >SRC[127:96])
THEN DEST[127:96] DEST[127:96];
ELSE DEST[127:96] SRC[127:96]; FI;
VP MAXS D (VE X.1 2 8 e n co d e d v e rsio n )
IF SRC1[31:0] >SRC2[31:0] THEN
DEST[31:0] SRC1[31:0];
ELSE
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 38 3D /r
PMAXSD xmm1, xmm2/m128
RM V/V SSE4_1 Compare packed signed dword integers in
xmm1 and xmm2/m128 and store packed
maximumvalues in xmm1.
VEX.NDS.128.66.0F38.WIG 3D /r
VPMAXSD xmm1, xmm2, xmm3/m128
RVM V/V AVX Compare packed signed dword integers in
xmm2 and xmm3/m128 and store packed
maximumvalues in xmm1.
VEX.NDS.256.66.0F38.WIG 3D /r
VPMAXSD ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Compare packed signed dword integers in
ymm2 and ymm3/m128 and store packed
maximumvalues in ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
PMAXSD Maximumof Packed Signed Dword Integers
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-127
DEST[31:0] SRC2[31:0]; FI;
(* Repeat operation for 2nd through 3rd dwords in source and destination operands *)
IF SRC1[127:95] >SRC2[127:95] THEN
DEST[127:95] SRC1[127:95];
ELSE
DEST[127:95] SRC2[127:95]; FI;
DEST[VLMAX-1:128] 0
VP MAXS D (VE X.2 5 6 e n co d e d v e rsio n )
IF SRC1[31:0] >SRC2[31:0] THEN
DEST[31:0] SRC1[31:0];
ELSE
DEST[31:0] SRC2[31:0]; FI;
(* Repeat operation for 2nd through 7th dwords in source and destination operands *)
IF SRC1[255:224] >SRC2[255:224] THEN
DEST[255:224] SRC1[255:224];
ELSE
DEST[255:224] SRC2[255:224]; FI;
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
PMAXSD: __m128i _mm_max_epi32 ( __m128i a, __m128i b);
VPMAXSD: __m256i _mm256_max_epi32 ( __m256i a, __m256i b);
F la g s Af fe ct e d
None.
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PMAXSWMaximumof Packed Signed Word Integers
INSTRUCTION SET REFERENCE, N-Z
4-128 Vol. 2B
P MAXS WMa x im u m o f P a cke d S ig n e d Wo rd I n t e g e rs
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a SIMD compare of the packed signed word integers in the destination operand (first operand) and the
source operand (second operand), and returns the maximum value for each pair of word integers to the destination
operand.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
Legacy SSE version: The source operand can be an MMX technology register or a 64-bit memory location. The
destination operand can be an MMX technology register.
128-bit Legacy SSE version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the corresponding YMM destina-
tion register remain unchanged.
VEX.128 encoded version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the destination YMM register are
zeroed.
VEX.256 encoded version: The second source operand can be an YMM register or a 256-bit memory location. The
first source and destination operands are YMM registers.
Note: VEX.L must be 0, otherwise the instruction will #UD.
O p e ra t io n
P MAXS W (6 4 -b it o p e ra n d s)
IF DEST[15:0] > SRC[15:0]) THEN
DEST[15:0] DEST[15:0];
ELSE
DEST[15:0] SRC[15:0]; FI;
(* Repeat operation for 2nd and 3rd words in source and destination operands *)
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F EE /r
1
PMAXSW mm1, mm2/m64
RM V/V SSE Compare signed word integers in mm2/m64
and mm1 and return maximumvalues.
66 0F EE /r
PMAXSW xmm1, xmm2/m128
RM V/V SSE2 Compare signed word integers in xmm2/m128
and xmm1 and return maximum values.
VEX.NDS.128.66.0F.WIG EE /r
VPMAXSW xmm1, xmm2, xmm3/m128
RVM V/V AVX Compare packed signed word integers in
xmm3/m128 and xmm2 and store packed
maximum values in xmm1.
VEX.NDS.256.66.0F.WIG EE /r
VPMAXSW ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Compare packed signed word integers in
ymm3/m128 and ymm2 and store packed
maximum values in ymm1.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
PMAXSWMaximumof Packed Signed Word Integers
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-129
IF DEST[63:48] > SRC[63:48]) THEN
DEST[63:48] DEST[63:48];
ELSE
DEST[63:48] SRC[63:48]; FI;
P MAXS W (1 2 8 -b it o p e ra n d s)
IF DEST[15:0] > SRC[15:0]) THEN
DEST[15:0] DEST[15:0];
ELSE
DEST[15:0] SRC[15:0]; FI;
(* Repeat operation for 2nd through 7th words in source and destination operands *)
IF DEST[127:112] > SRC[127:112]) THEN
DEST[127:112] DEST[127:112];
ELSE
DEST[127:112] SRC[127:112]; FI;
VP MAXS W (VE X.1 2 8 e n co d e d v e rsio n )
IF SRC1[15:0] >SRC2[15:0] THEN
DEST[15:0] SRC1[15:0];
ELSE
DEST[15:0] SRC2[15:0]; FI;
(* Repeat operation for 2nd through 7th words in source and destination operands *)
IF SRC1[127:112] >SRC2[127:112] THEN
DEST[127:112] SRC1[127:112];
ELSE
DEST[127:112] SRC2[127:112]; FI;
DEST[VLMAX-1:128] 0
VP MAXS W (VE X.2 5 6 e n co d e d v e rsio n )
IF SRC1[15:0] >SRC2[15:0] THEN
DEST[15:0] SRC1[15:0];
ELSE
DEST[15:0] SRC2[15:0]; FI;
(* Repeat operation for 2nd through 15th words in source and destination operands *)
IF SRC1[255:240] >SRC2[255:240] THEN
DEST[255:240] SRC1[255:240];
ELSE
DEST[255:240] SRC2[255:240]; FI;
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
PMAXSW: __m64 _mm_max_pi16(__m64 a, __m64 b)
(V)PMAXSW: __m128i _mm_max_epi16 ( __m128i a, __m128i b)
VPMAXSW: __m256i _mm256_max_epi16 ( __m256i a, __m256i b)
F la g s Af fe ct e d
None.
N u m e ric E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
PMAXSWMaximumof Packed Signed Word Integers
INSTRUCTION SET REFERENCE, N-Z
4-130 Vol. 2B
#UD If VEX.L = 1.
PMAXUBMaximumof Packed Unsigned Byte Integers
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-131
P MAXU B Ma x im u m o f P a cke d U n sig n e d B y t e I n t e g e rs
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a SIMD compare of the packed unsigned byte integers in the destination operand (first operand) and the
source operand (second operand), and returns the maximum value for each pair of byte integers to the destination
operand.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
Legacy SSE version: The source operand can be an MMX technology register or a 64-bit memory location. The
destination operand can be an MMX technology register.
128-bit Legacy SSE version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the corresponding YMM destina-
tion register remain unchanged.
VEX.128 encoded version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the destination YMM register are
zeroed.
VEX.256 encoded version: The second source operand can be an YMM register or a 256-bit memory location. The
first source and destination operands are YMM registers.
Note: VEX.L must be 0, otherwise the instruction will #UD.
O p e ra t io n
P MAXU B (6 4 -b it o p e ra n d s)
IF DEST[7:0] > SRC[17:0]) THEN
DEST[7:0] DEST[7:0];
ELSE
DEST[7:0] SRC[7:0]; FI;
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F DE /r
1
PMAXUB mm1, mm2/m64
RM V/V SSE Compare unsigned byte integers in mm2/m64
and mm1 and returns maximum values.
66 0F DE /r
PMAXUB xmm1, xmm2/m128
RM V/V SSE2 Compare unsigned byte integers in
xmm2/m128 and xmm1 and returns
maximumvalues.
VEX.NDS.128.66.0F.WIG DE /r
VPMAXUB xmm1, xmm2, xmm3/m128
RVM V/V AVX Compare packed unsigned byte integers in
xmm2 and xmm3/m128 and store packed
maximumvalues in xmm1.
VEX.NDS.256.66.0F.WIG DE /r
VPMAXUB ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Compare packed unsigned byte integers in
ymm2 and ymm3/m256 and store packed
maximumvalues in ymm1.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m(r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
PMAXUBMaximumof Packed Unsigned Byte Integers
INSTRUCTION SET REFERENCE, N-Z
4-132 Vol. 2B
(* Repeat operation for 2nd through 7th bytes in source and destination operands *)
IF DEST[63:56] > SRC[63:56]) THEN
DEST[63:56] DEST[63:56];
ELSE
DEST[63:56] SRC[63:56]; FI;
P MAXU B (1 2 8 -b it o p e ra n d s)
IF DEST[7:0] > SRC[17:0]) THEN
DEST[7:0] DEST[7:0];
ELSE
DEST[7:0] SRC[7:0]; FI;
(* Repeat operation for 2nd through 15th bytes in source and destination operands *)
IF DEST[127:120] > SRC[127:120]) THEN
DEST[127:120] DEST[127:120];
ELSE
DEST[127:120] SRC[127:120]; FI;
VP MAXU B (V E X.1 2 8 e n co d e d v e rsio n )
IF SRC1[7:0] >SRC2[7:0] THEN
DEST[7:0] SRC1[7:0];
ELSE
DEST[7:0] SRC2[7:0]; FI;
(* Repeat operation for 2nd through 15th bytes in source and destination operands *)
IF SRC1[127:120] >SRC2[127:120] THEN
DEST[127:120] SRC1[127:120];
ELSE
DEST[127:120] SRC2[127:120]; FI;
DEST[VLMAX-1:128] 0
VP MAXU B (V E X.2 5 6 e n co d e d v e rsio n )
IF SRC1[7:0] >SRC2[7:0] THEN
DEST[7:0] SRC1[7:0];
ELSE
DEST[15:0] SRC2[7:0]; FI;
(* Repeat operation for 2nd through 31st bytes in source and destination operands *)
IF SRC1[255:248] >SRC2[255:248] THEN
DEST[255:248] SRC1[255:248];
ELSE
DEST[255:248] SRC2[255:248]; FI;
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
PMAXUB: __m64 _mm_max_pu8(__m64 a, __m64 b)
(V)PMAXUB: __m128i _mm_max_epu8 ( __m128i a, __m128i b)
VPMAXUB: __m256i _mm256_max_epu8 ( __m256i a, __m256i b);
F la g s Af fe ct e d
None.
N u m e ric E x ce p t io n s
None.
PMAXUBMaximumof Packed Unsigned Byte Integers
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-133
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PMAXUD Maximumof Packed Unsigned Dword Integers
INSTRUCTION SET REFERENCE, N-Z
4-134 Vol. 2B
P MAXU D Ma x im u m o f P a cke d U n sig n e d D w o rd I n t e g e rs
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Compares packed unsigned dword integers in the destination operand (first operand) and the source operand
(second operand), and returns the maximum for each packed value in the destination operand.
128-bit Legacy SSE version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the corresponding YMM destina-
tion register remain unchanged.
VEX.128 encoded version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the destination YMM register are
zeroed.
VEX.256 encoded version: The second source operand can be an YMM register or a 256-bit memory location. The
first source and destination operands are YMM registers.
Note: VEX.L must be 0, otherwise the instruction will #UD.
O p e ra t io n
IF (DEST[31:0] >SRC[31:0])
THEN DEST[31:0] DEST[31:0];
ELSE DEST[31:0] SRC[31:0]; FI;
IF (DEST[63:32] >SRC[63:32])
THEN DEST[63:32] DEST[63:32];
ELSE DEST[63:32] SRC[63:32]; FI;
IF (DEST[95:64] >SRC[95:64])
THEN DEST[95:64] DEST[95:64];
ELSE DEST[95:64] SRC[95:64]; FI;
IF (DEST[127:96] >SRC[127:96])
THEN DEST[127:96] DEST[127:96];
ELSE DEST[127:96] SRC[127:96]; FI;
VP MAXU D (VE X.1 2 8 e n co d e d v e rsio n )
IF SRC1[31:0] >SRC2[31:0] THEN
DEST[31:0] SRC1[31:0];
ELSE
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 38 3F /r
PMAXUD xmm1, xmm2/m128
RM V/V SSE4_1 Compare packed unsigned dword integers in
xmm1 and xmm2/m128 and store packed
maximum values in xmm1.
VEX.NDS.128.66.0F38.WIG 3F /r
VPMAXUD xmm1, xmm2, xmm3/m128
RVM V/V AVX Compare packed unsigned dword integers in
xmm2 and xmm3/m128 and store packed
maximum values in xmm1.
VEX.NDS.256.66.0F38.WIG 3F /r
VPMAXUD ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Compare packed unsigned dword integers in
ymm2 and ymm3/m256 and store packed
maximum values in ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
PMAXUD Maximumof Packed Unsigned Dword Integers
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-135
DEST[31:0] SRC2[31:0]; FI;
(* Repeat operation for 2nd through 3rd dwords in source and destination operands *)
IF SRC1[127:95] >SRC2[127:95] THEN
DEST[127:95] SRC1[127:95];
ELSE
DEST[127:95] SRC2[127:95]; FI;
DEST[VLMAX-1:128] 0
VP MAXU D (V E X.2 5 6 e n co d e d v e rsio n )
IF SRC1[31:0] >SRC2[31:0] THEN
DEST[31:0] SRC1[31:0];
ELSE
DEST[31:0] SRC2[31:0]; FI;
(* Repeat operation for 2nd through 7th dwords in source and destination operands *)
IF SRC1[255:224] >SRC2[255:224] THEN
DEST[255:224] SRC1[255:224];
ELSE
DEST[255:224] SRC2[255:224]; FI;
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
(V)PMAXUD: __m128i _mm_max_epu32 ( __m128i a, __m128i b);
VPMAXUD: __m256i _mm256_max_epu32 ( __m256i a, __m256i b);
F la g s Af fe ct e d
None.
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PMAXUW Maximumof Packed Word Integers
INSTRUCTION SET REFERENCE, N-Z
4-136 Vol. 2B
P MAXU W Ma x im u m o f P a cke d Wo rd I n t e g e rs
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Compares packed unsigned word integers in the destination operand (first operand) and the source operand
(second operand), and returns the maximum for each packed value in the destination operand.
128-bit Legacy SSE version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the corresponding YMM destina-
tion register remain unchanged.
VEX.128 encoded version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the destination YMM register are
zeroed.
VEX.256 encoded version: The second source operand can be an YMM register or a 256-bit memory location. The
first source and destination operands are YMM registers.
Note: VEX.L must be 0, otherwise the instruction will #UD.
O p e ra t io n
IF (DEST[15:0] >SRC[15:0])
THEN DEST[15:0] DEST[15:0];
ELSE DEST[15:0] SRC[15:0]; FI;
IF (DEST[31:16] >SRC[31:16])
THEN DEST[31:16] DEST[31:16];
ELSE DEST[31:16] SRC[31:16]; FI;
IF (DEST[47:32] >SRC[47:32])
THEN DEST[47:32] DEST[47:32];
ELSE DEST[47:32] SRC[47:32]; FI;
IF (DEST[63:48] >SRC[63:48])
THEN DEST[63:48] DEST[63:48];
ELSE DEST[63:48] SRC[63:48]; FI;
IF (DEST[79:64] >SRC[79:64])
THEN DEST[79:64] DEST[79:64];
ELSE DEST[79:64] SRC[79:64]; FI;
IF (DEST[95:80] >SRC[95:80])
THEN DEST[95:80] DEST[95:80];
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 38 3E /r
PMAXUW xmm1, xmm2/m128
RM V/V SSE4_1 Compare packed unsigned word integers in
xmm1 and xmm2/m128 and store packed
maximum values in xmm1.
VEX.NDS.128.66.0F38.WIG 3E/r
VPMAXUW xmm1, xmm2, xmm3/m128
RVM V/V AVX Compare packed unsigned word integers in
xmm3/m128 and xmm2 and store maximum
packed values in xmm1.
VEX.NDS.256.66.0F38.WIG 3E /r
VPMAXUW ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Compare packed unsigned word integers in
ymm3/m256 and ymm2 and store maximum
packed values in ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
PMAXUW Maximumof Packed Word Integers
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-137
ELSE DEST[95:80] SRC[95:80]; FI;
IF (DEST[111:96] >SRC[111:96])
THEN DEST[111:96] DEST[111:96];
ELSE DEST[111:96] SRC[111:96]; FI;
IF (DEST[127:112] >SRC[127:112])
THEN DEST[127:112] DEST[127:112];
ELSE DEST[127:112] SRC[127:112]; FI;
VP MAXU W (VE X.1 2 8 e n co d e d v e rsio n )
IF SRC1[15:0] >SRC2[15:0] THEN
DEST[15:0] SRC1[15:0];
ELSE
DEST[15:0] SRC2[15:0]; FI;
(* Repeat operation for 2nd through 7th words in source and destination operands *)
IF SRC1[127:112] >SRC2[127:112] THEN
DEST[127:112] SRC1[127:112];
ELSE
DEST[127:112] SRC2[127:112]; FI;
DEST[VLMAX-1:128] 0
VP MAXU W (VE X.2 5 6 e n co d e d v e rsio n )
IF SRC1[15:0] >SRC2[15:0] THEN
DEST[15:0] SRC1[15:0];
ELSE
DEST[15:0] SRC2[15:0]; FI;
(* Repeat operation for 2nd through 15th words in source and destination operands *)
IF SRC1[255:240] >SRC2[255:240] THEN
DEST[255:240] SRC1[255:240];
ELSE
DEST[255:240] SRC2[255:240]; FI;
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
(V)PMAXUW: __m128i _mm_max_epu16 ( __m128i a, __m128i b);
VPMAXUW: __m256i _mm256_max_epu16 ( __m256i a, __m256i b)
F la g s Af fe ct e d
None.
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PMINSB Minimumof Packed Signed Byte Integers
INSTRUCTION SET REFERENCE, N-Z
4-138 Vol. 2B
P MI N S B Min im u m o f P a cke d S ig n e d B y t e I n t e g e rs
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Compares packed signed byte integers in the destination operand (first operand) and the source operand (second
operand), and returns the minimum for each packed value in the destination operand.
128-bit Legacy SSE version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the corresponding YMM destina-
tion register remain unchanged.
VEX.128 encoded version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the destination YMM register are
zeroed.
VEX.256 encoded version: The second source operand can be an YMM register or a 256-bit memory location. The
first source and destination operands are YMM registers.
Note: VEX.L must be 0, otherwise the instruction will #UD.
O p e ra t io n
IF (DEST[7:0] <SRC[7:0])
THEN DEST[7:0] DEST[7:0];
ELSE DEST[7:0] SRC[7:0]; FI;
IF (DEST[15:8] <SRC[15:8])
THEN DEST[15:8] DEST[15:8];
ELSE DEST[15:8] SRC[15:8]; FI;
IF (DEST[23:16] <SRC[23:16])
THEN DEST[23:16] DEST[23:16];
ELSE DEST[23:16] SRC[23:16]; FI;
IF (DEST[31:24] <SRC[31:24])
THEN DEST[31:24] DEST[31:24];
ELSE DEST[31:24] SRC[31:24]; FI;
IF (DEST[39:32] <SRC[39:32])
THEN DEST[39:32] DEST[39:32];
ELSE DEST[39:32] SRC[39:32]; FI;
IF (DEST[47:40] <SRC[47:40])
THEN DEST[47:40] DEST[47:40];
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 38 38 /r
PMINSB xmm1, xmm2/m128
RM V/V SSE4_1 Compare packed signed byte integers in
xmm1 and xmm2/m128 and store packed
minimumvalues in xmm1.
VEX.NDS.128.66.0F38.WIG 38 /r
VPMINSB xmm1, xmm2, xmm3/m128
RVM V/V AVX Compare packed signed byte integers in
xmm2 and xmm3/m128 and store packed
minimumvalues in xmm1.
VEX.NDS.256.66.0F38.WIG 38 /r
VPMINSB ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Compare packed signed byte integers in
ymm2 and ymm3/m256 and store packed
minimumvalues in ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
PMINSB Minimumof Packed Signed Byte Integers
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-139
ELSE DEST[47:40] SRC[47:40]; FI;
IF (DEST[55:48] <SRC[55:48])
THEN DEST[55:48] DEST[55:48];
ELSE DEST[55:48] SRC[55:48]; FI;
IF (DEST[63:56] <SRC[63:56])
THEN DEST[63:56] DEST[63:56];
ELSE DEST[63:56] SRC[63:56]; FI;
IF (DEST[71:64] <SRC[71:64])
THEN DEST[71:64] DEST[71:64];
ELSE DEST[71:64] SRC[71:64]; FI;
IF (DEST[79:72] <SRC[79:72])
THEN DEST[79:72] DEST[79:72];
ELSE DEST[79:72] SRC[79:72]; FI;
IF (DEST[87:80] <SRC[87:80])
THEN DEST[87:80] DEST[87:80];
ELSE DEST[87:80] SRC[87:80]; FI;
IF (DEST[95:88] <SRC[95:88])
THEN DEST[95:88] DEST[95:88];
ELSE DEST[95:88] SRC[95:88]; FI;
IF (DEST[103:96] <SRC[103:96])
THEN DEST[103:96] DEST[103:96];
ELSE DEST[103:96] SRC[103:96]; FI;
IF (DEST[111:104] <SRC[111:104])
THEN DEST[111:104] DEST[111:104];
ELSE DEST[111:104] SRC[111:104]; FI;
IF (DEST[119:112] <SRC[119:112])
THEN DEST[119:112] DEST[119:112];
ELSE DEST[119:112] SRC[119:112]; FI;
IF (DEST[127:120] <SRC[127:120])
THEN DEST[127:120] DEST[127:120];
ELSE DEST[127:120] SRC[127:120]; FI;
VP MI N S B (VE X.1 2 8 e n co d e d v e rsio n )
IF SRC1[7:0] <SRC2[7:0] THEN
DEST[7:0] SRC1[7:0];
ELSE
DEST[7:0] SRC2[7:0]; FI;
(* Repeat operation for 2nd through 15th bytes in source and destination operands *)
IF SRC1[127:120] <SRC2[127:120] THEN
DEST[127:120] SRC1[127:120];
ELSE
DEST[127:120] SRC2[127:120]; FI;
DEST[VLMAX-1:128] 0
VP MI N S B (VE X.2 5 6 e n co d e d v e rsio n )
IF SRC1[7:0] <SRC2[7:0] THEN
DEST[7:0] SRC1[7:0];
ELSE
DEST[15:0] SRC2[7:0]; FI;
(* Repeat operation for 2nd through 31st bytes in source and destination operands *)
IF SRC1[255:248] <SRC2[255:248] THEN
DEST[255:248] SRC1[255:248];
ELSE
DEST[255:248] SRC2[255:248]; FI;
PMINSB Minimumof Packed Signed Byte Integers
INSTRUCTION SET REFERENCE, N-Z
4-140 Vol. 2B
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
(V)PMINSB: __m128i _mm_min_epi8 ( __m128i a, __m128i b);
VPMINSB: __m256i _mm256_min_epi8 ( __m256i a, __m256i b);
F la g s Af fe ct e d
None.
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PMINSD Minimumof Packed Dword Integers
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-141
P MI N S D Min im u m o f P a cke d D w o rd I n t e g e rs
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Compares packed signed dword integers in the destination operand (first operand) and the source operand (second
operand), and returns the minimum for each packed value in the destination operand.
128-bit Legacy SSE version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the corresponding YMM destina-
tion register remain unchanged.
VEX.128 encoded version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the destination YMM register are
zeroed.
VEX.256 encoded version: The second source operand can be an YMM register or a 256-bit memory location. The
first source and destination operands are YMM registers.
Note: VEX.L must be 0, otherwise the instruction will #UD.
O p e ra t io n
IF (DEST[31:0] <SRC[31:0])
THEN DEST[31:0] DEST[31:0];
ELSE DEST[31:0] SRC[31:0]; FI;
IF (DEST[63:32] <SRC[63:32])
THEN DEST[63:32] DEST[63:32];
ELSE DEST[63:32] SRC[63:32]; FI;
IF (DEST[95:64] <SRC[95:64])
THEN DEST[95:64] DEST[95:64];
ELSE DEST[95:64] SRC[95:64]; FI;
IF (DEST[127:96] <SRC[127:96])
THEN DEST[127:96] DEST[127:96];
ELSE DEST[127:96] SRC[127:96]; FI;
VP MI N S D (V E X.1 2 8 e n co d e d v e rsio n )
IF SRC1[31:0] <SRC2[31:0] THEN
DEST[31:0] SRC1[31:0];
ELSE
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 38 39 /r
PMINSD xmm1, xmm2/m128
RM V/V SSE4_1 Compare packed signed dword integers in
xmm1 and xmm2/m128 and store packed
minimum values in xmm1.
VEX.NDS.128.66.0F38.WIG 39 /r
VPMINSD xmm1, xmm2, xmm3/m128
RVM V/V AVX Compare packed signed dword integers in
xmm2 and xmm3/m128 and store packed
minimum values in xmm1.
VEX.NDS.256.66.0F38.WIG 39 /r
VPMINSD ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Compare packed signed dword integers in
ymm2 and ymm3/m128 and store packed
minimum values in ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
PMINSD Minimumof Packed Dword Integers
INSTRUCTION SET REFERENCE, N-Z
4-142 Vol. 2B
DEST[31:0] SRC2[31:0]; FI;
(* Repeat operation for 2nd through 3rd dwords in source and destination operands *)
IF SRC1[127:95] <SRC2[127:95] THEN
DEST[127:95] SRC1[127:95];
ELSE
DEST[127:95] SRC2[127:95]; FI;
DEST[VLMAX-1:128] 0
VP MI N S D (V E X.2 5 6 e n co d e d v e rsio n )
IF SRC1[31:0] <SRC2[31:0] THEN
DEST[31:0] SRC1[31:0];
ELSE
DEST[31:0] SRC2[31:0]; FI;
(* Repeat operation for 2nd through 7th dwords in source and destination operands *)
IF SRC1[255:224] <SRC2[255:224] THEN
DEST[255:224] SRC1[255:224];
ELSE
DEST[255:224] SRC2[255:224]; FI;
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
(V)PMINSD: __m128i _mm_min_epi32 ( __m128i a, __m128i b);
VPMINSD: __m256i _mm256_min_epi32 (__m256i a, __m256i b);
F la g s Af fe ct e d
None.
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PMINSWMinimumof Packed Signed Word Integers
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-143
P MI N S WMin im u m o f P a cke d S ig n e d Wo rd I n t e g e rs
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a SIMD compare of the packed signed word integers in the destination operand (first operand) and the
source operand (second operand), and returns the minimum value for each pair of word integers to the destination
operand.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
Legacy SSE version: The source operand can be an MMX technology register or a 64-bit memory location. The
destination operand can be an MMX technology register.
128-bit Legacy SSE version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the corresponding YMM destina-
tion register remain unchanged.
VEX.128 encoded version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the destination YMM register are
zeroed.
VEX.256 encoded version: The second source operand can be an YMM register or a 256-bit memory location. The
first source and destination operands are YMM registers.
Note: VEX.L must be 0, otherwise the instruction will #UD.
O p e ra t io n
P MI N S W (6 4 -b it o p e ra n d s)
IF DEST[15:0] < SRC[15:0] THEN
DEST[15:0] DEST[15:0];
ELSE
DEST[15:0] SRC[15:0]; FI;
(* Repeat operation for 2nd and 3rd words in source and destination operands *)
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F EA /r
1
PMINSW mm1, mm2/m64
RM V/V SSE Compare signed word integers in mm2/m64
and mm1 and return minimumvalues.
66 0F EA /r
PMINSW xmm1, xmm2/m128
RM V/V SSE2 Compare signed word integers in xmm2/m128
and xmm1 and return minimum values.
VEX.NDS.128.66.0F.WIG EA /r
VPMINSW xmm1, xmm2, xmm3/m128
RVM V/V AVX Compare packed signed word integers in
xmm3/m128 and xmm2 and return packed
minimum values in xmm1.
VEX.NDS.256.66.0F.WIG EA /r
VPMINSW ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Compare packed signed word integers in
ymm3/m256 and ymm2 and return packed
minimum values in ymm1.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m(r) NA
PMINSWMinimumof Packed Signed Word Integers
INSTRUCTION SET REFERENCE, N-Z
4-144 Vol. 2B
IF DEST[63:48] < SRC[63:48] THEN
DEST[63:48] DEST[63:48];
ELSE
DEST[63:48] SRC[63:48]; FI;
P MI N S W (1 2 8 -b it o p e ra n d s)
IF DEST[15:0] < SRC[15:0] THEN
DEST[15:0] DEST[15:0];
ELSE
DEST[15:0] SRC[15:0]; FI;
(* Repeat operation for 2nd through 7th words in source and destination operands *)
IF DEST[127:112] < SRC/m64[127:112] THEN
DEST[127:112] DEST[127:112];
ELSE
DEST[127:112] SRC[127:112]; FI;
VP MI N S W (V E X.1 2 8 e n co d e d v e rsio n )
IF SRC1[15:0] <SRC2[15:0] THEN
DEST[15:0] SRC1[15:0];
ELSE
DEST[15:0] SRC2[15:0]; FI;
(* Repeat operation for 2nd through 7th words in source and destination operands *)
IF SRC1[127:112] <SRC2[127:112] THEN
DEST[127:112] SRC1[127:112];
ELSE
DEST[127:112] SRC2[127:112]; FI;
DEST[VLMAX-1:128] 0
VP MI N S W (V E X.2 5 6 e n co d e d v e rsio n )
IF SRC1[15:0] <SRC2[15:0] THEN
DEST[15:0] SRC1[15:0];
ELSE
DEST[15:0] SRC2[15:0]; FI;
(* Repeat operation for 2nd through 15th words in source and destination operands *)
IF SRC1[255:240] <SRC2[255:240] THEN
DEST[255:240] SRC1[255:240];
ELSE
DEST[255:240] SRC2[255:240]; FI;
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
PMINSW: __m64 _mm_min_pi16 (__m64 a, __m64 b)
(V)PMINSW: __m128i _mm_min_epi16 ( __m128i a, __m128i b)
VPMINSW: __m256i _mm256_min_epi16 ( __m256i a, __m256i b)
F la g s Af fe ct e d
None.
N u m e ric E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
PMINSWMinimumof Packed Signed Word Integers
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-145
#UD If VEX.L = 1.
#MF (64-bit operations only) If there is a pending x87 FPU exception.
PMINUBMinimumof Packed Unsigned Byte Integers
INSTRUCTION SET REFERENCE, N-Z
4-146 Vol. 2B
P MI N U B Min im u m o f P a cke d U n sig n e d B y t e I n t e g e rs
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a SIMD compare of the packed unsigned byte integers in the destination operand (first operand) and the
source operand (second operand), and returns the minimum value for each pair of byte integers to the destination
operand.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
Legacy SSE version: The source operand can be an MMX technology register or a 64-bit memory location. The
destination operand can be an MMX technology register.
128-bit Legacy SSE version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the corresponding YMM destina-
tion register remain unchanged.
VEX.128 encoded version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the destination YMM register are
zeroed.
VEX.256 encoded version: The second source operand can be an YMM register or a 256-bit memory location. The
first source and destination operands are YMM registers.
Note: VEX.L must be 0, otherwise the instruction will #UD.
O p e ra t io n
P MI N U B (fo r 6 4 -b it o p e ra n d s)
IF DEST[7:0] < SRC[17:0] THEN
DEST[7:0] DEST[7:0];
ELSE
DEST[7:0] SRC[7:0]; FI;
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F DA /r
1
PMINUB mm1, mm2/m64
RM V/V SSE Compare unsigned byte integers in mm2/m64
and mm1 and returns minimum values.
66 0F DA /r
PMINUB xmm1, xmm2/m128
RM V/V SSE2 Compare unsigned byte integers in
xmm2/m128 and xmm1 and returns minimum
values.
VEX.NDS.128.66.0F.WIG DA /r
VPMINUB xmm1, xmm2, xmm3/m128
RVM V/V AVX Compare packed unsigned byte integers in
xmm2 and xmm3/m128 and store packed
minimumvalues in xmm1.
VEX.NDS.256.66.0F.WIG DA /r
VPMINUB ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Compare packed unsigned byte integers in
ymm2 and ymm3/m256 and store packed
minimumvalues in ymm1.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
PMINUBMinimumof Packed Unsigned Byte Integers
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-147
(* Repeat operation for 2nd through 7th bytes in source and destination operands *)
IF DEST[63:56] < SRC[63:56] THEN
DEST[63:56] DEST[63:56];
ELSE
DEST[63:56] SRC[63:56]; FI;
P MI N U B (f o r 1 2 8 -b it o p e ra n d s)
IF DEST[7:0] < SRC[17:0] THEN
DEST[7:0] DEST[7:0];
ELSE
DEST[7:0] SRC[7:0]; FI;
(* Repeat operation for 2nd through 15th bytes in source and destination operands *)
IF DEST[127:120] < SRC[127:120] THEN
DEST[127:120] DEST[127:120];
ELSE
DEST[127:120] SRC[127:120]; FI;
VP MI N U B (VE X.1 2 8 e n co d e d v e rsio n )
VPMINUB instruction for 128-bit operands:
IF SRC1[7:0] <SRC2[7:0] THEN
DEST[7:0] SRC1[7:0];
ELSE
DEST[7:0] SRC2[7:0]; FI;
(* Repeat operation for 2nd through 15th bytes in source and destination operands *)
IF SRC1[127:120] <SRC2[127:120] THEN
DEST[127:120] SRC1[127:120];
ELSE
DEST[127:120] SRC2[127:120]; FI;
DEST[VLMAX-1:128] 0
VP MI N U B (VE X.2 5 6 e n co d e d v e rsio n )
VPMINUB instruction for 128-bit operands:
IF SRC1[7:0] <SRC2[7:0] THEN
DEST[7:0] SRC1[7:0];
ELSE
DEST[15:0] SRC2[7:0]; FI;
(* Repeat operation for 2nd through 31st bytes in source and destination operands *)
IF SRC1[255:248] <SRC2[255:248] THEN
DEST[255:248] SRC1[255:248];
ELSE
DEST[255:248] SRC2[255:248]; FI;
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
PMINUB: __m64 _m_min_pu8 (__m64 a, __m64 b)
(V)PMINUB: __m128i _mm_min_epu8 ( __m128i a, __m128i b)
VPMINUB: __m256i _mm256_min_epu8 ( __m256i a, __m256i b)
F la g s Af fe ct e d
None.
N u m e ric E x ce p t io n s
None.
PMINUBMinimumof Packed Unsigned Byte Integers
INSTRUCTION SET REFERENCE, N-Z
4-148 Vol. 2B
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PMINUD Minimumof Packed Dword Integers
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-149
P MI N U D Min im u m o f P a cke d D w o rd I n t e g e rs
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Compares packed unsigned dword integers in the destination operand (first operand) and the source operand
(second operand), and returns the minimum for each packed value in the destination operand.
128-bit Legacy SSE version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the corresponding YMM destina-
tion register remain unchanged.
VEX.128 encoded version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the destination YMM register are
zeroed.
VEX.256 encoded version: The second source operand can be an YMM register or a 256-bit memory location. The
first source and destination operands are YMM registers.
Note: VEX.L must be 0, otherwise the instruction will #UD.
O p e ra t io n
IF (DEST[31:0] <SRC[31:0])
THEN DEST[31:0] DEST[31:0];
ELSE DEST[31:0] SRC[31:0]; FI;
IF (DEST[63:32] <SRC[63:32])
THEN DEST[63:32] DEST[63:32];
ELSE DEST[63:32] SRC[63:32]; FI;
IF (DEST[95:64] <SRC[95:64])
THEN DEST[95:64] DEST[95:64];
ELSE DEST[95:64] SRC[95:64]; FI;
IF (DEST[127:96] <SRC[127:96])
THEN DEST[127:96] DEST[127:96];
ELSE DEST[127:96] SRC[127:96]; FI;
VP MI N U D (V E X.1 2 8 e n co d e d v e rsio n )
VPMINUD instruction for 128-bit operands:
IF SRC1[31:0] <SRC2[31:0] THEN
DEST[31:0] SRC1[31:0];
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 38 3B /r
PMINUD xmm1, xmm2/m128
RM V/V SSE4_1 Compare packed unsigned dword integers in
xmm1 and xmm2/m128 and store packed
minimum values in xmm1.
VEX.NDS.128.66.0F38.WIG 3B /r
VPMINUD xmm1, xmm2, xmm3/m128
RVM V/V AVX Compare packed unsigned dword integers in
xmm2 and xmm3/m128 and store packed
minimum values in xmm1.
VEX.NDS.256.66.0F38.WIG 3B /r
VPMINUD ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Compare packed unsigned dword integers in
ymm2 and ymm3/m256 and store packed
minimum values in ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m(r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
PMINUD Minimumof Packed Dword Integers
INSTRUCTION SET REFERENCE, N-Z
4-150 Vol. 2B
ELSE
DEST[31:0] SRC2[31:0]; FI;
(* Repeat operation for 2nd through 3rd dwords in source and destination operands *)
IF SRC1[127:95] <SRC2[127:95] THEN
DEST[127:95] SRC1[127:95];
ELSE
DEST[127:95] SRC2[127:95]; FI;
DEST[VLMAX-1:128] 0
VP MI N U D (V E X.2 5 6 e n co d e d v e rsio n )
VPMINUD instruction for 128-bit operands:
IF SRC1[31:0] <SRC2[31:0] THEN
DEST[31:0] SRC1[31:0];
ELSE
DEST[31:0] SRC2[31:0]; FI;
(* Repeat operation for 2nd through 7th dwords in source and destination operands *)
IF SRC1[255:224] <SRC2[255:224] THEN
DEST[255:224] SRC1[255:224];
ELSE
DEST[255:224] SRC2[255:224]; FI;
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
(V)PMINUD: __m128i _mm_min_epu32 ( __m128i a, __m128i b);
VPMINUD: __m256i _mm256_min_epu32 ( __m256i a, __m256i b);
F la g s Af fe ct e d
None.
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PMINUW Minimumof Packed Word Integers
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-151
P MI N U W Min im u m o f P a cke d Wo rd I n t e g e rs
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Compares packed unsigned word integers in the destination operand (first operand) and the source operand
(second operand), and returns the minimum for each packed value in the destination operand.
128-bit Legacy SSE version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the corresponding YMM destina-
tion register remain unchanged.
VEX.128 encoded version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the destination YMM register are
zeroed.
VEX.256 encoded version: The second source operand can be an YMM register or a 256-bit memory location. The
first source and destination operands are YMM registers.
Note: VEX.L must be 0, otherwise the instruction will #UD.
O p e ra t io n
IF (DEST[15:0] <SRC[15:0])
THEN DEST[15:0] DEST[15:0];
ELSE DEST[15:0] SRC[15:0]; FI;
IF (DEST[31:16] <SRC[31:16])
THEN DEST[31:16] DEST[31:16];
ELSE DEST[31:16] SRC[31:16]; FI;
IF (DEST[47:32] <SRC[47:32])
THEN DEST[47:32] DEST[47:32];
ELSE DEST[47:32] SRC[47:32]; FI;
IF (DEST[63:48] <SRC[63:48])
THEN DEST[63:48] DEST[63:48];
ELSE DEST[63:48] SRC[63:48]; FI;
IF (DEST[79:64] <SRC[79:64])
THEN DEST[79:64] DEST[79:64];
ELSE DEST[79:64] SRC[79:64]; FI;
IF (DEST[95:80] <SRC[95:80])
THEN DEST[95:80] DEST[95:80];
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 38 3A /r
PMINUW xmm1, xmm2/m128
RM V/V SSE4_1 Compare packed unsigned word integers in
xmm1 and xmm2/m128 and store packed
minimum values in xmm1.
VEX.NDS.128.66.0F38.WIG 3A/r
VPMINUW xmm1, xmm2, xmm3/m128
RVM V/V AVX Compare packed unsigned word integers in
xmm3/m128 and xmm2 and return packed
minimum values in xmm1.
VEX.NDS.256.66.0F38.WIG 3A /r
VPMINUW ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Compare packed unsigned word integers in
ymm3/m256 and ymm2 and return packed
minimum values in ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
PMINUW Minimumof Packed Word Integers
INSTRUCTION SET REFERENCE, N-Z
4-152 Vol. 2B
ELSE DEST[95:80] SRC[95:80]; FI;
IF (DEST[111:96] <SRC[111:96])
THEN DEST[111:96] DEST[111:96];
ELSE DEST[111:96] SRC[111:96]; FI;
IF (DEST[127:112] <SRC[127:112])
THEN DEST[127:112] DEST[127:112];
ELSE DEST[127:112] SRC[127:112]; FI;
VP MI N U W (V E X.1 2 8 e n co d e d v e rsio n )
VPMINUW instruction for 128-bit operands:
IF SRC1[15:0] <SRC2[15:0] THEN
DEST[15:0] SRC1[15:0];
ELSE
DEST[15:0] SRC2[15:0]; FI;
(* Repeat operation for 2nd through 7th words in source and destination operands *)
IF SRC1[127:112] <SRC2[127:112] THEN
DEST[127:112] SRC1[127:112];
ELSE
DEST[127:112] SRC2[127:112]; FI;
DEST[VLMAX-1:128] 0
VP MI N U W (V E X.2 5 6 e n co d e d v e rsio n )
VPMINUW instruction for 128-bit operands:
IF SRC1[15:0] <SRC2[15:0] THEN
DEST[15:0] SRC1[15:0];
ELSE
DEST[15:0] SRC2[15:0]; FI;
(* Repeat operation for 2nd through 15th words in source and destination operands *)
IF SRC1[255:240] <SRC2[255:240] THEN
DEST[255:240] SRC1[255:240];
ELSE
DEST[255:240] SRC2[255:240]; FI;
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
(V)PMINUW: __m128i _mm_min_epu16 ( __m128i a, __m128i b);
VPMINUW: __m256i _mm256_min_epu16 ( __m256i a, __m256i b);
F la g s Af fe ct e d
None.
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PMOVMSKBMove Byte Mask
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-153
P MO V MS K B Mo v e B y t e Ma sk
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Creates a mask made up of the most significant bit of each byte of the source operand (second operand) and stores
the result in the low byte or word of the destination operand (first operand).
The byte mask is 8 bits for 64-bit source operand, 16 bits for 128-bit source operand and 32 bits for 256-bit source
operand. The destination operand is a general-purpose register.
In 64-bit mode, the instruction can access additional registers (XMM8-XMM15, R8-R15) when used with a REX.R
prefix. The default operand size is 64-bit in 64-bit mode.
Legacy SSE version: The source operand is an MMX technology register.
128-bit Legacy SSE version: The source operand is an XMM register.
VEX.128 encoded version: The source operand is an XMM register.
VEX.256 encoded version: The source operand is a YMM register.
Note: VEX.vvvv is reserved and must be 1111b, VEX.L must be 0, otherwise the instruction will #UD.
O p e ra t io n
P MO VMS K B (w it h 6 4 -b it so u rce o p e ra n d a n d r3 2 )
r32[0] SRC[7];
r32[1] SRC[15];
(* Repeat operation for bytes 2 through 6 *)
r32[7] SRC[63];
r32[31:8] ZERO_FILL;
(V)P MO VMS K B (w it h 1 2 8 -b it so u rce o p e ra n d a n d r3 2 )
r32[0] SRC[7];
r32[1] SRC[15];
(* Repeat operation for bytes 2 through 14 *)
r32[15] SRC[127];
r32[31:16] ZERO_FILL;
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F D7 /r
1
PMOVMSKB reg, mm
RM V/V SSE Move a byte mask of mm to reg. The upper
bits of r32 or r64 are zeroed
66 0F D7 /r
PMOVMSKB reg, xmm
RM V/V SSE2 Move a byte mask of xmm to reg. The upper
bits of r32 or r64 are zeroed
VEX.128.66.0F.WIG D7 /r
VPMOVMSKB reg, xmm1
RM V/V AVX Move a byte mask of xmm1 to reg. The upper
bits of r32 or r64 are filled with zeros.
VEX.256.66.0F.WIG D7 /r
VPMOVMSKB reg, ymm1
RM V/V AVX2 Move a 32-bit mask of ymm1 to reg. The
upper bits of r64 are filled with zeros.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
PMOVMSKBMove Byte Mask
INSTRUCTION SET REFERENCE, N-Z
4-154 Vol. 2B
VP MO V MS K B (w it h 2 5 6 -b it so u rce o p e ra n d a n d r3 2 )
r32[0] SRC[7];
r32[1] SRC[15];
(* Repeat operation for bytes 3rd through 31*)
r32[31] SRC[255];
P MO VMS K B (w it h 6 4 -b it so u rce o p e ra n d a n d r6 4 )
r64[0] SRC[7];
r64[1] SRC[15];
(* Repeat operation for bytes 2 through 6 *)
r64[7] SRC[63];
r64[63:8] ZERO_FILL;
(V)P MO VMS K B (w it h 1 2 8 -b it so u rce o p e ra n d a n d r6 4 )
r64[0] SRC[7];
r64[1] SRC[15];
(* Repeat operation for bytes 2 through 14 *)
r64[15] SRC[127];
r64[63:16] ZERO_FILL;
VP MO V MS K B (w it h 2 5 6 -b it so u rce o p e ra n d a n d r6 4 )
r64[0] SRC[7];
r64[1] SRC[15];
(* Repeat operation for bytes 2 through 31*)
r64[31] SRC[255];
r64[63:32] ZERO_FILL;
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
PMOVMSKB: int _mm_movemask_pi8(__m64 a)
(V)PMOVMSKB: int _mm_movemask_epi8 ( __m128i a)
VPMOVMSKB: int _mm256_movemask_epi8 ( __m256i a)
F la g s Af fe ct e d
None.
N u m e ric E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 7; additionally
#UD If VEX.L = 1.
If VEX.vvvv != 1111B.
PMOVSX Packed Move with Sign Extend
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-155
P MO VS X P a cke d Mo v e w it h S ig n E x t e n d
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0f 38 20 /r
PMOVSXBW xmm1, xmm2/m64
RM V/V SSE4_1 Sign extend 8 packed signed 8-bit integers in
the low 8 bytes of xmm2/m64 to 8 packed
signed 16-bit integers in xmm1.
66 0f 38 21 /r
PMOVSXBD xmm1, xmm2/m32
RM V/V SSE4_1 Sign extend 4 packed signed 8-bit integers in
the low 4 bytes of xmm2/m32 to 4 packed
signed 32-bit integers in xmm1.
66 0f 38 22 /r
PMOVSXBQ xmm1, xmm2/m16
RM V/V SSE4_1 Sign extend 2 packed signed 8-bit integers in
the low 2 bytes of xmm2/m16 to 2 packed
signed 64-bit integers in xmm1.
66 0f 38 23 /r
PMOVSXWD xmm1, xmm2/m64
RM V/V SSE4_1 Sign extend 4 packed signed 16-bit integers in
the low 8 bytes of xmm2/m64 to 4 packed
signed 32-bit integers in xmm1.
66 0f 38 24 /r
PMOVSXWQ xmm1, xmm2/m32
RM V/V SSE4_1 Sign extend 2 packed signed 16-bit integers in
the low 4 bytes of xmm2/m32 to 2 packed
signed 64-bit integers in xmm1.
66 0f 38 25 /r
PMOVSXDQ xmm1, xmm2/m64
RM V/V SSE4_1 Sign extend 2 packed signed 32-bit integers in
the low 8 bytes of xmm2/m64 to 2 packed
signed 64-bit integers in xmm1.
VEX.128.66.0F38.WIG 20 /r
VPMOVSXBW xmm1, xmm2/m64
RM V/V AVX Sign extend 8 packed 8-bit integers in the low
8 bytes of xmm2/m64 to 8 packed 16-bit
integers in xmm1.
VEX.128.66.0F38.WIG 21 /r
VPMOVSXBD xmm1, xmm2/m32
RM V/V AVX Sign extend 4 packed 8-bit integers in the low
4 bytes of xmm2/m32 to 4 packed 32-bit
integers in xmm1.
VEX.128.66.0F38.WIG 22 /r
VPMOVSXBQ xmm1, xmm2/m16
RM V/V AVX Sign extend 2 packed 8-bit integers in the low
2 bytes of xmm2/m16 to 2 packed 64-bit
integers in xmm1.
VEX.128.66.0F38.WIG 23 /r
VPMOVSXWD xmm1, xmm2/m64
RM V/V AVX Sign extend 4 packed 16-bit integers in the
low 8 bytes of xmm2/m64 to 4 packed 32-bit
integers in xmm1.
VEX.128.66.0F38.WIG 24 /r
VPMOVSXWQ xmm1, xmm2/m32
RM V/V AVX Sign extend 2 packed 16-bit integers in the
low 4 bytes of xmm2/m32 to 2 packed 64-bit
integers in xmm1.
VEX.128.66.0F38.WIG 25 /r
VPMOVSXDQ xmm1, xmm2/m64
RM V/V AVX Sign extend 2 packed 32-bit integers in the
low 8 bytes of xmm2/m64 to 2 packed 64-bit
integers in xmm1.
VEX.256.66.0F38.WIG 20 /r
VPMOVSXBW ymm1, xmm2/m128
RM V/V AVX2 Sign extend 16 packed 8-bit integers in
xmm2/m128 to 16 packed 16-bit integers in
ymm1.
VEX.256.66.0F38.WIG 21 /r
VPMOVSXBD ymm1, xmm2/m64
RM V/V AVX2 Sign extend 8 packed 8-bit integers in the low
8 bytes of xmm2/m64 to 8 packed 32-bit
integers in ymm1.
VEX.256.66.0F38.WIG 22 /r
VPMOVSXBQ ymm1, xmm2/m32
RM V/V AVX2 Sign extend 4 packed 8-bit integers in the low
4 bytes of xmm2/m32 to 4 packed 64-bit
integers in ymm1.
VEX.256.66.0F38.WIG 23 /r
VPMOVSXWD ymm1, xmm2/m128
RM V/V AVX2 Sign extend 8 packed 16-bit integers in the
low 16 bytes of xmm2/m128 to 8 packed 32-
bit integers in ymm1.
PMOVSX Packed Move with Sign Extend
INSTRUCTION SET REFERENCE, N-Z
4-156 Vol. 2B
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Sign-extend the low byte/word/dword values in each word/dword/qword element of the source operand (second
operand) to word/dword/qword integers and stored as packed data in the destination operand (first operand).
128-bit Legacy SSE version: Bits (VLMAX-1:128) of the corresponding YMM destination register remain
unchanged.
VEX.128 encoded version: Bits (VLMAX-1:128) of the destination YMM register are zeroed.
VEX.256 encoded version: The destination register is YMM Register.
Note: VEX.vvvv is reserved and must be 1111b, VEX.L must be 0, otherwise the instruction will #UD.
O p e ra t io n
P MO VS XB W
DEST[15:0] SignExtend(SRC[7:0]);
DEST[31:16] SignExtend(SRC[15:8]);
DEST[47:32] SignExtend(SRC[23:16]);
DEST[63:48] SignExtend(SRC[31:24]);
DEST[79:64] SignExtend(SRC[39:32]);
DEST[95:80] SignExtend(SRC[47:40]);
DEST[111:96] SignExtend(SRC[55:48]);
DEST[127:112] SignExtend(SRC[63:56]);
P MO VS XB D
DEST[31:0] SignExtend(SRC[7:0]);
DEST[63:32] SignExtend(SRC[15:8]);
DEST[95:64] SignExtend(SRC[23:16]);
DEST[127:96] SignExtend(SRC[31:24]);
P MO VS XB Q
DEST[63:0] SignExtend(SRC[7:0]);
DEST[127:64] SignExtend(SRC[15:8]);
P MO VS XWD
DEST[31:0] SignExtend(SRC[15:0]);
DEST[63:32] SignExtend(SRC[31:16]);
DEST[95:64] SignExtend(SRC[47:32]);
DEST[127:96] SignExtend(SRC[63:48]);
VEX.256.66.0F38.WIG 24 /r
VPMOVSXWQ ymm1, xmm2/m64
RM V/V AVX2 Sign extend 4 packed 16-bit integers in the
low 8 bytes of xmm2/m64 to 4 packed 64-bit
integers in ymm1.
VEX.256.66.0F38.WIG 25 /r
VPMOVSXDQ ymm1, xmm2/m128
RM V/V AVX2 Sign extend 4 packed 32-bit integers in the
low 16 bytes of xmm2/m128 to 4 packed 64-
bit integers in ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
PMOVSX Packed Move with Sign Extend
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-157
P MO VS XWQ
DEST[63:0] SignExtend(SRC[15:0]);
DEST[127:64] SignExtend(SRC[31:16]);
P MO VS XD Q
DEST[63:0] SignExtend(SRC[31:0]);
DEST[127:64] SignExtend(SRC[63:32]);
VP MO V S XB W (VE X.1 2 8 e n co d e d v e rsio n )
Packed_Sign_Extend_BYTE_to_WORD()
DEST[VLMAX-1:128] 0
VP MO V S XB D (VE X.1 2 8 e n co d e d v e rsio n )
Packed_Sign_Extend_BYTE_to_DWORD()
DEST[VLMAX-1:128] 0
VP MO V S XB Q (VE X.1 2 8 e n co d e d v e rsio n )
Packed_Sign_Extend_BYTE_to_QWORD()
DEST[VLMAX-1:128] 0
VP MO V S XWD (VE X.1 2 8 e n co d e d v e rsio n )
Packed_Sign_Extend_WORD_to_DWORD()
DEST[VLMAX-1:128] 0
VP MO V S XWQ (VE X.1 2 8 e n co d e d v e rsio n )
Packed_Sign_Extend_WORD_to_QWORD()
DEST[VLMAX-1:128] 0
VP MO V S XD Q (VE X.1 2 8 e n co d e d v e rsio n )
Packed_Sign_Extend_DWORD_to_QWORD()
DEST[VLMAX-1:128] 0
VP MO V S XB W (VE X.2 5 6 e n co d e d v e rsio n )
Packed_Sign_Extend_BYTE_to_WORD(DEST[127:0], SRC[63:0])
Packed_Sign_Extend_BYTE_to_WORD(DEST[255:128], SRC[127:64])
VP MO V S XB D (VE X.2 5 6 e n co d e d v e rsio n )
Packed_Sign_Extend_BYTE_to_DWORD(DEST[127:0], SRC[31:0])
Packed_Sign_Extend_BYTE_to_DWORD(DEST[255:128], SRC[63:32])
VP MO V S XB Q (VE X.2 5 6 e n co d e d v e rsio n )
Packed_Sign_Extend_BYTE_to_QWORD(DEST[127:0], SRC[15:0])
Packed_Sign_Extend_BYTE_to_QWORD(DEST[255:128], SRC[31:16])
VP MO V S XWD (VE X.2 5 6 e n co d e d v e rsio n )
Packed_Sign_Extend_WORD_to_DWORD(DEST[127:0], SRC[63:0])
Packed_Sign_Extend_WORD_to_DWORD(DEST[255:128], SRC[127:64])
VP MO V S XWQ (VE X.2 5 6 e n co d e d v e rsio n )
Packed_Sign_Extend_WORD_to_QWORD(DEST[127:0], SRC[31:0])
Packed_Sign_Extend_WORD_to_QWORD(DEST[255:128], SRC[63:32])
PMOVSX Packed Move with Sign Extend
INSTRUCTION SET REFERENCE, N-Z
4-158 Vol. 2B
VP MO V S XD Q (VE X.2 5 6 e n co d e d v e rsio n )
Packed_Sign_Extend_DWORD_to_QWORD(DEST[127:0], SRC[63:0])
Packed_Sign_Extend_DWORD_to_QWORD(DEST[255:128], SRC[127:64])
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
(V)PMOVSXBW: __m128i _mm_ cvtepi8_epi16 ( __m128i a);
VPMOVSXBW: __m256i _mm256_cvtepi8_epi16 ( __m128i a);
(V)PMOVSXBD: __m128i _mm_ cvtepi8_epi32 ( __m128i a);
VPMOVSXBD: __m256i _mm256_cvtepi8_epi32 ( __m128i a);
(V)PMOVSXBQ: __m128i _mm_ cvtepi8_epi64 ( __m128i a);
VPMOVSXBQ: __m256i _mm256_cvtepi8_epi64 ( __m128i a);
(V)PMOVSXWD: __m128i _mm_ cvtepi16_epi32 ( __m128i a);
VPMOVSXWD: __m256i _mm256_cvtepi16_epi32 ( __m128i a);
(V)PMOVSXWQ: __m128i _mm_ cvtepi16_epi64 ( __m128i a);
VPMOVSXWQ: __m256i _mm256_cvtepi16_epi64 ( __m128i a);
(V)PMOVSXDQ: __m128i _mm_ cvtepi32_epi64 ( __m128i a);
VPMOVSXDQ: __m256i _mm256_cvtepi32_epi64 ( __m128i a);
F la g s Af fe ct e d
None.
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 5; additionally
#UD If VEX.L = 1.
If VEX.vvvv != 1111B.
PMOVZX Packed Move with Zero Extend
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-159
P MO V Z X P a cke d Mo v e w it h Z e ro E x t e n d
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0f 38 30 /r
PMOVZXBW xmm1, xmm2/m64
RM V/V SSE4_1 Zero extend 8 packed 8-bit integers in the low
8 bytes of xmm2/m64 to 8 packed 16-bit
integers in xmm1.
66 0f 38 31 /r
PMOVZXBD xmm1, xmm2/m32
RM V/V SSE4_1 Zero extend 4 packed 8-bit integers in the low
4 bytes of xmm2/m32 to 4 packed 32-bit
integers in xmm1.
66 0f 38 32 /r
PMOVZXBQ xmm1, xmm2/m16
RM V/V SSE4_1 Zero extend 2 packed 8-bit integers in the low
2 bytes of xmm2/m16 to 2 packed 64-bit
integers in xmm1.
66 0f 38 33 /r
PMOVZXWD xmm1, xmm2/m64
RM V/V SSE4_1 Zero extend 4 packed 16-bit integers in the
low 8 bytes of xmm2/m64 to 4 packed 32-bit
integers in xmm1.
66 0f 38 34 /r
PMOVZXWQ xmm1, xmm2/m32
RM V/V SSE4_1 Zero extend 2 packed 16-bit integers in the
low 4 bytes of xmm2/m32 to 2 packed 64-bit
integers in xmm1.
66 0f 38 35 /r
PMOVZXDQ xmm1, xmm2/m64
RM V/V SSE4_1 Zero extend 2 packed 32-bit integers in the
low 8 bytes of xmm2/m64 to 2 packed 64-bit
integers in xmm1.
VEX.128.66.0F38.WIG 30 /r
VPMOVZXBW xmm1, xmm2/m64
RM V/V AVX Zero extend 8 packed 8-bit integers in the low
8 bytes of xmm2/m64 to 8 packed 16-bit
integers in xmm1.
VEX.128.66.0F38.WIG 31 /r
VPMOVZXBD xmm1, xmm2/m32
RM V/V AVX Zero extend 4 packed 8-bit integers in the low
4 bytes of xmm2/m32 to 4 packed 32-bit
integers in xmm1.
VEX.128.66.0F38.WIG 32 /r
VPMOVZXBQ xmm1, xmm2/m16
RM V/V AVX Zero extend 2 packed 8-bit integers in the low
2 bytes of xmm2/m16 to 2 packed 64-bit
integers in xmm1.
VEX.128.66.0F38.WIG 33 /r
VPMOVZXWD xmm1, xmm2/m64
RM V/V AVX Zero extend 4 packed 16-bit integers in the
low 8 bytes of xmm2/m64 to 4 packed 32-bit
integers in xmm1.
VEX.128.66.0F38.WIG 34 /r
VPMOVZXWQ xmm1, xmm2/m32
RM V/V AVX Zero extend 2 packed 16-bit integers in the
low 4 bytes of xmm2/m32 to 2 packed 64-bit
integers in xmm1.
VEX.128.66.0F38.WIG 35 /r
VPMOVZXDQ xmm1, xmm2/m64
RM V/V AVX Zero extend 2 packed 32-bit integers in the
low 8 bytes of xmm2/m64 to 2 packed 64-bit
integers in xmm1.
VEX.256.66.0F38.WIG 30 /r
VPMOVZXBW ymm1, xmm2/m128
RM V/V AVX2 Zero extend 16 packed 8-bit integers in the
low 16 bytes of xmm2/m128 to 16 packed
16-bit integers in ymm1.
VEX.256.66.0F38.WIG 31 /r
VPMOVZXBD ymm1, xmm2/m64
RM V/V AVX2 Zero extend 8 packed 8-bit integers in the low
8 bytes of xmm2/m64 to 8 packed 32-bit
integers in ymm1.
VEX.256.66.0F38.WIG 32 /r
VPMOVZXBQ ymm1, xmm2/m32
RM V/V AVX2 Zero extend 4 packed 8-bit integers in the low
4 bytes of xmm2/m32 to 4 packed 64-bit
integers in ymm1.
VEX.256.66.0F38.WIG 33 /r
VPMOVZXWD ymm1, xmm2/m128
RM V/V AVX2 Zero extend 8 packed 16-bit integers in the
low 16 bytes of xmm2/m128 to 8 packed 32-
bit integers in ymm1.
PMOVZX Packed Move with Zero Extend
INSTRUCTION SET REFERENCE, N-Z
4-160 Vol. 2B
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Zero-extend the low byte/word/dword values in each word/dword/qword element of the source operand (second
operand) to word/dword/qword integers and stored as packed data in the destination operand (first operand).
128-bit Legacy SSE version: Bits (VLMAX-1:128) of the corresponding YMM destination register remain
unchanged.
VEX.128 encoded version: Bits (VLMAX-1:128) of the destination YMM register are zeroed.
VEX.256 encoded version: The destination register is YMM Register.
Note: VEX.vvvv is reserved and must be 1111b, VEX.L must be 0, otherwise the instruction will #UD.
O p e ra t io n
P MO VZ XB W
DEST[15:0] ZeroExtend(SRC[7:0]);
DEST[31:16] ZeroExtend(SRC[15:8]);
DEST[47:32] ZeroExtend(SRC[23:16]);
DEST[63:48] ZeroExtend(SRC[31:24]);
DEST[79:64] ZeroExtend(SRC[39:32]);
DEST[95:80] ZeroExtend(SRC[47:40]);
DEST[111:96] ZeroExtend(SRC[55:48]);
DEST[127:112] ZeroExtend(SRC[63:56]);
P MO VZ XB D
DEST[31:0] ZeroExtend(SRC[7:0]);
DEST[63:32] ZeroExtend(SRC[15:8]);
DEST[95:64] ZeroExtend(SRC[23:16]);
DEST[127:96] ZeroExtend(SRC[31:24]);
P MO VZ XQ B
DEST[63:0] ZeroExtend(SRC[7:0]);
DEST[127:64] ZeroExtend(SRC[15:8]);
P MO VZ XWD
DEST[31:0] ZeroExtend(SRC[15:0]);
DEST[63:32] ZeroExtend(SRC[31:16]);
DEST[95:64] ZeroExtend(SRC[47:32]);
DEST[127:96] ZeroExtend(SRC[63:48]);
VEX.256.66.0F38.WIG 34 /r
VPMOVZXWQ ymm1, xmm2/m64
RM V/V AVX2 Zero extend 4 packed 16-bit integers in the
low 8 bytes of xmm2/m64 to 4 packed 64-bit
integers in xmm1.
VEX.256.66.0F38.WIG 35 /r
VPMOVZXDQ ymm1, xmm2/m128
RM V/V AVX2 Zero extend 4 packed 32-bit integers in the
low 16 bytes of xmm2/m128 to 4 packed 64-
bit integers in ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
PMOVZX Packed Move with Zero Extend
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-161
P MO VZ XWQ
DEST[63:0] ZeroExtend(SRC[15:0]);
DEST[127:64] ZeroExtend(SRC[31:16]);
P MO VZ XD Q
DEST[63:0] ZeroExtend(SRC[31:0]);
DEST[127:64] ZeroExtend(SRC[63:32]);
VP MO V Z XB W (VE X.1 2 8 e n co d e d v e rsio n )
Packed_Zero_Extend_BYTE_to_WORD()
DEST[VLMAX-1:128] 0
VP MO V Z XB D (VE X.1 2 8 e n co d e d v e rsio n )
Packed_Zero_Extend_BYTE_to_DWORD()
DEST[VLMAX-1:128] 0
VP MO V Z XB Q (V E X.1 2 8 e n co d e d v e rsio n )
Packed_Zero_Extend_BYTE_to_QWORD()
DEST[VLMAX-1:128] 0
VP MO V Z XWD (V E X.1 2 8 e n co d e d v e rsio n )
Packed_Zero_Extend_WORD_to_DWORD()
DEST[VLMAX-1:128] 0
VP MO V Z XWQ (VE X.1 2 8 e n co d e d v e rsio n )
Packed_Zero_Extend_WORD_to_QWORD()
DEST[VLMAX-1:128] 0
VP MO V Z XD Q (VE X.1 2 8 e n co d e d v e rsio n )
Packed_Zero_Extend_DWORD_to_QWORD()
DEST[VLMAX-1:128] 0
VP MO V Z XB W (VE X.2 5 6 e n co d e d v e rsio n )
Packed_Zero_Extend_BYTE_to_WORD(DEST[127:0], SRC[63:0])
Packed_Zero_Extend_BYTE_to_WORD(DEST[255:128], SRC[127:64])
VP MO V Z XB D (VE X.2 5 6 e n co d e d v e rsio n )
Packed_Zero_Extend_BYTE_to_DWORD(DEST[127:0], SRC[31:0])
Packed_Zero_Extend_BYTE_to_DWORD(DEST[255:128], SRC[63:32])
VP MO V Z XB Q (V E X.2 5 6 e n co d e d v e rsio n )
Packed_Zero_Extend_BYTE_to_QWORD(DEST[127:0], SRC[15:0])
Packed_Zero_Extend_BYTE_to_QWORD(DEST[255:128], SRC[31:16])
VP MO V Z XWD (V E X.2 5 6 e n co d e d v e rsio n )
Packed_Zero_Extend_WORD_to_DWORD(DEST[127:0], SRC[63:0])
Packed_Zero_Extend_WORD_to_DWORD(DEST[255:128], SRC[127:64])
VP MO V Z XWQ (VE X.2 5 6 e n co d e d v e rsio n )
Packed_Zero_Extend_WORD_to_QWORD(DEST[127:0], SRC[31:0])
Packed_Zero_Extend_WORD_to_QWORD(DEST[255:128], SRC[63:32])
PMOVZX Packed Move with Zero Extend
INSTRUCTION SET REFERENCE, N-Z
4-162 Vol. 2B
VP MO V Z XD Q (VE X.2 5 6 e n co d e d v e rsio n )
Packed_Zero_Extend_DWORD_to_QWORD(DEST[127:0], SRC[63:0])
Packed_Zero_Extend_DWORD_to_QWORD(DEST[255:128], SRC[127:64])
F la g s Af fe ct e d
None
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
(V)PMOVZXBW: __m128i _mm_ cvtepu8_epi16 ( __m128i a);
VPMOVZXBW: __m256i _mm256_cvtepu8_epi16 ( __m128i a);
(V)PMOVZXBD: __m128i _mm_ cvtepu8_epi32 ( __m128i a);
VPMOVZXBD: __m256i _mm256_cvtepu8_epi32 ( __m128i a);
(V)PMOVZXBQ: __m128i _mm_ cvtepu8_epi64 ( __m128i a);
VPMOVZXBQ: __m256i _mm256_cvtepu8_epi64 ( __m128i a);
(V)PMOVZXWD: __m128i _mm_ cvtepu16_epi32 ( __m128i a);
VPMOVZXWD: __m256i _mm256_cvtepu16_epi32 ( __m128i a);
(V)PMOVZXWQ: __m128i _mm_ cvtepu16_epi64 ( __m128i a);
VPMOVZXWQ: __m256i _mm256_cvtepu16_epi64 ( __m128i a);
(V)PMOVZXDQ: __m128i _mm_ cvtepu32_epi64 ( __m128i a);
VPMOVZXDQ: __m256i _mm256_cvtepu32_epi64 ( __m128i a);
F la g s Af fe ct e d
None.
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 5; additionally
#UD If VEX.L = 1.
If VEX.vvvv != 1111B.
PMULDQ Multiply Packed Signed Dword Integers
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-163
P MU LD Q Mu lt ip ly P a cke d S ig n e d D w o rd I n t e g e rs
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Multiplies the first source operand by the second source operand and stores the result in the destination operand.
For PMULDQ and VPMULDQ (VEX.128 encoded version), the second source operand is two packed signed double-
word integers stored in the first (low) and third doublewords of an XMM register or a 128-bit memory location. The
first source operand is two packed signed doubleword integers stored in the first and third doublewords of an XMM
register. The destination contains two packed signed quadword integers stored in an XMM register. For 128-bit
memory operands, 128 bits are fetched from memory, but only the first and third doublewords are used in the
computation.
For VPMULDQ (VEX.256 encoded version), the second source operand is four packed signed doubleword integers
stored in the first (low), third, fifth and seventh doublewords of an YMM register or a 256-bit memory location. The
first source operand is four packed signed doubleword integers stored in the first, third, fifth and seventh double-
words of an XMM register. The destination contains four packed signed quadword integers stored in an YMM
register. For 256-bit memory operands, 256 bits are fetched from memory, but only the first, third, fifth and
seventh doublewords are used in the computation.
When a quadword result is too large to be represented in 64 bits (overflow), the result is wrapped around and the
low 64 bits are written to the destination element (that is, the carry is ignored).
128-bit Legacy SSE version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the corresponding YMM destina-
tion register remain unchanged.
VEX.128 encoded version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the destination YMM register are
zeroed. VEX.L must be 0, otherwise the instruction will #UD.
VEX.256 encoded version: The second source operand can be an YMM register or a 256-bit memory location. The
first source and destination operands are YMM registers.
O p e ra t io n
P MU LD Q (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[63:0] DEST[31:0] * SRC[31:0]
DEST[127:64] DEST[95:64] * SRC[95:64]
DEST[VLMAX-1:128] (Unmodified)
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 38 28 /r
PMULDQ xmm1, xmm2/m128
RM V/V SSE4_1 Multiply the packed signed dword integers in
xmm1 and xmm2/m128 and store the
quadword product in xmm1.
VEX.NDS.128.66.0F38.WIG 28 /r
VPMULDQ xmm1, xmm2, xmm3/m128
RVM V/V AVX Multiply packed signed doubleword integers in
xmm2 by packed signed doubleword integers
in xmm3/m128, and store the quadword
results in xmm1.
VEX.NDS.256.66.0F38.WIG 28 /r
VPMULDQ ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Multiply packed signed doubleword integers in
ymm2 by packed signed doubleword integers
in ymm3/m256, and store the quadword
results in ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
PMULDQ Multiply Packed Signed Dword Integers
INSTRUCTION SET REFERENCE, N-Z
4-164 Vol. 2B
VP MU LD Q (VE X.1 2 8 e n co d e d v e rsio n )
DEST[63:0] SRC1[31:0] * SRC2[31:0]
DEST[127:64] SRC1[95:64] * SRC2[95:64]
DEST[VLMAX-1:128] 0
VP MU LD Q (VE X.2 5 6 e n co d e d v e rsio n )
DEST[63:0] SRC1[31:0] * SRC2[31:0]
DEST[127:64] SRC1[95:64] * SRC2[95:64]
DEST[191:128] SRC1[159:128] * SRC2[159:128]
DEST[255:192] SRC1[223:192] * SRC2[223:192]
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
(V)PMULDQ: __m128i _mm_mul_epi32( __m128i a, __m128i b);
VPMULDQ: __m256i _mm256_mul_epi32( __m256i a, __m256i b);
F la g s Af fe ct e d
None.
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 5; additionally
#UD If VEX.L = 1.
If VEX.vvvv != 1111B.
PMULHRSW Packed Multiply High with Round and Scale
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-165
P MU LH R S W P a cke d Mu lt ip ly H ig h w it h R o u n d a n d S ca le
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
PMULHRSW multiplies vertically each signed 16-bit integer from the destination operand (first operand) with the
corresponding signed 16-bit integer of the source operand (second operand), producing intermediate, signed 32-
bit integers. Each intermediate 32-bit integer is truncated to the 18 most significant bits. Rounding is always
performed by adding 1 to the least significant bit of the 18-bit intermediate result. The final result is obtained by
selecting the 16 bits immediately to the right of the most significant bit of each 18-bit intermediate result and
packed to the destination operand.
When the source operand is a 128-bit memory operand, the operand must be aligned on a 16-byte boundary or a
general-protection exception (#GP) will be generated.
In 64-bit mode, use the REX prefix to access additional registers.
Legacy SSE version: Both operands can be MMX registers. The second source operand is an MMX register or a 64-
bit memory location.
128-bit Legacy SSE version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the corresponding YMM destina-
tion register remain unchanged.
VEX.128 encoded version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the destination YMM register are
zeroed. VEX.L must be 0, otherwise the instruction will #UD.
VEX.256 encoded version: The second source operand can be an YMM register or a 256-bit memory location. The
first source and destination operands are YMM registers.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 38 0B /r
1

PMULHRSW mm1, mm2/m64
RM V/V SSSE3 Multiply 16-bit signed words, scale and round
signed doublewords, pack high 16 bits to
mm1.
66 0F 38 0B /r
PMULHRSW xmm1, xmm2/m128
RM V/V SSSE3 Multiply 16-bit signed words, scale and round
signed doublewords, pack high 16 bits to
xmm1.
VEX.NDS.128.66.0F38.WIG 0B /r
VPMULHRSW xmm1, xmm2, xmm3/m128
RVM V/V AVX Multiply 16-bit signed words, scale and round
signed doublewords, pack high 16 bits to
xmm1.
VEX.NDS.256.66.0F38.WIG 0B /r
VPMULHRSW ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Multiply 16-bit signed words, scale and round
signed doublewords, pack high 16 bits to
ymm1.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
PMULHRSW Packed Multiply High with Round and Scale
INSTRUCTION SET REFERENCE, N-Z
4-166 Vol. 2B
O p e ra t io n
P MU LH R S W (w it h 6 4 -b it o p e ra n d s)
temp0[31:0] =INT32 ((DEST[15:0] * SRC[15:0]) >>14) +1;
temp1[31:0] =INT32 ((DEST[31:16] * SRC[31:16]) >>14) +1;
temp2[31:0] =INT32 ((DEST[47:32] * SRC[47:32]) >>14) +1;
temp3[31:0] =INT32 ((DEST[63:48] * SRc[63:48]) >>14) +1;
DEST[15:0] =temp0[16:1];
DEST[31:16] =temp1[16:1];
DEST[47:32] =temp2[16:1];
DEST[63:48] =temp3[16:1];
P MU LH R S W (w it h 1 2 8 -b it o p e ra n d )
temp0[31:0] =INT32 ((DEST[15:0] * SRC[15:0]) >>14) +1;
temp1[31:0] =INT32 ((DEST[31:16] * SRC[31:16]) >>14) +1;
temp2[31:0] =INT32 ((DEST[47:32] * SRC[47:32]) >>14) +1;
temp3[31:0] =INT32 ((DEST[63:48] * SRC[63:48]) >>14) +1;
temp4[31:0] =INT32 ((DEST[79:64] * SRC[79:64]) >>14) +1;
temp5[31:0] =INT32 ((DEST[95:80] * SRC[95:80]) >>14) +1;
temp6[31:0] =INT32 ((DEST[111:96] * SRC[111:96]) >>14) +1;
temp7[31:0] =INT32 ((DEST[127:112] * SRC[127:112) >>14) +1;
DEST[15:0] =temp0[16:1];
DEST[31:16] =temp1[16:1];
DEST[47:32] =temp2[16:1];
DEST[63:48] =temp3[16:1];
DEST[79:64] =temp4[16:1];
DEST[95:80] =temp5[16:1];
DEST[111:96] =temp6[16:1];
DEST[127:112] =temp7[16:1];
VP MU LH R S W (V E X.1 2 8 e n co d e d v e rsio n )
temp0[31:0] INT32 ((SRC1[15:0] * SRC2[15:0]) >>14) +1
temp1[31:0] INT32 ((SRC1[31:16] * SRC2[31:16]) >>14) +1
temp2[31:0] INT32 ((SRC1[47:32] * SRC2[47:32]) >>14) +1
temp3[31:0] INT32 ((SRC1[63:48] * SRC2[63:48]) >>14) +1
temp4[31:0] INT32 ((SRC1[79:64] * SRC2[79:64]) >>14) +1
temp5[31:0] INT32 ((SRC1[95:80] * SRC2[95:80]) >>14) +1
temp6[31:0] INT32 ((SRC1[111:96] * SRC2[111:96]) >>14) +1
temp7[31:0] INT32 ((SRC1[127:112] * SRC2[127:112) >>14) +1
DEST[15:0] temp0[16:1]
DEST[31:16] temp1[16:1]
DEST[47:32] temp2[16:1]
DEST[63:48] temp3[16:1]
DEST[79:64] temp4[16:1]
DEST[95:80] temp5[16:1]
DEST[111:96] temp6[16:1]
DEST[127:112] temp7[16:1]
DEST[VLMAX-1:128] 0
VP MU LH R S W (V E X.2 5 6 e n co d e d v e rsio n )
temp0[31:0] INT32 ((SRC1[15:0] * SRC2[15:0]) >>14) +1
temp1[31:0] INT32 ((SRC1[31:16] * SRC2[31:16]) >>14) +1
temp2[31:0] INT32 ((SRC1[47:32] * SRC2[47:32]) >>14) +1
temp3[31:0] INT32 ((SRC1[63:48] * SRC2[63:48]) >>14) +1
temp4[31:0] INT32 ((SRC1[79:64] * SRC2[79:64]) >>14) +1
PMULHRSW Packed Multiply High with Round and Scale
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-167
temp5[31:0] INT32 ((SRC1[95:80] * SRC2[95:80]) >>14) +1
temp6[31:0] INT32 ((SRC1[111:96] * SRC2[111:96]) >>14) +1
temp7[31:0] INT32 ((SRC1[127:112] * SRC2[127:112) >>14) +1
temp8[31:0] INT32 ((SRC1[143:128] * SRC2[143:128]) >>14) +1
temp9[31:0] INT32 ((SRC1[159:144] * SRC2[159:144]) >>14) +1
temp10[31:0] INT32 ((SRC1[75:160] * SRC2[175:160]) >>14) +1
temp11[31:0] INT32 ((SRC1[191:176] * SRC2[191:176]) >>14) +1
temp12[31:0] INT32 ((SRC1[207:192] * SRC2[207:192]) >>14) +1
temp13[31:0] INT32 ((SRC1[223:208] * SRC2[223:208]) >>14) +1
temp14[31:0] INT32 ((SRC1[239:224] * SRC2[239:224]) >>14) +1
temp15[31:0] INT32 ((SRC1[255:240] * SRC2[255:240) >>14) +1
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t s
PMULHRSW: __m64 _mm_mulhrs_pi16 (__m64 a, __m64 b)
(V)PMULHRSW: __m128i _mm_mulhrs_epi16 (__m128i a, __m128i b)
VPMULHRSW: __m256i _mm256_mulhrs_epi16 (__m256i a, __m256i b)
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PMULHUWMultiply Packed Unsigned Integers and Store High Result
INSTRUCTION SET REFERENCE, N-Z
4-168 Vol. 2B
P MU LH U WMu lt ip ly P a cke d U n sig n e d I n t e g e rs a n d S t o re H ig h R e su lt
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a SIMD unsigned multiply of the packed unsigned word integers in the destination operand (first operand)
and the source operand (second operand), and stores the high 16 bits of each 32-bit intermediate results in the
destination operand. (Figure 4-8 shows this operation when using 64-bit operands.)
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
Legacy SSE version: The source operand can be an MMX technology register or a 64-bit memory location. The
destination operand is an MMX technology register.
128-bit Legacy SSE version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the corresponding YMM destina-
tion register remain unchanged.
VEX.128 encoded version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the destination YMM register are
zeroed. VEX.L must be 0, otherwise the instruction will #UD.
VEX.256 encoded version: The second source operand can be an YMM register or a 256-bit memory location. The
first source and destination operands are YMM registers.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F E4 /r
1
PMULHUW mm1, mm2/m64
RM V/V SSE Multiply the packed unsigned word integers in
mm1 register and mm2/m64, and store the
high 16 bits of the results in mm1.
66 0F E4 /r
PMULHUW xmm1, xmm2/m128
RM V/V SSE2 Multiply the packed unsigned word integers in
xmm1 and xmm2/m128, and store the high
16 bits of the results in xmm1.
VEX.NDS.128.66.0F.WIG E4 /r
VPMULHUW xmm1, xmm2, xmm3/m128
RVM V/V AVX Multiply the packed unsigned word integers in
xmm2 and xmm3/m128, and store the high
16 bits of the results in xmm1.
VEX.NDS.256.66.0F.WIG E4 /r
VPMULHUW ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Multiply the packed unsigned word integers in
ymm2 and ymm3/m256, and store the high
16 bits of the results in ymm1.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
PMULHUWMultiply Packed Unsigned Integers and Store High Result
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-169
O p e ra t io n
P MU LH U W (w it h 6 4 -b it o p e ra n d s)
TEMP0[31:0] DEST[15:0] SRC[15:0]; (* Unsigned multiplication *)
TEMP1[31:0] DEST[31:16] SRC[31:16];
TEMP2[31:0] DEST[47:32] SRC[47:32];
TEMP3[31:0] DEST[63:48] SRC[63:48];
DEST[15:0] TEMP0[31:16];
DEST[31:16] TEMP1[31:16];
DEST[47:32] TEMP2[31:16];
DEST[63:48] TEMP3[31:16];
P MU LH U W (w it h 1 2 8 -b it o p e ra n d s)
TEMP0[31:0] DEST[15:0] SRC[15:0]; (* Unsigned multiplication *)
TEMP1[31:0] DEST[31:16] SRC[31:16];
TEMP2[31:0] DEST[47:32] SRC[47:32];
TEMP3[31:0] DEST[63:48] SRC[63:48];
TEMP4[31:0] DEST[79:64] SRC[79:64];
TEMP5[31:0] DEST[95:80] SRC[95:80];
TEMP6[31:0] DEST[111:96] SRC[111:96];
TEMP7[31:0] DEST[127:112] SRC[127:112];
DEST[15:0] TEMP0[31:16];
DEST[31:16] TEMP1[31:16];
DEST[47:32] TEMP2[31:16];
DEST[63:48] TEMP3[31:16];
DEST[79:64] TEMP4[31:16];
DEST[95:80] TEMP5[31:16];
DEST[111:96] TEMP6[31:16];
DEST[127:112] TEMP7[31:16];
VP MU LH U W (VE X.1 2 8 e n co d e d v e rsio n )
TEMP0[31:0] SRC1[15:0] * SRC2[15:0]
TEMP1[31:0] SRC1[31:16] * SRC2[31:16]
TEMP2[31:0] SRC1[47:32] * SRC2[47:32]
TEMP3[31:0] SRC1[63:48] * SRC2[63:48]
TEMP4[31:0] SRC1[79:64] * SRC2[79:64]
TEMP5[31:0] SRC1[95:80] * SRC2[95:80]
TEMP6[31:0] SRC1[111:96] * SRC2[111:96]
TEMP7[31:0] SRC1[127:112] * SRC2[127:112]
DEST[15:0] TEMP0[31:16]
DEST[31:16] TEMP1[31:16]
DEST[47:32] TEMP2[31:16]
F ig u re 4 -8 . P MU LH U W a n d P MU LH W I n st ru ct io n O p e ra t io n U sin g 6 4 -b it O p e ra n d s
X3 X2 X1 X0
Z3 = X3 Y3 Z2 = X2 Y2 Z1 = X1 Y1 Z0 = X0 Y0
SRC
DEST
DEST
Y3 Y2 Y1 Y0
TEMP
Z3[31:16] Z2[31:16] Z1[31:16] Z0[31:16]
PMULHUWMultiply Packed Unsigned Integers and Store High Result
INSTRUCTION SET REFERENCE, N-Z
4-170 Vol. 2B
DEST[63:48] TEMP3[31:16]
DEST[79:64] TEMP4[31:16]
DEST[95:80] TEMP5[31:16]
DEST[111:96] TEMP6[31:16]
DEST[127:112] TEMP7[31:16]
DEST[VLMAX-1:128] 0
P MU LH U W (VE X.2 5 6 e n co d e d v e rsio n )
TEMP0[31:0] SRC1[15:0] * SRC2[15:0]
TEMP1[31:0] SRC1[31:16] * SRC2[31:16]
TEMP2[31:0] SRC1[47:32] * SRC2[47:32]
TEMP3[31:0] SRC1[63:48] * SRC2[63:48]
TEMP4[31:0] SRC1[79:64] * SRC2[79:64]
TEMP5[31:0] SRC1[95:80] * SRC2[95:80]
TEMP6[31:0] SRC1[111:96] * SRC2[111:96]
TEMP7[31:0] SRC1[127:112] * SRC2[127:112]
TEMP8[31:0] SRC1[143:128] * SRC2[143:128]
TEMP9[31:0] SRC1[159:144] * SRC2[159:144]
TEMP10[31:0] SRC1[175:160] * SRC2[175:160]
TEMP11[31:0] SRC1[191:176] * SRC2[191:176]
TEMP12[31:0] SRC1[207:192] * SRC2[207:192]
TEMP13[31:0] SRC1[223:208] * SRC2[223:208]
TEMP14[31:0] SRC1[239:224] * SRC2[239:224]
TEMP15[31:0] SRC1[255:240] * SRC2[255:240]
DEST[15:0] TEMP0[31:16]
DEST[31:16] TEMP1[31:16]
DEST[47:32] TEMP2[31:16]
DEST[63:48] TEMP3[31:16]
DEST[79:64] TEMP4[31:16]
DEST[95:80] TEMP5[31:16]
DEST[111:96] TEMP6[31:16]
DEST[127:112] TEMP7[31:16]
DEST[143:128] TEMP8[31:16]
DEST[159:144] TEMP9[31:16]
DEST[175:160] TEMP10[31:16]
DEST[191:176] TEMP11[31:16]
DEST[207:192] TEMP12[31:16]
DEST[223:208] TEMP13[31:16]
DEST[239:224] TEMP14[31:16]
DEST[255:240] TEMP15[31:16]
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
PMULHUW: __m64 _mm_mulhi_pu16(__m64 a, __m64 b)
(V)PMULHUW: __m128i _mm_mulhi_epu16 ( __m128i a, __m128i b)
VPMULHUW: __m256i _mm256_mulhi_epu16 ( __m256i a, __m256i b)
F la g s Af fe ct e d
None.
N u m e ric E x ce p t io n s
None.
PMULHUWMultiply Packed Unsigned Integers and Store High Result
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-171
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PMULHWMultiply Packed Signed Integers and Store High Result
INSTRUCTION SET REFERENCE, N-Z
4-172 Vol. 2B
P MU LH WMu lt ip ly P a cke d S ig n e d I n t e g e rs a n d S t o re H ig h R e su lt
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a SIMD signed multiply of the packed signed word integers in the destination operand (first operand) and
the source operand (second operand), and stores the high 16 bits of each intermediate 32-bit result in the destina-
tion operand. (Figure 4-8 shows this operation when using 64-bit operands.)
n 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
Legacy SSE version: The source operand can be an MMX technology register or a 64-bit memory location. The
destination operand is an MMX technology register.
128-bit Legacy SSE version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the corresponding YMM destina-
tion register remain unchanged.
VEX.128 encoded version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the destination YMM register are
zeroed. VEX.L must be 0, otherwise the instruction will #UD.
VEX.256 encoded version: The second source operand can be an YMM register or a 256-bit memory location. The
first source and destination operands are YMM registers.
O p e ra t io n
P MU LH W (w it h 6 4 -b it o p e ra n d s)
TEMP0[31:0] DEST[15:0] SRC[15:0]; (* Signed multiplication *)
TEMP1[31:0] DEST[31:16] SRC[31:16];
TEMP2[31:0] DEST[47:32] SRC[47:32];
TEMP3[31:0] DEST[63:48] SRC[63:48];
DEST[15:0] TEMP0[31:16];
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F E5 /r
1
PMULHW mm, mm/m64
RM V/V MMX Multiply the packed signed word integers in
mm1 register and mm2/m64, and store the
high 16 bits of the results in mm1.
66 0F E5 /r
PMULHW xmm1, xmm2/m128
RM V/V SSE2 Multiply the packed signed word integers in
xmm1 and xmm2/m128, and store the high
16 bits of the results in xmm1.
VEX.NDS.128.66.0F.WIG E5 /r
VPMULHW xmm1, xmm2, xmm3/m128
RVM V/V AVX Multiply the packed signed word integers in
xmm2 and xmm3/m128, and store the high
16 bits of the results in xmm1.
VEX.NDS.256.66.0F.WIG E5 /r
VPMULHW ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Multiply the packed signed word integers in
ymm2 and ymm3/m256, and store the high
16 bits of the results in ymm1.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
PMULHWMultiply Packed Signed Integers and Store High Result
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-173
DEST[31:16] TEMP1[31:16];
DEST[47:32] TEMP2[31:16];
DEST[63:48] TEMP3[31:16];
P MU LH W (w it h 1 2 8 -b it o p e ra n d s)
TEMP0[31:0] DEST[15:0] SRC[15:0]; (* Signed multiplication *)
TEMP1[31:0] DEST[31:16] SRC[31:16];
TEMP2[31:0] DEST[47:32] SRC[47:32];
TEMP3[31:0] DEST[63:48] SRC[63:48];
TEMP4[31:0] DEST[79:64] SRC[79:64];
TEMP5[31:0] DEST[95:80] SRC[95:80];
TEMP6[31:0] DEST[111:96] SRC[111:96];
TEMP7[31:0] DEST[127:112] SRC[127:112];
DEST[15:0] TEMP0[31:16];
DEST[31:16] TEMP1[31:16];
DEST[47:32] TEMP2[31:16];
DEST[63:48] TEMP3[31:16];
DEST[79:64] TEMP4[31:16];
DEST[95:80] TEMP5[31:16];
DEST[111:96] TEMP6[31:16];
DEST[127:112] TEMP7[31:16];
VP MU LH W (VE X.1 2 8 e n co d e d v e rsio n )
TEMP0[31:0] SRC1[15:0] * SRC2[15:0] (*Signed Multiplication*)
TEMP1[31:0] SRC1[31:16] * SRC2[31:16]
TEMP2[31:0] SRC1[47:32] * SRC2[47:32]
TEMP3[31:0] SRC1[63:48] * SRC2[63:48]
TEMP4[31:0] SRC1[79:64] * SRC2[79:64]
TEMP5[31:0] SRC1[95:80] * SRC2[95:80]
TEMP6[31:0] SRC1[111:96] * SRC2[111:96]
TEMP7[31:0] SRC1[127:112] * SRC2[127:112]
DEST[15:0] TEMP0[31:16]
DEST[31:16] TEMP1[31:16]
DEST[47:32] TEMP2[31:16]
DEST[63:48] TEMP3[31:16]
DEST[79:64] TEMP4[31:16]
DEST[95:80] TEMP5[31:16]
DEST[111:96] TEMP6[31:16]
DEST[127:112] TEMP7[31:16]
DEST[VLMAX-1:128] 0
P MU LH W (V E X.2 5 6 e n co d e d v e rsio n )
TEMP0[31:0] SRC1[15:0] * SRC2[15:0] (*Signed Multiplication*)
TEMP1[31:0] SRC1[31:16] * SRC2[31:16]
TEMP2[31:0] SRC1[47:32] * SRC2[47:32]
TEMP3[31:0] SRC1[63:48] * SRC2[63:48]
TEMP4[31:0] SRC1[79:64] * SRC2[79:64]
TEMP5[31:0] SRC1[95:80] * SRC2[95:80]
TEMP6[31:0] SRC1[111:96] * SRC2[111:96]
TEMP7[31:0] SRC1[127:112] * SRC2[127:112]
TEMP8[31:0] SRC1[143:128] * SRC2[143:128]
TEMP9[31:0] SRC1[159:144] * SRC2[159:144]
TEMP10[31:0] SRC1[175:160] * SRC2[175:160]
TEMP11[31:0] SRC1[191:176] * SRC2[191:176]
TEMP12[31:0] SRC1[207:192] * SRC2[207:192]
PMULHWMultiply Packed Signed Integers and Store High Result
INSTRUCTION SET REFERENCE, N-Z
4-174 Vol. 2B
TEMP13[31:0] SRC1[223:208] * SRC2[223:208]
TEMP14[31:0] SRC1[239:224] * SRC2[239:224]
TEMP15[31:0] SRC1[255:240] * SRC2[255:240]
DEST[15:0] TEMP0[31:16]
DEST[31:16] TEMP1[31:16]
DEST[47:32] TEMP2[31:16]
DEST[63:48] TEMP3[31:16]
DEST[79:64] TEMP4[31:16]
DEST[95:80] TEMP5[31:16]
DEST[111:96] TEMP6[31:16]
DEST[127:112] TEMP7[31:16]
DEST[143:128] TEMP8[31:16]
DEST[159:144] TEMP9[31:16]
DEST[175:160] TEMP10[31:16]
DEST[191:176] TEMP11[31:16]
DEST[207:192] TEMP12[31:16]
DEST[223:208] TEMP13[31:16]
DEST[239:224] TEMP14[31:16]
DEST[255:240] TEMP15[31:16]
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
PMULHW: __m64 _mm_mulhi_pi16 (__m64 m1, __m64 m2)
(V)PMULHW: __m128i _mm_mulhi_epi16 ( __m128i a, __m128i b)
VPMULHW: __m256i _mm256_mulhi_epi16 ( __m256i a, __m256i b)
F la g s Af fe ct e d
None.
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PMULLD Multiply Packed Signed Dword Integers and Store Low Result
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-175
P MU LLD Mu lt ip ly P a cke d S ig n e d D w o rd I n t e g e rs a n d S t o re Lo w R e su lt
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs four signed multiplications from four pairs of signed dword integers and stores the lower 32 bits of the
four 64-bit products in the destination operand (first operand). Each dword element in the destination operand is
multiplied with the corresponding dword element of the source operand (second operand) to obtain a 64-bit inter-
mediate product.
128-bit Legacy SSE version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the corresponding YMM destina-
tion register remain unchanged.
VEX.128 encoded version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the destination YMM register are
zeroed.
VEX.256 encoded version: The second source operand can be an YMM register or a 256-bit memory location. The
first source and destination operands are YMM registers.
Note: VEX.L must be 0, otherwise the instruction will #UD.
O p e ra t io n
Temp0[63:0] DEST[31:0] * SRC[31:0];
Temp1[63:0] DEST[63:32] * SRC[63:32];
Temp2[63:0] DEST[95:64] * SRC[95:64];
Temp3[63:0] DEST[127:96] * SRC[127:96];
DEST[31:0] Temp0[31:0];
DEST[63:32] Temp1[31:0];
DEST[95:64] Temp2[31:0];
DEST[127:96] Temp3[31:0];
VP MU LLD (V E X.1 2 8 e n co d e d v e rsio n )
Temp0[63:0] SRC1[31:0] * SRC2[31:0]
Temp1[63:0] SRC1[63:32] * SRC2[63:32]
Temp2[63:0] SRC1[95:64] * SRC2[95:64]
Temp3[63:0] SRC1[127:96] * SRC2[127:96]
DEST[31:0] Temp0[31:0]
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 38 40 /r
PMULLD xmm1, xmm2/m128
RM V/V SSE4_1 Multiply the packed dword signed integers in
xmm1 and xmm2/m128 and store the low 32
bits of each product in xmm1.
VEX.NDS.128.66.0F38.WIG 40 /r
VPMULLD xmm1, xmm2, xmm3/m128
RVM V/V AVX Multiply the packed dword signed integers in
xmm2 and xmm3/m128 and store the low 32
bits of each product in xmm1.
VEX.NDS.256.66.0F38.WIG 40 /r
VPMULLD ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Multiply the packed dword signed integers in
ymm2 and ymm3/m256 and store the low 32
bits of each product in ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m(r) NA
PMULLD Multiply Packed Signed Dword Integers and Store Low Result
INSTRUCTION SET REFERENCE, N-Z
4-176 Vol. 2B
DEST[63:32] Temp1[31:0]
DEST[95:64] Temp2[31:0]
DEST[127:96] Temp3[31:0]
DEST[VLMAX-1:128] 0
VP MU LLD (V E X.2 5 6 e n co d e d v e rsio n )
Temp0[63:0] SRC1[31:0] * SRC2[31:0]
Temp1[63:0] SRC1[63:32] * SRC2[63:32]
Temp2[63:0] SRC1[95:64] * SRC2[95:64]
Temp3[63:0] SRC1[127:96] * SRC2[127:96]
Temp4[63:0] SRC1[159:128] * SRC2[159:128]
Temp5[63:0] SRC1[191:160] * SRC2[191:160]
Temp6[63:0] SRC1[223:192] * SRC2[223:192]
Temp7[63:0] SRC1[255:224] * SRC2[255:224]
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
(V)PMULLUD: __m128i _mm_mullo_epi32(__m128i a, __m128i b);
VPMULLD: __m256i _mm256_mullo_epi32(__m256i a, __m256i b);
F la g s Af fe ct e d
None.
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PMULLWMultiply Packed Signed Integers and Store Low Result
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-177
P MU LLWMu lt ip ly P a cke d S ig n e d I n t e g e rs a n d S t o re Lo w R e su lt
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a SIMD signed multiply of the packed signed word integers in the destination operand (first operand) and
the source operand (second operand), and stores the low 16 bits of each intermediate 32-bit result in the destina-
tion operand. (Figure 4-8 shows this operation when using 64-bit operands.)
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
Legacy SSE version: The source operand can be an MMX technology register or a 64-bit memory location. The
destination operand is an MMX technology register.
128-bit Legacy SSE version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the corresponding YMM destina-
tion register remain unchanged.
VEX.128 encoded version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the destination YMM register are
zeroed. VEX.L must be 0, otherwise the instruction will #UD.
VEX.256 encoded version: The second source operand can be an YMM register or a 256-bit memory location. The
first source and destination operands are YMM registers.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F D5 /r
1
PMULLW mm, mm/m64
RM V/V MMX Multiply the packed signed word integers in
mm1 register and mm2/m64, and store the
low 16 bits of the results in mm1.
66 0F D5 /r
PMULLW xmm1, xmm2/m128
RM V/V SSE2 Multiply the packed signed word integers in
xmm1 and xmm2/m128, and store the low 16
bits of the results in xmm1.
VEX.NDS.128.66.0F.WIG D5 /r
VPMULLW xmm1, xmm2, xmm3/m128
RVM V/V AVX Multiply the packed dword signed integers in
xmm2 and xmm3/m128 and store the low 32
bits of each product in xmm1.
VEX.NDS.256.66.0F.WIG D5 /r
VPMULLW ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Multiply the packed signed word integers in
ymm2 and ymm3/m256, and store the low 16
bits of the results in ymm1.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
PMULLWMultiply Packed Signed Integers and Store Low Result
INSTRUCTION SET REFERENCE, N-Z
4-178 Vol. 2B
O p e ra t io n
P MU LLW (w it h 6 4 -b it o p e ra n d s)
TEMP0[31:0] DEST[15:0] SRC[15:0]; (* Signed multiplication *)
TEMP1[31:0] DEST[31:16] SRC[31:16];
TEMP2[31:0] DEST[47:32] SRC[47:32];
TEMP3[31:0] DEST[63:48] SRC[63:48];
DEST[15:0] TEMP0[15:0];
DEST[31:16] TEMP1[15:0];
DEST[47:32] TEMP2[15:0];
DEST[63:48] TEMP3[15:0];
P MU LLW (w it h 1 2 8 -b it o p e ra n d s)
TEMP0[31:0] DEST[15:0] SRC[15:0]; (* Signed multiplication *)
TEMP1[31:0] DEST[31:16] SRC[31:16];
TEMP2[31:0] DEST[47:32] SRC[47:32];
TEMP3[31:0] DEST[63:48] SRC[63:48];
TEMP4[31:0] DEST[79:64] SRC[79:64];
TEMP5[31:0] DEST[95:80] SRC[95:80];
TEMP6[31:0] DEST[111:96] SRC[111:96];
TEMP7[31:0] DEST[127:112] SRC[127:112];
DEST[15:0] TEMP0[15:0];
DEST[31:16] TEMP1[15:0];
DEST[47:32] TEMP2[15:0];
DEST[63:48] TEMP3[15:0];
DEST[79:64] TEMP4[15:0];
DEST[95:80] TEMP5[15:0];
DEST[111:96] TEMP6[15:0];
DEST[127:112] TEMP7[15:0];
VP MU LLW (V E X.1 2 8 e n co d e d v e rsio n )
Temp0[31:0] SRC1[15:0] * SRC2[15:0]
Temp1[31:0] SRC1[31:16] * SRC2[31:16]
Temp2[31:0] SRC1[47:32] * SRC2[47:32]
Temp3[31:0] SRC1[63:48] * SRC2[63:48]
Temp4[31:0] SRC1[79:64] * SRC2[79:64]
Temp5[31:0] SRC1[95:80] * SRC2[95:80]
Temp6[31:0] SRC1[111:96] * SRC2[111:96]
Temp7[31:0] SRC1[127:112] * SRC2[127:112]
DEST[15:0] Temp0[15:0]
DEST[31:16] Temp1[15:0]
DEST[47:32] Temp2[15:0]
F ig u re 4 -9 . P MU LLU I n st ru ct io n O p e ra t io n U sin g 6 4 -b it O p e ra n d s
X3 X2 X1 X0
Z3 = X3 Y3 Z2 = X2 Y2 Z1 = X1 Y1 Z0 = X0 Y0
SRC
DEST
DEST
Y3 Y2 Y1 Y0
TEMP
Z3[15:0] Z2[15:0] Z1[15:0] Z0[15:0]
PMULLWMultiply Packed Signed Integers and Store Low Result
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-179
DEST[63:48] Temp3[15:0]
DEST[79:64] Temp4[15:0]
DEST[95:80] Temp5[15:0]
DEST[111:96] Temp6[15:0]
DEST[127:112] Temp7[15:0]
DEST[VLMAX-1:128] 0
VP MU LLD (V E X.2 5 6 e n co d e d v e rsio n )
Temp0[63:0] SRC1[31:0] * SRC2[31:0]
Temp1[63:0] SRC1[63:32] * SRC2[63:32]
Temp2[63:0] SRC1[95:64] * SRC2[95:64]
Temp3[63:0] SRC1[127:96] * SRC2[127:96]
Temp4[63:0] SRC1[159:128] * SRC2[159:128]
Temp5[63:0] SRC1[191:160] * SRC2[191:160]
Temp6[63:0] SRC1[223:192] * SRC2[223:192]
Temp7[63:0] SRC1[255:224] * SRC2[255:224]
DEST[31:0] Temp0[31:0]
DEST[63:32] Temp1[31:0]
DEST[95:64] Temp2[31:0]
DEST[127:96] Temp3[31:0]
DEST[159:128] Temp4[31:0]
DEST[191:160] Temp5[31:0]
DEST[223:192] Temp6[31:0]
DEST[255:224] Temp7[31:0]
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
PMULLW: __m64 _mm_mullo_pi16(__m64 m1, __m64 m2)
(V)PMULLW: __m128i _mm_mullo_epi16 ( __m128i a, __m128i b)
VPMULLW: __m256i _mm256_mullo_epi16 ( __m256i a, __m256i b);
F la g s Af fe ct e d
None.
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PMULUDQMultiply Packed Unsigned Doubleword Integers
INSTRUCTION SET REFERENCE, N-Z
4-180 Vol. 2B
P MU LU D Q Mu lt ip ly P a cke d U n sig n e d D o u b le w o rd I n t e g e rs
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Multiplies the first operand (destination operand) by the second operand (source operand) and stores the result in
the destination operand.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
Legacy SSE version: The source operand can be an unsigned doubleword integer stored in the low doubleword of
an MMX technology register or a 64-bit memory location. The destination operand can be an unsigned doubleword
integer stored in the low doubleword an MMX technology register. The result is an unsigned quadword integer
stored in the destination an MMX technology register. When a quadword result is too large to be represented in 64
bits (overflow), the result is wrapped around and the low 64 bits are written to the destination element (that is, the
carry is ignored).
For 64-bit memory operands, 64 bits are fetched from memory, but only the low doubleword is used in the compu-
tation.
128-bit Legacy SSE version: The second source operand is two packed unsigned doubleword integers stored in the
first (low) and third doublewords of an XMM register or a 128-bit memory location. For 128-bit memory operands,
128 bits are fetched from memory, but only the first and third doublewords are used in the computation.The first
source operand is two packed unsigned doubleword integers stored in the first and third doublewords of an XMM
register. The destination contains two packed unsigned quadword integers stored in an XMM register. Bits (VLMAX-
1:128) of the corresponding YMM destination register remain unchanged.
VEX.128 encoded version: The second source operand is two packed unsigned doubleword integers stored in the
first (low) and third doublewords of an XMM register or a 128-bit memory location. For 128-bit memory operands,
128 bits are fetched from memory, but only the first and third doublewords are used in the computation.The first
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F F4 /r
1
PMULUDQ mm1, mm2/m64
RM V/V SSE2 Multiply unsigned doubleword integer in mm1
by unsigned doubleword integer in mm2/m64,
and store the quadword result in mm1.
66 0F F4 /r
PMULUDQ xmm1, xmm2/m128
RM V/V SSE2 Multiply packed unsigned doubleword integers
in xmm1 by packed unsigned doubleword
integers in xmm2/m128, and store the
quadword results in xmm1.
VEX.NDS.128.66.0F.WIG F4 /r
VPMULUDQ xmm1, xmm2, xmm3/m128
RVM V/V AVX Multiply packed unsigned doubleword integers
in xmm2 by packed unsigned doubleword
integers in xmm3/m128, and store the
quadword results in xmm1.
VEX.NDS.256.66.0F.WIG F4 /r
VPMULUDQ ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Multiply packed unsigned doubleword integers
in ymm2 by packed unsigned doubleword
integers in ymm3/m256, and store the
quadword results in ymm1.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
PMULUDQMultiply Packed Unsigned Doubleword Integers
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-181
source operand is two packed unsigned doubleword integers stored in the first and third doublewords of an XMM
register. The destination contains two packed unsigned quadword integers stored in an XMM register. Bits (VLMAX-
1:128) of the destination YMM register are zeroed.
VEX.256 encoded version: The second source operand is four packed unsigned doubleword integers stored in the
first (low), third, fifth and seventh doublewords of a YMM register or a 256-bit memory location. For 256-bit
memory operands, 256 bits are fetched from memory, but only the first, third, fifth and seventh doublewords are
used in the computation.The first source operand is four packed unsigned doubleword integers stored in the first,
third, fifth and seventh doublewords of an YMM register. The destination contains four packed unaligned quadword
integers stored in an YMM register.
Note: VEX.L must be 0, otherwise the instruction will #UD.
O p e ra t io n
P MU LU D Q (w it h 6 4 -B it o p e ra n d s)
DEST[63:0] DEST[31:0] SRC[31:0];
P MU LU D Q (w it h 1 2 8 -B it o p e ra n d s)
DEST[63:0] DEST[31:0] SRC[31:0];
DEST[127:64] DEST[95:64] SRC[95:64];
VP MU LU D Q (VE X.1 2 8 e n co d e d v e rsio n )
DEST[63:0] SRC1[31:0] * SRC2[31:0]
DEST[127:64] SRC1[95:64] * SRC2[95:64]
DEST[VLMAX-1:128] 0
VP MU LU D Q (VE X.2 5 6 e n co d e d v e rsio n )
DEST[63:0] SRC1[31:0] * SRC2[31:0]
DEST[127:64] SRC1[95:64] * SRC2[95:64
DEST[191:128] SRC1[159:128] * SRC2[159:128]
DEST[255:192] SRC1[223:192] * SRC2[223:192]
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
PMULUDQ: __m64 _mm_mul_su32 (__m64 a, __m64 b)
(V)PMULUDQ: __m128i _mm_mul_epu32 ( __m128i a, __m128i b)
VPMULUDQ: __m256i _mm256_mul_epu32( __m256i a, __m256i b);
F la g s Af fe ct e d
None.
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
POPPop a Value fromthe Stack
INSTRUCTION SET REFERENCE, N-Z
4-182 Vol. 2B
P O P P o p a Va lu e fro m t h e S t a ck
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Loads the value from the top of the stack to the location specified with the destination operand (or explicit opcode)
and then increments the stack pointer. The destination operand can be a general-purpose register, memory loca-
tion, or segment register.
Address and operand sizes are determined and used as follows:
Address size. The D flag in the current code-segment descriptor determines the default address size; it may be
overridden by an instruction prefix (67H).
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
8F /0 POP r/m16 M Valid Valid Pop top of stack into m16; increment stack
pointer.
8F /0 POP r/m32 M N.E. Valid Pop top of stack into m32; increment stack
pointer.
8F /0 POP r/m64 M Valid N.E. Pop top of stack into m64; increment stack
pointer. Cannot encode 32-bit operand size.
58+rw POP r16 O Valid Valid Pop top of stack into r16; increment stack
pointer.
58+rd POP r32 O N.E. Valid Pop top of stack into r32; increment stack
pointer.
58+rd POP r64 O Valid N.E. Pop top of stack into r64; increment stack
pointer. Cannot encode 32-bit operand size.
1F POP DS NP Invalid Valid Pop top of stack into DS; increment stack
pointer.
07 POP ES NP Invalid Valid Pop top of stack into ES; increment stack
pointer.
17 POP SS NP Invalid Valid Pop top of stack into SS; increment stack
pointer.
0F A1 POP FS NP Valid Valid Pop top of stack into FS; increment stack
pointer by 16 bits.
0F A1 POP FS NP N.E. Valid Pop top of stack into FS; increment stack
pointer by 32 bits.
0F A1 POP FS NP Valid N.E. Pop top of stack into FS; increment stack
pointer by 64 bits.
0F A9 POP GS NP Valid Valid Pop top of stack into GS; increment stack
pointer by 16 bits.
0F A9 POP GS NP N.E. Valid Pop top of stack into GS; increment stack
pointer by 32 bits.
0F A9 POP GS NP Valid N.E. Pop top of stack into GS; increment stack
pointer by 64 bits.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
M ModRM:r/m (w) NA NA NA
O opcode +rd (w) NA NA NA
NP NA NA NA NA
POPPop a Value fromthe Stack
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-183
The address size is used only when writing to a destination operand in memory.
Operand size. The D flag in the current code-segment descriptor determines the default operand size; it may
be overridden by instruction prefixes (66H or REX.W).
The operand size (16, 32, or 64 bits) determines the amount by which the stack pointer is incremented (2, 4
or 8).
Stack-address size. Outside of 64-bit mode, the B flag in the current stack-segment descriptor determines the
size of the stack pointer (16 or 32 bits); in 64-bit mode, the size of the stack pointer is always 64 bits.
The stack-address size determines the width of the stack pointer when reading from the stack in memory and
when incrementing the stack pointer. (As stated above, the amount by which the stack pointer is incremented
is determined by the operand size.)
If the destination operand is one of the segment registers DS, ES, FS, GS, or SS, the value loaded into the register
must be a valid segment selector. In protected mode, popping a segment selector into a segment register automat-
ically causes the descriptor information associated with that segment selector to be loaded into the hidden
(shadow) part of the segment register and causes the selector and the descriptor information to be validated (see
the Operation section below).
A NULL value (0000-0003) may be popped into the DS, ES, FS, or GS register without causing a general protection
fault. However, any subsequent attempt to reference a segment whose corresponding segment register is loaded
with a NULL value causes a general protection exception (#GP). In this situation, no memory reference occurs and
the saved value of the segment register is NULL.
The POP instruction cannot pop a value into the CS register. To load the CS register from the stack, use the RET
instruction.
If the ESP register is used as a base register for addressing a destination operand in memory, the POP instruction
computes the effective address of the operand after it increments the ESP register. For the case of a 16-bit stack
where ESP wraps to 0H as a result of the POP instruction, the resulting location of the memory write is processor-
family-specific.
The POP ESP instruction increments the stack pointer (ESP) before data at the old top of stack is written into the
destination.
A POP SS instruction inhibits all interrupts, including the NMI interrupt, until after execution of the next instruction.
This action allows sequential execution of POP SS and MOV ESP, EBP instructions without the danger of having an
invalid stack during an interrupt
1
. However, use of the LSS instruction is the preferred method of loading the SS
and ESP registers.
In 64-bit mode, using a REX prefix in the form of REX.R permits access to additional registers (R8-R15). When in
64-bit mode, POPs using 32-bit operands are not encodable and POPs to DS, ES, SS are not valid. See the
summary chart at the beginning of this section for encoding data and limits.
O p e ra t io n
IF StackAddrSize = 32
THEN
IF OperandSize = 32
THEN
DEST SS:ESP; (* Copy a doubleword *)
ESP ESP + 4;
ELSE (* OperandSize = 16*)
DEST SS:ESP; (* Copy a word *)
1. If a code instruction breakpoint (for debug) is placed on an instruction located immediately after a POP SS instruction, the breakpoint
may not be triggered. However, in a sequence of instructions that POP the SS register, only the first instruction in the sequence is
guaranteed to delay an interrupt.
In the following sequence, interrupts may be recognized before POP ESP executes:
POP SS
POP SS
POP ESP
POPPop a Value fromthe Stack
INSTRUCTION SET REFERENCE, N-Z
4-184 Vol. 2B
ESP ESP + 2;
FI;
ELSE IF StackAddrSize = 64
THEN
IF OperandSize = 64
THEN
DEST SS:RSP; (* Copy quadword *)
RSP RSP + 8;
ELSE (* OperandSize = 16*)
DEST SS:RSP; (* Copy a word *)
RSP RSP + 2;
FI;
FI;
ELSE StackAddrSize = 16
THEN
IF OperandSize = 16
THEN
DEST SS:SP; (* Copy a word *)
SP SP + 2;
ELSE (* OperandSize = 32 *)
DEST SS:SP; (* Copy a doubleword *)
SP SP + 4;
FI;
FI;
Loading a segment register while in protected mode results in special actions, as described in the following listing.
These checks are performed on the segment selector and the segment descriptor it points to.
64-BIT_MODE
IF FS, or GS is loaded with non-NULL selector;
THEN
IF segment selector index is outside descriptor table limits
OR segment is not a data or readable code segment
OR ((segment is a data or nonconforming code segment)
AND (both RPL and CPL > DPL))
THEN #GP(selector);
IF segment not marked present
THEN #NP(selector);
ELSE
SegmentRegister segment selector;
SegmentRegister segment descriptor;
FI;
FI;
IF FS, or GS is loaded with a NULL selector;
THEN
SegmentRegister segment selector;
SegmentRegister segment descriptor;
FI;
PREOTECTED MODE OR COMPATIBILITY MODE;
IF SS is loaded;
POPPop a Value fromthe Stack
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-185
THEN
IF segment selector is NULL
THEN #GP(0);
FI;
IF segment selector index is outside descriptor table limits
or segment selector's RPL CPL
or segment is not a writable data segment
or DPL CPL
THEN #GP(selector);
FI;
IF segment not marked present
THEN #SS(selector);
ELSE
SS segment selector;
SS segment descriptor;
FI;
FI;
IF DS, ES, FS, or GS is loaded with non-NULL selector;
THEN
IF segment selector index is outside descriptor table limits
or segment is not a data or readable code segment
or ((segment is a data or nonconforming code segment)
and (both RPL and CPL > DPL))
THEN #GP(selector);
FI;
IF segment not marked present
THEN #NP(selector);
ELSE
SegmentRegister segment selector;
SegmentRegister segment descriptor;
FI;
FI;
IF DS, ES, FS, or GS is loaded with a NULL selector
THEN
SegmentRegister segment selector;
SegmentRegister segment descriptor;
FI;
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If attempt is made to load SS register with NULL segment selector.
If the destination operand is in a non-writable segment.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register is used to access memory and it contains a NULL segment
selector.
#GP(selector) If segment selector index is outside descriptor table limits.
If the SS register is being loaded and the segment selector's RPL and the segment descriptors
DPL are not equal to the CPL.
POPPop a Value fromthe Stack
INSTRUCTION SET REFERENCE, N-Z
4-186 Vol. 2B
If the SS register is being loaded and the segment pointed to is a
non-writable data segment.
If the DS, ES, FS, or GS register is being loaded and the segment pointed to is not a data or
readable code segment.
If the DS, ES, FS, or GS register is being loaded and the segment pointed to is a data or
nonconforming code segment, but both the RPL and the CPL are greater than the DPL.
#SS(0) If the current top of stack is not within the stack segment.
If a memory operand effective address is outside the SS segment limit.
#SS(selector) If the SS register is being loaded and the segment pointed to is marked not present.
#NP If the DS, ES, FS, or GS register is being loaded and the segment pointed to is marked not
present.
#PF(fault-code) If a page fault occurs.
#AC(0) If an unaligned memory reference is made while the current privilege level is 3 and alignment
checking is enabled.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If an unaligned memory reference is made while alignment checking is enabled.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same as for protected mode exceptions.
6 4 -B it Mo d e E x ce p t io n s
#GP(0) If the memory address is in a non-canonical form.
#SS(U) If the stack address is in a non-canonical form.
#GP(selector) If the descriptor is outside the descriptor table limit.
If the FS or GS register is being loaded and the segment pointed to is not a data or readable
code segment.
If the FS or GS register is being loaded and the segment pointed to is a data or nonconforming
code segment, but both the RPL and the CPL are greater than the DPL.
#AC(0) If an unaligned memory reference is made while alignment checking is enabled.
#PF(fault-code) If a page fault occurs.
#NP If the FS or GS register is being loaded and the segment pointed to is marked not present.
#UD If the LOCK prefix is used.
POPA/POPADPop All General-Purpose Registers
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-187
P O P A/P O P AD P o p All G e n e ra l-P u rp o se R e g ist e rs
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Pops doublewords (POPAD) or words (POPA) from the stack into the general-purpose registers. The registers are
loaded in the following order: EDI, ESI, EBP, EBX, EDX, ECX, and EAX (if the operand-size attribute is 32) and DI,
SI, BP, BX, DX, CX, and AX (if the operand-size attribute is 16). (These instructions reverse the operation of the
PUSHA/PUSHAD instructions.) The value on the stack for the ESP or SP register is ignored. Instead, the ESP or SP
register is incremented after each register is loaded.
The POPA (pop all) and POPAD (pop all double) mnemonics reference the same opcode. The POPA instruction is
intended for use when the operand-size attribute is 16 and the POPAD instruction for when the operand-size
attribute is 32. Some assemblers may force the operand size to 16 when POPA is used and to 32 when POPAD is
used (using the operand-size override prefix [66H] if necessary). Others may treat these mnemonics as synonyms
(POPA/POPAD) and use the current setting of the operand-size attribute to determine the size of values to be
popped from the stack, regardless of the mnemonic used. (The D flag in the current code segments segment
descriptor determines the operand-size attribute.)
This instruction executes as described in non-64-bit modes. It is not valid in 64-bit mode.
O p e ra t io n
IF 64-Bit Mode
THEN
#UD;
ELSE
IF OperandSize = 32 (* Instruction = POPAD *)
THEN
EDI Pop();
ESI Pop();
EBP Pop();
Increment ESP by 4; (* Skip next 4 bytes of stack *)
EBX Pop();
EDX Pop();
ECX Pop();
EAX Pop();
ELSE (* OperandSize = 16, instruction = POPA *)
DI Pop();
SI Pop();
BP Pop();
Increment ESP by 2; (* Skip next 2 bytes of stack *)
BX Pop();
DX Pop();
CX Pop();
AX Pop();
FI;
FI;
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
61 POPA NP Invalid Valid Pop DI, SI, BP, BX, DX, CX, and AX.
61 POPAD NP Invalid Valid Pop EDI, ESI, EBP, EBX, EDX, ECX, and EAX.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
POPA/POPADPop All General-Purpose Registers
INSTRUCTION SET REFERENCE, N-Z
4-188 Vol. 2B
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#SS(0) If the starting or ending stack address is not within the stack segment.
#PF(fault-code) If a page fault occurs.
#AC(0) If an unaligned memory reference is made while the current privilege level is 3 and alignment
checking is enabled.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#SS If the starting or ending stack address is not within the stack segment.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#SS(0) If the starting or ending stack address is not within the stack segment.
#PF(fault-code) If a page fault occurs.
#AC(0) If an unaligned memory reference is made while alignment checking is enabled.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same as for protected mode exceptions.
6 4 -B it Mo d e E x ce p t io n s
#UD If in 64-bit mode.
POPCNT Return the Count of Number of Bits Set to 1
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-189
P O P C N T R e t u rn t h e C o u n t o f N u m b e r o f B it s S e t t o 1
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
This instruction calculates of number of bits set to 1 in the second operand (source) and returns the count in the
first operand (a destination register).
O p e ra t io n
Count =0;
For (i=0; i <OperandSize; i++)
{ IF (SRC[ i] =1) // ith bit
THEN Count++; FI;
}
DEST Count;
F la g s Af fe ct e d
OF, SF, ZF, AF, CF, PF are all cleared. ZF is set if SRC = 0, otherwise ZF is cleared
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
POPCNT: int _mm_popcnt_u32(unsigned int a);
POPCNT: int64_t _mm_popcnt_u64(unsigned __int64 a);
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS or GS segments.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF (fault-code) For a page fault.
#AC(0) If an unaligned memory reference is made while the current privilege level is 3 and alignment
checking is enabled.
#UD If CPUID.01H:ECX.POPCNT [Bit 23] = 0.
If LOCK prefix is used.
Either the prefix REP (F3h) or REPN (F2H) is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP(0) If any part of the operand lies outside of the effective address space from 0 to 0FFFFH.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#UD If CPUID.01H:ECX.POPCNT [Bit 23] = 0.
If LOCK prefix is used.
Either the prefix REP (F3h) or REPN (F2H) is used.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
F3 0F B8 /r POPCNT r16, r/m16 RM Valid Valid POPCNT on r/m16
F3 0F B8 /r POPCNT r32, r/m32 RM Valid Valid POPCNT on r/m32
F3 REX.W 0F B8 /r POPCNT r64, r/m64 RM Valid N.E. POPCNT on r/m64
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
POPCNT Return the Count of Number of Bits Set to 1
INSTRUCTION SET REFERENCE, N-Z
4-190 Vol. 2B
V irt u a l 8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If any part of the operand lies outside of the effective address space from 0 to 0FFFFH.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF (fault-code) For a page fault.
#AC(0) If an unaligned memory reference is made while alignment checking is enabled.
#UD If CPUID.01H:ECX.POPCNT [Bit 23] = 0.
If LOCK prefix is used.
Either the prefix REP (F3h) or REPN (F2H) is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in Protected Mode.
6 4 -B it Mo d e E x ce p t io n s
#GP(0) If the memory address is in a non-canonical form.
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#PF (fault-code) For a page fault.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If CPUID.01H:ECX.POPCNT [Bit 23] = 0.
If LOCK prefix is used.
Either the prefix REP (F3h) or REPN (F2H) is used.
POPF/POPFD/POPFQPop Stack into EFLAGS Register
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-191
P O P F /P O P F D /P O P F Q P o p S t a ck in t o E F LAG S R e g ist e r
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Pops a doubleword (POPFD) from the top of the stack (if the current operand-size attribute is 32) and stores the
value in the EFLAGS register, or pops a word from the top of the stack (if the operand-size attribute is 16) and
stores it in the lower 16 bits of the EFLAGS register (that is, the FLAGS register). These instructions reverse the
operation of the PUSHF/PUSHFD instructions.
The POPF (pop flags) and POPFD (pop flags double) mnemonics reference the same opcode. The POPF instruction
is intended for use when the operand-size attribute is 16; the POPFD instruction is intended for use when the
operand-size attribute is 32. Some assemblers may force the operand size to 16 for POPF and to 32 for POPFD.
Others may treat the mnemonics as synonyms (POPF/POPFD) and use the setting of the operand-size attribute to
determine the size of values to pop from the stack.
The effect of POPF/POPFD on the EFLAGS register changes, depending on the mode of operation. When the
processor is operating in protected mode at privilege level 0 (or in real-address mode, the equivalent to privilege
level 0), all non-reserved flags in the EFLAGS register except RF
1
, VIP, VIF, and VM may be modified. VIP, VIF and
VM remain unaffected.
When operating in protected mode with a privilege level greater than 0, but less than or equal to IOPL, all flags can
be modified except the IOPL field and VIP, VIF, and VM. Here, the IOPL flags are unaffected, the VIP and VIF flags
are cleared, and the VM flag is unaffected. The interrupt flag (IF) is altered only when executing at a level at least
as privileged as the IOPL. If a POPF/POPFD instruction is executed with insufficient privilege, an exception does not
occur but privileged bits do not change.
When operating in virtual-8086 mode, the IOPL must be equal to 3 to use POPF/POPFD instructions; VM, RF, IOPL,
VIP, and VIF are unaffected. If the IOPL is less than 3, POPF/POPFD causes a general-protection exception (#GP).
In 64-bit mode, use REX.W to pop the top of stack to RFLAGS. The mnemonic assigned is POPFQ (note that the 32-
bit operand is not encodable). POPFQ pops 64 bits from the stack, loads the lower 32 bits into RFLAGS, and zero
extends the upper bits of RFLAGS.
See Chapter 3 of the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1, for more informa-
tion about the EFLAGS registers.
O p e ra t io n
IF VM = 0 (* Not in Virtual-8086 Mode *)
THEN IF CPL = 0
THEN
IF OperandSize = 32;
THEN
EFLAGS Pop(); (* 32-bit pop *)
(* All non-reserved flags except RF, VIP, VIF, and VM can be modified;
VIP and VIF are cleared; RF, VM, and all reserved bits are unaffected. *)
ELSE IF (Operandsize =64)
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
9D POPF NP Valid Valid Pop top of stack into lower 16 bits of EFLAGS.
9D POPFD NP N.E. Valid Pop top of stack into EFLAGS.
REX.W +9D POPFQ NP Valid N.E. Pop top of stack and zero-extend into RFLAGS.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
1. RF is always zero after the execution of POPF. This is because POPF, like all instructions, clears RF as it begins to execute.
POPF/POPFD/POPFQPop Stack into EFLAGS Register
INSTRUCTION SET REFERENCE, N-Z
4-192 Vol. 2B
RFLAGS =Pop(); (* 64-bit pop *)
(* All non-reserved flags except RF, VIP, VIF, and VM can be modified; VIP
and VIF are cleared; RF, VM, and all reserved bits are unaffected.*)
ELSE (* OperandSize = 16 *)
EFLAGS[15:0] Pop(); (* 16-bit pop *)
(* All non-reserved flags can be modified. *)
FI;
ELSE (* CPL > 0 *)
IF OperandSize = 32
THEN
IF CPL >IOPL
THEN
EFLAGS Pop(); (* 32-bit pop *)
(* All non-reserved bits except IF, IOPL, RF, VIP, and
VIF can be modified; IF, IOPL, RF, VM, and all reserved
bits are unaffected; VIP and VIF are cleared. *)
ELSE
EFLAGS Pop(); (* 32-bit pop *)
(* All non-reserved bits except IOPL, RF, VIP, and VIF can be
modified; IOPL, RF, VM, and all reserved bits are
unaffected; VIP and VIF are cleared. *)
FI;
ELSE IF (Operandsize =64)
IF CPL >IOPL
THEN
RFLAGS Pop(); (* 64-bit pop *)
(* All non-reserved bits except IF, IOPL, RF, VIP, and
VIF can be modified; IF, IOPL, RF, VM, and all reserved
bits are unaffected; VIP and VIF are cleared. *)
ELSE
RFLAGS Pop(); (* 64-bit pop *)
(* All non-reserved bits except IOPL, RF, VIP, and VIF can be
modified; IOPL, RF, VM, and all reserved bits are
unaffected; VIP and VIF are cleared. *)
FI;
ELSE (* OperandSize = 16 *)
EFLAGS[15:0] Pop(); (* 16-bit pop *)
(* All non-reserved bits except IOPL can be modified; IOPL and all
reserved bits are unaffected. *)
FI;
FI;
ELSE (* In Virtual-8086 Mode *)
IF IOPL = 3
THEN IF OperandSize = 32
THEN
EFLAGS Pop();
(* All non-reserved bits except VM, RF, IOPL, VIP, and VIF can be
modified; VM, RF, IOPL, VIP, VIF, and all reserved bits are unaffected. *)
ELSE
EFLAGS[15:0] Pop(); FI;
(* All non-reserved bits except IOPL can be modified;
IOPL and all reserved bits are unaffected. *)
ELSE (* IOPL < 3 *)
#GP(0); (* Trap to virtual-8086 monitor. *)
POPF/POPFD/POPFQPop Stack into EFLAGS Register
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-193
FI;
FI;
FI;
F la g s Af fe ct e d
All flags may be affected; see the Operation section for details.
P ro t e ct e d Mo d e E x ce p t io n s
#SS(0) If the top of stack is not within the stack segment.
#PF(fault-code) If a page fault occurs.
#AC(0) If an unaligned memory reference is made while the current privilege level is 3 and alignment
checking is enabled.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#SS If the top of stack is not within the stack segment.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If the I/O privilege level is less than 3.
If an attempt is made to execute the POPF/POPFD instruction with an operand-size override
prefix.
#SS(0) If the top of stack is not within the stack segment.
#PF(fault-code) If a page fault occurs.
#AC(0) If an unaligned memory reference is made while alignment checking is enabled.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same as for protected mode exceptions.
6 4 -B it Mo d e E x ce p t io n s
#GP(0) If the memory address is in a non-canonical form.
#SS(0) If the stack address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
PORBitwise Logical OR
INSTRUCTION SET REFERENCE, N-Z
4-194 Vol. 2B
P O R B it w ise Lo g ica l O R
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a bitwise logical OR operation on the source operand (second operand) and the destination operand (first
operand) and stores the result in the destination operand. Each bit of the result is set to 1 if either or both of the
corresponding bits of the first and second operands are 1; otherwise, it is set to 0.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
Legacy SSE version: The source operand can be an MMX technology register or a 64-bit memory location. The
destination operand is an MMX technology register.
128-bit Legacy SSE version: The second source operand is an XMM register or a 128-bit memory location. The first
source and destination operands can be XMM registers. Bits (VLMAX-1:128) of the corresponding YMM destination
register remain unchanged.
VEX.128 encoded version: The second source operand is an XMM register or a 128-bit memory location. The first
source and destination operands can be XMM registers. Bits (VLMAX-1:128) of the destination YMM register are
zeroed.
VEX.256 encoded version: The second source operand is an YMM register or a 256-bit memory location. The first
source and destination operands can be YMM registers.
Note: VEX.L must be 0, otherwise the instruction will #UD.
O p e ra t io n
P O R (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST DEST OR SRC
DEST[VLMAX-1:128] (Unmodified)
VP O R (VE X.1 2 8 e n co d e d v e rsio n )
DEST SRC1 OR SRC2
DEST[VLMAX-1:128] 0
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F EB /r
1
POR mm, mm/m64
RM V/V MMX Bitwise OR of mm/m64 and mm.
66 0F EB /r
POR xmm1, xmm2/m128
RM V/V SSE2 Bitwise OR of xmm2/m128 and xmm1.
VEX.NDS.128.66.0F.WIG EB /r
VPOR xmm1, xmm2, xmm3/m128
RVM V/V AVX Bitwise OR of xmm2/m128 and xmm3.
VEX.NDS.256.66.0F.WIG EB /r
VPOR ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Bitwise OR of ymm2/m256 and ymm3.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m(r) NA
PORBitwise Logical OR
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-195
VP O R (VE X.2 5 6 e n co d e d v e rsio n )
DEST SRC1 OR SRC2
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
POR: __m64 _mm_or_si64(__m64 m1, __m64 m2)
(V)POR: __m128i _mm_or_si128(__m128i m1, __m128i m2)
VPOR: __m256i _mm256_or_si256 ( __m256i a, __m256i b)
F la g s Af fe ct e d
None.
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PREFETCHhPrefetch Data Into Caches
INSTRUCTION SET REFERENCE, N-Z
4-196 Vol. 2B
P R E F E T C H hP re fe t ch D a t a I n t o C a ch e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Fetches the line of data from memory that contains the byte specified with the source operand to a location in the
cache hierarchy specified by a locality hint:
T0 (temporal data)prefetch data into all levels of the cache hierarchy.
Pentium III processor1st- or 2nd-level cache.
Pentium 4 and Intel Xeon processors2nd-level cache.
T1 (temporal data with respect to first level cache)prefetch data into level 2 cache and higher.
Pentium III processor2nd-level cache.
Pentium 4 and Intel Xeon processors2nd-level cache.
T2 (temporal data with respect to second level cache)prefetch data into level 2 cache and higher.
Pentium III processor2nd-level cache.
Pentium 4 and Intel Xeon processors2nd-level cache.
NTA (non-temporal data with respect to all cache levels)prefetch data into non-temporal cache structure and
into a location close to the processor, minimizing cache pollution.
Pentium III processor1st-level cache
Pentium 4 and Intel Xeon processors2nd-level cache
The source operand is a byte memory location. (The locality hints are encoded into the machine level instruction
using bits 3 through 5 of the ModR/M byte. Use of any ModR/M value other than the specified ones will lead to
unpredictable behavior.)
If the line selected is already present in the cache hierarchy at a level closer to the processor, no data movement
occurs. Prefetches from uncacheable or WC memory are ignored.
The PREFETCHh instruction is merely a hint and does not affect program behavior. If executed, this instruction
moves data closer to the processor in anticipation of future use.
The implementation of prefetch locality hints is implementation-dependent, and can be overloaded or ignored by a
processor implementation. The amount of data prefetched is also processor implementation-dependent. It will,
however, be a minimum of 32 bytes.
It should be noted that processors are free to speculatively fetch and cache data from system memory regions that
are assigned a memory-type that permits speculative reads (that is, the WB, WC, and WT memory types). A
PREFETCHh instruction is considered a hint to this speculative behavior. Because this speculative fetching can occur
at any time and is not tied to instruction execution, a PREFETCHh instruction is not ordered with respect to the
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 18 /1 PREFETCHT0 m8 M Valid Valid Move data from m8 closer to the processor
using T0 hint.
0F 18 /2 PREFETCHT1 m8 M Valid Valid Move data from m8 closer to the processor
using T1 hint.
0F 18 /3 PREFETCHT2 m8 M Valid Valid Move data from m8 closer to the processor
using T2 hint.
0F 18 /0 PREFETCHNTA m8 M Valid Valid Move data from m8 closer to the processor
using NTA hint.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
M ModRM:r/m (r) NA NA NA
PREFETCHhPrefetch Data Into Caches
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-197
fence instructions (MFENCE, SFENCE, and LFENCE) or locked memory references. A PREFETCHh instruction is also
unordered with respect to CLFLUSH instructions, other PREFETCHh instructions, or any other general instruction.
It is ordered with respect to serializing instructions such as CPUID, WRMSR, OUT, and MOV CR.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
FETCH (m8);
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
void _mm_prefetch(char *p, int i)
The argument *p gives the address of the byte (and corresponding cache line) to be prefetched. The value i
gives a constant (_MM_HINT_T0, _MM_HINT_T1, _MM_HINT_T2, or _MM_HINT_NTA) that specifies the type of
prefetch operation to be performed.
N u m e ric E x ce p t io n s
None.
E x ce p t io n s (All O p e ra t in g Mo d e s)
#UD If the LOCK prefix is used.
PSADBWCompute Sumof Absolute Differences
INSTRUCTION SET REFERENCE, N-Z
4-198 Vol. 2B
P S AD B WC o m p u t e S u m o f Ab so lu t e D iffe re n ce s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Computes the absolute value of the difference of 8 unsigned byte integers from the source operand (second
operand) and from the destination operand (first operand). These 8 differences are then summed to produce an
unsigned word integer result that is stored in the destination operand. Figure 4-10 shows the operation of the
PSADBW instruction when using 64-bit operands.
When operating on 64-bit operands, the word integer result is stored in the low word of the destination operand,
and the remaining bytes in the destination operand are cleared to all 0s.
When operating on 128-bit operands, two packed results are computed. Here, the 8 low-order bytes of the source
and destination operands are operated on to produce a word result that is stored in the low word of the destination
operand, and the 8 high-order bytes are operated on to produce a word result that is stored in bits 64 through 79
of the destination operand. The remaining bytes of the destination operand are cleared.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
Legacy SSE version: The source operand can be an MMX technology register or a 64-bit memory location. The
destination operand is an MMX technology register.
128-bit Legacy SSE version: The first source operand and destination register are XMM registers. The second
source operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the corresponding YMM
destination register remain unchanged.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F F6 /r
1
PSADBW mm1, mm2/m64
RM V/V SSE Computes the absolute differences of the
packed unsigned byte integers frommm2
/m64 and mm1; differences are then summed
to produce an unsigned word integer result.
66 0F F6 /r
PSADBW xmm1, xmm2/m128
RM V/V SSE2 Computes the absolute differences of the
packed unsigned byte integers fromxmm2
/m128 and xmm1; the 8 low differences and 8
high differences are then summed separately
to produce two unsigned word integer results.
VEX.NDS.128.66.0F.WIG F6 /r
VPSADBW xmm1, xmm2, xmm3/m128
RVM V/V AVX Computes the absolute differences of the
packed unsigned byte integers fromxmm3
/m128 and xmm2; the 8 low differences and 8
high differences are then summed separately
to produce two unsigned word integer results.
VEX.NDS.256.66.0F.WIG F6 /r
VPSADBW ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Computes the absolute differences of the
packed unsigned byte integers fromymm3
/m256 and ymm2; then each consecutive 8
differences are summed separately to produce
four unsigned word integer results.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m(r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
PSADBWCompute Sumof Absolute Differences
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-199
VEX.128 encoded version: The first source operand and destination register are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the destination YMM register are
zeroed.
VEX.256 encoded version: The first source operand and destination register are YMM registers. The second source
operand is an YMM register or a 256-bit memory location.
Note: VEX.L must be 0, otherwise the instruction will #UD.
O p e ra t io n
P S AD B W (w h e n u sin g 6 4 -b it o p e ra n d s)
TEMP0 ABS(DEST[7:0] SRC[7:0]);
(* Repeat operation for bytes 2 through 6 *)
TEMP7 ABS(DEST[63:56] SRC[63:56]);
DEST[15:0] SUM(TEMP0:TEMP7);
DEST[63:16] 000000000000H;
P S AD B W (w h e n u sin g 1 2 8 -b it o p e ra n d s)
TEMP0 ABS(DEST[7:0] SRC[7:0]);
(* Repeat operation for bytes 2 through 14 *)
TEMP15 ABS(DEST[127:120] SRC[127:120]);
DEST[15:0] SUM(TEMP0:TEMP7);
DEST[63:16] 000000000000H;
DEST[79:64] SUM(TEMP8:TEMP15);
DEST[127:80] 000000000000H;
DEST[VLMAX-1:128] (Unmodified)
VP S AD B W (VE X.1 2 8 e n co d e d v e rsio n )
TEMP0 ABS(SRC1[7:0] - SRC2[7:0])
(* Repeat operation for bytes 2 through 14 *)
TEMP15 ABS(SRC1[127:120] - SRC2[127:120])
DEST[15:0] SUM(TEMP0:TEMP7)
DEST[63:16] 000000000000H
DEST[79:64] SUM(TEMP8:TEMP15)
DEST[127:80] 00000000000
DEST[VLMAX-1:128] 0
VP S AD B W (VE X.2 5 6 e n co d e d v e rsio n )
TEMP0 ABS(SRC1[7:0] - SRC2[7:0])
(* Repeat operation for bytes 2 through 30*)
TEMP31 ABS(SRC1[255:248] - SRC2[255:248])
DEST[15:0] SUM(TEMP0:TEMP7)
F ig u re 4 -1 0 . P S AD B W I n st ru ct io n O p e ra t io n U sin g 6 4 -b it O p e ra n d s
X3 X2 X1 X0 SRC
DEST
TEMP
X4 X5 X6 X7
Y3 Y2 Y1 Y0 Y4 Y5 Y6 Y7
ABS(X0:Y0) ABS(X7:Y7) ABS(X6:Y6) ABS(X5:Y5) ABS(X4:Y4) ABS(X3:Y3) ABS(X2:Y2) ABS(X1:Y1)
DEST
00H 00H 00H 00H 00H 00H
SUM(TEMP7...TEMP0)
PSADBWCompute Sumof Absolute Differences
INSTRUCTION SET REFERENCE, N-Z
4-200 Vol. 2B
DEST[63:16] 000000000000H
DEST[79:64] SUM(TEMP8:TEMP15)
DEST[127:80] 00000000000H
DEST[143:128] SUM(TEMP16:TEMP23)
DEST[191:144] 000000000000H
DEST[207:192] SUM(TEMP24:TEMP31)
DEST[223:208] 00000000000H
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
PSADBW: __m64 _mm_sad_pu8(__m64 a,__m64 b)
(V)PSADBW: __m128i _mm_sad_epu8(__m128i a, __m128i b)
VPSADBW: __m256i _mm256_sad_epu8( __m256i a, __m256i b)
F la g s Af fe ct e d
None.
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PSHUFB Packed Shuffle Bytes
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-201
P S H U F B P a cke d S h u ffle B y t e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
PSHUFB performs in-place shuffles of bytes in the destination operand (the first operand) according to the shuffle
control mask in the source operand (the second operand). The instruction permutes the data in the destination
operand, leaving the shuffle mask unaffected. If the most significant bit (bit[7]) of each byte of the shuffle control
mask is set, then constant zero is written in the result byte. Each byte in the shuffle control mask forms an index
to permute the corresponding byte in the destination operand. The value of each index is the least significant 4 bits
(128-bit operation) or 3 bits (64-bit operation) of the shuffle control byte. When the source operand is a 128-bit
memory operand, the operand must be aligned on a 16-byte boundary or a general-protection exception (#GP) will
be generated.
In 64-bit mode, use the REX prefix to access additional registers.
Legacy SSE version: Both operands can be MMX registers.
128-bit Legacy SSE version: The first source operand and the destination operand are the same. Bits (VLMAX-
1:128) of the corresponding YMM destination register remain unchanged.
VEX.128 encoded version: The destination operand is the first operand, the first source operand is the second
operand, the second source operand is the third operand. Bits (VLMAX-1:128) of the destination YMM register are
zeroed.
VEX.256 encoded version: Bits (255:128) of the destination YMM register stores the 16-byte shuffle result of the
upper 16 bytes of the first source operand, using the upper 16-bytes of the second source operand as control
mask. The value of each index is for the high 128-bit lane is the least significant 4 bits of the respective shuffle
control byte. The index value selects a source data element within each 128-bit lane.
Note: VEX.L must be 0, otherwise the instruction will #UD.
O p e ra t io n
P S H U F B (w it h 6 4 b it o p e ra n d s)
for i =0 to 7 {
if (SRC[(i * 8)+7] =1 ) then
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 38 00 /r
1
PSHUFB mm1, mm2/m64
RM V/V SSSE3 Shuffle bytes in mm1 according to contents of
mm2/m64.
66 0F 38 00 /r
PSHUFB xmm1, xmm2/m128
RM V/V SSSE3 Shuffle bytes in xmm1 according to contents
of xmm2/m128.
VEX.NDS.128.66.0F38.WIG 00 /r
VPSHUFB xmm1, xmm2, xmm3/m128
RVM V/V AVX Shuffle bytes in xmm2 according to contents
of xmm3/m128.
VEX.NDS.256.66.0F38.WIG 00 /r
VPSHUFB ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Shuffle bytes in ymm2 according to contents
of ymm3/m256.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
PSHUFB Packed Shuffle Bytes
INSTRUCTION SET REFERENCE, N-Z
4-202 Vol. 2B
DEST[(i*8)+7...(i*8)+0] 0;
else
index[2..0] SRC[(i*8)+2 .. (i*8)+0];
DEST[(i*8)+7...(i*8)+0] DEST[(index*8+7)..(index*8+0)];
endif;
}
P S H U F B (w it h 1 2 8 b it o p e ra n d s)
for i =0 to 15 {
if (SRC[(i * 8)+7] =1 ) then
DEST[(i*8)+7..(i*8)+0] 0;
else
index[3..0] SRC[(i*8)+3 .. (i*8)+0];
DEST[(i*8)+7..(i*8)+0] DEST[(index*8+7)..(index*8+0)];
endif
}
DEST[VLMAX-1:128] 0
VP S H U F B (V E X.1 2 8 e n co d e d v e rsio n )
for i =0 to 15 {
if (SRC2[(i * 8)+7] =1) then
DEST[(i*8)+7..(i*8)+0] 0;
else
index[3..0] SRC2[(i*8)+3 .. (i*8)+0];
DEST[(i*8)+7..(i*8)+0] SRC1[(index*8+7)..(index*8+0)];
endif
}
DEST[VLMAX-1:128] 0
VP S H U F B (V E X.2 5 6 e n co d e d v e rsio n )
for i =0 to 15 {
if (SRC2[(i * 8)+7] ==1 ) then
DEST[(i*8)+7..(i*8)+0] 0;
else
index[3..0] SRC2[(i*8)+3 .. (i*8)+0];
DEST[(i*8)+7..(i*8)+0] SRC1[(index*8+7)..(index*8+0)];
endif
if (SRC2[128 +(i * 8)+7] ==1 ) then
DEST[128 +(i*8)+7..(i*8)+0] 0;
else
index[3..0] SRC2[128 +(i*8)+3 .. (i*8)+0];
DEST[128 +(i*8)+7..(i*8)+0] SRC1[128 +(index*8+7)..(index*8+0)];
endif
}
PSHUFB Packed Shuffle Bytes
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-203
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
PSHUFB: __m64 _mm_shuffle_pi8 (__m64 a, __m64 b)
(V)PSHUFB: __m128i _mm_shuffle_epi8 (__m128i a, __m128i b)
VPSHUFB: __m256i _mm256_shuffle_epi8(__m256i a, __m256i b)
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
F ig u re 4 -1 1 . P S H U B w it h 6 4 -B it O p e ra n d s
07H 07H FFH 80H 01H 00H 00H 00H
04H 01H 07H 03H 02H 02H FFH 01H
04H 04H 00H 00H FFH 01H 01H 01H
MM2
MM1
MM1
PSHUFDShuffle Packed Doublewords
INSTRUCTION SET REFERENCE, N-Z
4-204 Vol. 2B
P S H U F D S h u ffle P a cke d D o u b le w o rd s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Copies doublewords from source operand (second operand) and inserts them in the destination operand (first
operand) at the locations selected with the order operand (third operand). Figure 4-12 shows the operation of the
256-bit VPSHUFD instruction and the encoding of the order operand. Each 2-bit field in the order operand selects
the contents of one doubleword location within a 128-bit lane and copy to the target element in the destination
operand. For example, bits 0 and 1 of the order operand targets the first doubleword element in the low and high
128-bit lane of the destination operand for 256-bit VPSHUFD. The encoded value of bits 1:0 of the order operand
(see the field encoding in Figure 4-12) determines which doubleword element (from the respective 128-bit lane) of
the source operand will be copied to doubleword 0 of the destination operand.
For 128-bit operation, only the low 128-bit lane are operative. The source operand can be an XMM register or a
128-bit memory location. The destination operand is an XMM register. The order operand is an 8-bit immediate.
Note that this instruction permits a doubleword in the source operand to be copied to more than one doubleword
location in the destination operand.
F ig u re 4 -1 2 . 2 5 6 -b it V P S H U F D I n st ru ct io n O p e ra t io n
The source operand can be an XMM register or a 128-bit memory location. The destination operand is an XMM
register. The order operand is an 8-bit immediate. Note that this instruction permits a doubleword in the source
operand to be copied to more than one doubleword location in the destination operand.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 70 /r ib
PSHUFD xmm1, xmm2/m128, imm8
RMI V/V SSE2 Shuffle the doublewords in xmm2/m128
based on the encoding in imm8 and store the
result in xmm1.
VEX.128.66.0F.WIG 70 /r ib
VPSHUFD xmm1, xmm2/m128, imm8
RMI V/V AVX Shuffle the doublewords in xmm2/m128
based on the encoding in imm8 and store the
result in xmm1.
VEX.256.66.0F.WIG 70 /r ib
VPSHUFD ymm1, ymm2/m256, imm8
RMI V/V AVX2 Shuffle the doublewords in ymm2/m256
based on the encoding in imm8 and store the
result in ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RMI ModRM:reg (w) ModRM:r/m (r) imm8 NA
X7 X6 X5 X4 SRC
DEST
Y7 Y6 Y5 Y4
ORDER
00B - X0
01B - X1
10B - X2
11B - X3
Encoding
of Fields in
ORDER
0 1 2 3 4 5 6 7
Operand
Y3 Y2 Y1 Y0
X3 X2 X1 X0
00B - X4
01B - X5
10B - X6
11B - X7
Encoding
of Fields in
ORDER
Operand
PSHUFDShuffle Packed Doublewords
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-205
Legacy SSE instructions: In 64-bit mode using a REX prefix in the form of REX.R permits this instruction to access
additional registers (XMM8-XMM15).
128-bit Legacy SSE version: Bits (VLMAX-1:128) of the corresponding YMM destination register remain
unchanged.
VEX.128 encoded version: Bits (VLMAX-1:128) of the destination YMM register are zeroed.
VEX.256 encoded version: Bits (255:128) of the destination stores the shuffled results of the upper 16 bytes of the
source operand using the immediate byte as the order operand.
Note: VEX.vvvv is reserved and must be 1111b, VEX.L must be 0, otherwise the instruction will #UD.
O p e ra t io n
P S H U F D (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[31:0] (SRC >>(ORDER[1:0] * 32))[31:0];
DEST[63:32] (SRC >>(ORDER[3:2] * 32))[31:0];
DEST[95:64] (SRC >>(ORDER[5:4] * 32))[31:0];
DEST[127:96] (SRC >>(ORDER[7:6] * 32))[31:0];
DEST[VLMAX-1:128] (Unmodified)
VP S H U F D (VE X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] (SRC >>(ORDER[1:0] * 32))[31:0];
DEST[63:32] (SRC >>(ORDER[3:2] * 32))[31:0];
DEST[95:64] (SRC >>(ORDER[5:4] * 32))[31:0];
DEST[127:96] (SRC >>(ORDER[7:6] * 32))[31:0];
DEST[VLMAX-1:128] 0
VP S H U F D (VE X.2 5 6 e n co d e d v e rsio n )
DEST[31:0] (SRC[127:0] >>(ORDER[1:0] * 32))[31:0];
DEST[63:32] (SRC[127:0] >>(ORDER[3:2] * 32))[31:0];
DEST[95:64] (SRC[127:0] >>(ORDER[5:4] * 32))[31:0];
DEST[127:96] (SRC[127:0] >>(ORDER[7:6] * 32))[31:0];
DEST[159:128] (SRC[255:128] >>(ORDER[1:0] * 32))[31:0];
DEST[191:160] (SRC[255:128] >>(ORDER[3:2] * 32))[31:0];
DEST[223:192] (SRC[255:128] >>(ORDER[5:4] * 32))[31:0];
DEST[255:224] (SRC[255:128] >>(ORDER[7:6] * 32))[31:0];
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
(V)PSHUFD: __m128i _mm_shuffle_epi32(__m128i a, int n)
VPSHUFD: __m256i _mm256_shuffle_epi32(__m256i a, const int n)
F la g s Af fe ct e d
None.
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
If VEX.vvvv != 1111B.
PSHUFHWShuffle Packed High Words
INSTRUCTION SET REFERENCE, N-Z
4-206 Vol. 2B
P S H U F H WS h u ffle P a cke d H ig h Wo rd s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Copies words from the high quadword of a 128-bit lane of the source operand and inserts them in the high quad-
word of the destination operand at word locations (of the respective lane) selected with the immediate operand .
This 256-bit operation is similar to the in-lane operation used by the 256-bit VPSHUFD instruction, which is illus-
trated in Figure 4-12. For 128-bit operation, only the low 128-bit lane is operative. Each 2-bit field in the immediate
operand selects the contents of one word location in the high quadword of the destination operand. The binary
encodings of the immediate operand fields select words (0, 1, 2 or 3, 4) from the high quadword of the source
operand to be copied to the destination operand. The low quadword of the source operand is copied to the low
quadword of the destination operand, for each 128-bit lane.
Note that this instruction permits a word in the high quadword of the source operand to be copied to more than one
word location in the high quadword of the destination operand.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
128-bit Legacy SSE version: The destination operand is an XMM register. The source operand can be an XMM
register or a 128-bit memory location. Bits (VLMAX-1:128) of the corresponding YMM destination register remain
unchanged.
VEX.128 encoded version: The destination operand is an XMM register. The source operand can be an XMM register
or a 128-bit memory location. Bits (VLMAX-1:128) of the destination YMM register are zeroed. VEX.vvvv is
reserved and must be 1111b, VEX.L must be 0, otherwise the instruction will #UD.
VEX.256 encoded version: The destination operand is an YMM register. The source operand can be an YMM register
or a 256-bit memory location.
Note: In VEX encoded versions VEX.vvvv is reserved and must be 1111b otherwise instructions will #UD.
O p e ra t io n
P S H U F H W (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[63:0] SRC[63:0]
DEST[79:64] (SRC >>(imm[1:0] *16))[79:64]
DEST[95:80] (SRC >>(imm[3:2] * 16))[79:64]
DEST[111:96] (SRC >>(imm[5:4] * 16))[79:64]
DEST[127:112] (SRC >>(imm[7:6] * 16))[79:64]
DEST[VLMAX-1:128] (Unmodified)
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
F3 0F 70 /r ib
PSHUFHW xmm1, xmm2/m128, imm8
RMI V/V SSE2 Shuffle the high words in xmm2/m128 based
on the encoding in imm8 and store the result
in xmm1.
VEX.128.F3.0F.WIG 70 /r ib
VPSHUFHW xmm1, xmm2/m128, imm8
RMI V/V AVX Shuffle the high words in xmm2/m128 based
on the encoding in imm8 and store the result
in xmm1.
VEX.256.F3.0F.WIG 70 /r ib
VPSHUFHW ymm1, ymm2/m256, imm8
RMI V/V AVX2 Shuffle the high words in ymm2/m256 based
on the encoding in imm8 and store the result
in ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RMI ModRM:reg (w) ModRM:r/m (r) imm8 NA
PSHUFHWShuffle Packed High Words
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-207
VP S H U F H W (VE X.1 2 8 e n co d e d v e rsio n )
DEST[63:0] SRC1[63:0]
DEST[79:64] (SRC1 >>(imm[1:0] *16))[79:64]
DEST[95:80] (SRC1 >>(imm[3:2] * 16))[79:64]
DEST[111:96] (SRC1 >>(imm[5:4] * 16))[79:64]
DEST[127:112] (SRC1 >>(imm[7:6] * 16))[79:64]
DEST[VLMAX-1:128] 0
VP S H U F H W (VE X.2 5 6 e n co d e d v e rsio n )
DEST[63:0] SRC1[63:0]
DEST[79:64] (SRC1 >>(imm[1:0] *16))[79:64]
DEST[95:80] (SRC1 >>(imm[3:2] * 16))[79:64]
DEST[111:96] (SRC1 >>(imm[5:4] * 16))[79:64]
DEST[127:112] (SRC1 >>(imm[7:6] * 16))[79:64]
DEST[191:128] SRC1[191:128]
DEST[207192] (SRC1 >>(imm[1:0] *16))[207:192]
DEST[223:208] (SRC1 >>(imm[3:2] * 16))[207:192]
DEST[239:224] (SRC1 >>(imm[5:4] * 16))[207:192]
DEST[255:240] (SRC1 >>(imm[7:6] * 16))[207:192]
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
(V)PSHUFHW: __m128i _mm_shufflehi_epi16(__m128i a, int n)
VPSHUFHW: __m256i _mm256_shufflehi_epi16(__m256i a, const int n)
F la g s Af fe ct e d
None.
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
If VEX.vvvv != 1111B.
PSHUFLWShuffle Packed Low Words
INSTRUCTION SET REFERENCE, N-Z
4-208 Vol. 2B
P S H U F LWS h u ffle P a cke d Lo w Wo rd s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Copies words from the low quadword of a 128-bit lane of the source operand and inserts them in the low quadword
of the destination operand at word locations (of the respective lane) selected with the immediate operand. The
256-bit operation is similar to the in-lane operation used by the 256-bit VPSHUFD instruction, which is illustrated
in Figure 4-12. For 128-bit operation, only the low 128-bit lane is operative. Each 2-bit field in the immediate
operand selects the contents of one word location in the low quadword of the destination operand. The binary
encodings of the immediate operand fields select words (0, 1, 2 or 3) from the low quadword of the source operand
to be copied to the destination operand. The high quadword of the source operand is copied to the high quadword
of the destination operand, for each 128-bit lane.
Note that this instruction permits a word in the low quadword of the source operand to be copied to more than one
word location in the low quadword of the destination operand.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
128-bit Legacy SSE version: The destination operand is an XMM register. The source operand can be an XMM
register or a 128-bit memory location. Bits (VLMAX-1:128) of the corresponding YMM destination register remain
unchanged.
VEX.128 encoded version: The destination operand is an XMM register. The source operand can be an XMM register
or a 128-bit memory location. Bits (VLMAX-1:128) of the destination YMM register are zeroed.
VEX.256 encoded version: The destination operand is an YMM register. The source operand can be an YMM register
or a 256-bit memory location.
Note: VEX.vvvv is reserved and must be 1111b, VEX.L must be 0, otherwise instructions will #UD.
O p e ra t io n
P S H U F LW (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[15:0] (SRC >>(imm[1:0] *16))[15:0]
DEST[31:16] (SRC >>(imm[3:2] * 16))[15:0]
DEST[47:32] (SRC >>(imm[5:4] * 16))[15:0]
DEST[63:48] (SRC >>(imm[7:6] * 16))[15:0]
DEST[127:64] SRC[127:64]
DEST[VLMAX-1:128] (Unmodified)
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
F2 0F 70 /r ib
PSHUFLW xmm1, xmm2/m128, imm8
RMI V/V SSE2 Shuffle the low words in xmm2/m128 based
on the encoding in imm8 and store the result
in xmm1.
VEX.128.F2.0F.WIG 70 /r ib
VPSHUFLW xmm1, xmm2/m128, imm8
RMI V/V AVX Shuffle the low words in xmm2/m128 based
on the encoding in imm8 and store the result
in xmm1.
VEX.256.F2.0F.WIG 70 /r ib
VPSHUFLW ymm1, ymm2/m256, imm8
RMI V/V AVX2 Shuffle the low words in ymm2/m256 based
on the encoding in imm8 and store the result
in ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RMI ModRM:reg (w) ModRM:r/m (r) imm8 NA
PSHUFLWShuffle Packed Low Words
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-209
VP S H U F LW (VE X.1 2 8 e n co d e d v e rsio n )
DEST[15:0] (SRC1 >>(imm[1:0] *16))[15:0]
DEST[31:16] (SRC1 >>(imm[3:2] * 16))[15:0]
DEST[47:32] (SRC1 >>(imm[5:4] * 16))[15:0]
DEST[63:48] (SRC1 >>(imm[7:6] * 16))[15:0]
DEST[127:64] SRC[127:64]
DEST[VLMAX-1:128] 0
VP S H U F LW (VE X.2 5 6 e n co d e d v e rsio n )
DEST[15:0] (SRC1 >>(imm[1:0] *16))[15:0]
DEST[31:16] (SRC1 >>(imm[3:2] * 16))[15:0]
DEST[47:32] (SRC1 >>(imm[5:4] * 16))[15:0]
DEST[63:48] (SRC1 >>(imm[7:6] * 16))[15:0]
DEST[127:64] SRC1[127:64]
DEST[143:128] (SRC1 >>(imm[1:0] *16))[143:128]
DEST[159:144] (SRC1 >>(imm[3:2] * 16))[143:128]
DEST[175:160] (SRC1 >>(imm[5:4] * 16))[143:128]
DEST[191:176] (SRC1 >>(imm[7:6] * 16))[143:128]
DEST[255:192] SRC1[255:192]
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
(V)PSHUFLW: __m128i _mm_shufflelo_epi16(__m128i a, int n)
VPSHUFLW: __m256i _mm256_shufflelo_epi16(__m256i a, const int n)
F la g s Af fe ct e d
None.
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
If VEX.vvvv != 1111B.
PSHUFWShuffle Packed Words
INSTRUCTION SET REFERENCE, N-Z
4-210 Vol. 2B
P S H U F WS h u ffle P a cke d Wo rd s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Copies words from the source operand (second operand) and inserts them in the destination operand (first
operand) at word locations selected with the order operand (third operand). This operation is similar to the opera-
tion used by the PSHUFD instruction, which is illustrated in Figure 4-12. For the PSHUFW instruction, each 2-bit
field in the order operand selects the contents of one word location in the destination operand. The encodings of the
order operand fields select words from the source operand to be copied to the destination operand.
The source operand can be an MMX technology register or a 64-bit memory location. The destination operand is an
MMX technology register. The order operand is an 8-bit immediate. Note that this instruction permits a word in the
source operand to be copied to more than one word location in the destination operand.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
O p e ra t io n
DEST[15:0] (SRC >>(ORDER[1:0] * 16))[15:0];
DEST[31:16] (SRC >>(ORDER[3:2] * 16))[15:0];
DEST[47:32] (SRC >>(ORDER[5:4] * 16))[15:0];
DEST[63:48] (SRC >>(ORDER[7:6] * 16))[15:0];
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
PSHUFW: __m64 _mm_shuffle_pi16(__m64 a, int n)
F la g s Af fe ct e d
None.
N u m e ric E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Table 22-7, Exception Conditions for SIMD/MMX Instructions with Memory Reference, in the Intel 64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 70 /r ib
PSHUFW mm1, mm2/m64, imm8
RMI Valid Valid Shuffle the words in mm2/m64 based on the
encoding in imm8 and store the result in mm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RMI ModRM:reg (w) ModRM:r/m (r) imm8 NA
PSIGNB/PSIGNW/PSIGND Packed SIGN
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-211
P S I G N B /P S I G N W/P S I G N D P a cke d S I G N
I n st ru ct io n O p e ra n d E n co d in g
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 38 08 /r
1

PSIGNB mm1, mm2/m64
RM V/V SSSE3 Negate/zero/preserve packed byte integers in
mm1 depending on the corresponding sign in
mm2/m64.
66 0F 38 08 /r
PSIGNB xmm1, xmm2/m128
RM V/V SSSE3 Negate/zero/preserve packed byte integers in
xmm1 depending on the corresponding sign in
xmm2/m128.
0F 38 09 /r
1

PSIGNW mm1, mm2/m64
RM V/V SSSE3 Negate/zero/preserve packed word integers
in mm1 depending on the corresponding sign
in mm2/m128.
66 0F 38 09 /r
PSIGNW xmm1, xmm2/m128
RM V/V SSSE3 Negate/zero/preserve packed word integers
in xmm1 depending on the corresponding sign
in xmm2/m128.
0F 38 0A /r
1
PSIGND mm1, mm2/m64
RM V/V SSSE3 Negate/zero/preserve packed doubleword
integers in mm1 depending on the
corresponding sign in mm2/m128.
66 0F 38 0A /r
PSIGND xmm1, xmm2/m128
RM V/V SSSE3 Negate/zero/preserve packed doubleword
integers in xmm1 depending on the
corresponding sign in xmm2/m128.
VEX.NDS.128.66.0F38.WIG 08 /r
VPSIGNB xmm1, xmm2, xmm3/m128
RVM V/V AVX Negate/zero/preserve packed byte integers in
xmm2 depending on the corresponding sign in
xmm3/m128.
VEX.NDS.128.66.0F38.WIG 09 /r
VPSIGNW xmm1, xmm2, xmm3/m128
RVM V/V AVX Negate/zero/preserve packed word integers
in xmm2 depending on the corresponding sign
in xmm3/m128.
VEX.NDS.128.66.0F38.WIG 0A /r
VPSIGND xmm1, xmm2, xmm3/m128
RVM V/V AVX Negate/zero/preserve packed doubleword
integers in xmm2 depending on the
corresponding sign in xmm3/m128.
VEX.NDS.256.66.0F38.WIG 08 /r
VPSIGNB ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Negate packed byte integers in ymm2 if the
corresponding sign in ymm3/m256 is less
than zero.
VEX.NDS.256.66.0F38.WIG 09 /r
VPSIGNW ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Negate packed 16-bit integers in ymm2 if the
corresponding sign in ymm3/m256 is less
than zero.
VEX.NDS.256.66.0F38.WIG 0A /r
VPSIGND ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Negate packed doubleword integers in ymm2
if the corresponding sign in ymm3/m256 is
less than zero.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
PSIGNB/PSIGNW/PSIGND Packed SIGN
INSTRUCTION SET REFERENCE, N-Z
4-212 Vol. 2B
D e scrip t io n
(V)PSIGNB/(V)PSIGNW/(V)PSIGND negates each data element of the destination operand (the first operand) if the
signed integer value of the corresponding data element in the source operand (the second operand) is less than
zero. If the signed integer value of a data element in the source operand is positive, the corresponding data
element in the destination operand is unchanged. If a data element in the source operand is zero, the corre-
sponding data element in the destination operand is set to zero.
(V)PSIGNB operates on signed bytes. (V)PSIGNW operates on 16-bit signed words. (V)PSIGND operates on signed
32-bit integers. When the source operand is a 128bit memory operand, the operand must be aligned on a 16-byte
boundary or a general-protection exception (#GP) will be generated.
Legacy SSE instructions: Both operands can be MMX registers. In 64-bit mode, use the REX prefix to access addi-
tional registers.
128-bit Legacy SSE version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the corresponding YMM destina-
tion register remain unchanged.
VEX.128 encoded version: The first source and destination operands are XMM registers. The second source
operand is an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the destination YMM register are
zeroed. VEX.L must be 0, otherwise instructions will #UD.
VEX.256 encoded version: The first source and destination operands are YMM registers. The second source
operand is an YMM register or a 256-bit memory location.
O p e ra t io n
P S I G N B (w it h 6 4 b it o p e ra n d s)
IF (SRC[7:0] <0 )
DEST[7:0] Neg(DEST[7:0])
ELSEIF (SRC[7:0] =0 )
DEST[7:0] 0
ELSEIF (SRC[7:0] >0 )
DEST[7:0] DEST[7:0]
Repeat operation for 2nd through 7th bytes
IF (SRC[63:56] <0 )
DEST[63:56] Neg(DEST[63:56])
ELSEIF (SRC[63:56] =0 )
DEST[63:56] 0
ELSEIF (SRC[63:56] >0 )
DEST[63:56] DEST[63:56]
P S I G N B (w it h 1 2 8 b it o p e ra n d s)
IF (SRC[7:0] <0 )
DEST[7:0] Neg(DEST[7:0])
ELSEIF (SRC[7:0] =0 )
DEST[7:0] 0
ELSEIF (SRC[7:0] >0 )
DEST[7:0] DEST[7:0]
Repeat operation for 2nd through 15th bytes
IF (SRC[127:120] <0 )
DEST[127:120] Neg(DEST[127:120])
ELSEIF (SRC[127:120] =0 )
DEST[127:120] 0
ELSEIF (SRC[127:120] >0 )
DEST[127:120] DEST[127:120]
PSIGNB/PSIGNW/PSIGND Packed SIGN
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-213
VP S I G N B (VE X.1 2 8 e n co d e d v e rsio n )
DEST[127:0] BYTE_SIGN(SRC1, SRC2)
DEST[VLMAX-1:128] 0
VP S I G N B (VE X.2 5 6 e n co d e d v e rsio n )
DEST[255:0] BYTE_SIGN_256b(SRC1, SRC2)
P S I G N W (w it h 6 4 b it o p e ra n d s)
IF (SRC[15:0] <0 )
DEST[15:0] Neg(DEST[15:0])
ELSEIF (SRC[15:0] =0 )
DEST[15:0] 0
ELSEIF (SRC[15:0] >0 )
DEST[15:0] DEST[15:0]
Repeat operation for 2nd through 3rd words
IF (SRC[63:48] <0 )
DEST[63:48] Neg(DEST[63:48])
ELSEIF (SRC[63:48] =0 )
DEST[63:48] 0
ELSEIF (SRC[63:48] >0 )
DEST[63:48] DEST[63:48]
P S I G N W (w it h 1 2 8 b it o p e ra n d s)
IF (SRC[15:0] <0 )
DEST[15:0] Neg(DEST[15:0])
ELSEIF (SRC[15:0] =0 )
DEST[15:0] 0
ELSEIF (SRC[15:0] >0 )
DEST[15:0] DEST[15:0]
Repeat operation for 2nd through 7th words
IF (SRC[127:112] <0 )
DEST[127:112] Neg(DEST[127:112])
ELSEIF (SRC[127:112] =0 )
DEST[127:112] 0
ELSEIF (SRC[127:112] >0 )
DEST[127:112] DEST[127:112]
VP S I G N W (V E X.1 2 8 e n co d e d v e rsio n )
DEST[127:0] WORD_SIGN(SRC1, SRC2)
DEST[VLMAX-1:128] 0
VP S I G N W (V E X.2 5 6 e n co d e d v e rsio n )
DEST[255:0] WORD_SIGN(SRC1, SRC2)
P S I G N D (w it h 6 4 b it o p e ra n d s)
IF (SRC[31:0] <0 )
DEST[31:0] Neg(DEST[31:0])
ELSEIF (SRC[31:0] =0 )
DEST[31:0] 0
ELSEIF (SRC[31:0] >0 )
DEST[31:0] DEST[31:0]
IF (SRC[63:32] <0 )
DEST[63:32] Neg(DEST[63:32])
ELSEIF (SRC[63:32] =0 )
DEST[63:32] 0
PSIGNB/PSIGNW/PSIGND Packed SIGN
INSTRUCTION SET REFERENCE, N-Z
4-214 Vol. 2B
ELSEIF (SRC[63:32] >0 )
DEST[63:32] DEST[63:32]
P S I G N D (w it h 1 2 8 b it o p e ra n d s)
IF (SRC[31:0] <0 )
DEST[31:0] Neg(DEST[31:0])
ELSEIF (SRC[31:0] =0 )
DEST[31:0] 0
ELSEIF (SRC[31:0] >0 )
DEST[31:0] DEST[31:0]
Repeat operation for 2nd through 3rd double words
IF (SRC[127:96] <0 )
DEST[127:96] Neg(DEST[127:96])
ELSEIF (SRC[127:96] =0 )
DEST[127:96] 0
ELSEIF (SRC[127:96] >0 )
DEST[127:96] DEST[127:96]
VP S I G N D (V E X.1 2 8 e n co d e d v e rsio n )
DEST[127:0] DWORD_SIGN(SRC1, SRC2)
DEST[VLMAX-1:128] 0
VP S I G N D (V E X.2 5 6 e n co d e d v e rsio n )
DEST[255:0] DWORD_SIGN(SRC1, SRC2)
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
PSIGNB: __m64 _mm_sign_pi8 (__m64 a, __m64 b)
(V)PSIGNB: __m128i _mm_sign_epi8 (__m128i a, __m128i b)
VPSIGNB: __m256i _mm256_sign_epi8 (__m256i a, __m256i b)
PSIGNW: __m64 _mm_sign_pi16 (__m64 a, __m64 b)
(V)PSIGNW: __m128i _mm_sign_epi16 (__m128i a, __m128i b)
VPSIGNW: __m256i _mm256_sign_epi16 (__m256i a, __m256i b)
PSIGND: __m64 _mm_sign_pi32 (__m64 a, __m64 b)
(V)PSIGND: __m128i _mm_sign_epi32 (__m128i a, __m128i b)
VPSIGND: __m256i _mm256_sign_epi32 (__m256i a, __m256i b)
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PSLLDQShift Double Quadword Left Logical
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-215
P S LLD Q S h ift D o u b le Q u a d w o rd Le ft Lo g ica l
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Shifts the destination operand (first operand) to the left by the number of bytes specified in the count operand
(second operand). The empty low-order bytes are cleared (set to all 0s). If the value specified by the count
operand is greater than 15, the destination operand is set to all 0s. The count operand is an 8-bit immediate.
128-bit Legacy SSE version: The source and destination operands are the same. Bits (VLMAX-1:128) of the corre-
sponding YMM destination register remain unchanged.
VEX.128 encoded version: The source and destination operands are XMM registers. Bits (VLMAX-1:128) of the
destination YMM register are zeroed.
VEX.256 encoded version: The source operand is a YMM register. The destination operand is a YMM register. The
count operand applies to both the low and high 128-bit lanes.
Note: VEX.vvvv encodes the destination register, and VEX.B + ModRM.r/m encodes the source register. VEX.L must
be 0, otherwise instructions will #UD.
O p e ra t io n
P S LLD Q (1 2 8 -b it Le g a cy S S E v e rsio n )
TEMP COUNT
IF (TEMP >15) THEN TEMP 16; FI
DEST DEST <<(TEMP * 8)
DEST[VLMAX-1:128] (Unmodified)
VP S LLD Q (VE X.1 2 8 e n co d e d v e rsio n )
TEMP COUNT
IF (TEMP >15) THEN TEMP 16; FI
DEST SRC <<(TEMP * 8)
DEST[VLMAX-1:128] 0
VP S LLD Q (VE X.2 5 6 e n co d e d v e rsio n )
TEMP COUNT
IF (TEMP >15) THEN TEMP ? 16; FI
DEST[127:0] SRC[127:0] <<(TEMP * 8)
DEST[255:128] SRC[255:128] <<(TEMP * 8)
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 73 /7 ib
PSLLDQ xmm1, imm8
MI V/V SSE2 Shift xmm1 left by imm8 bytes while shifting
in 0s.
VEX.NDD.128.66.0F.WIG 73 /7 ib
VPSLLDQ xmm1, xmm2, imm8
VMI V/V AVX Shift xmm2 left by imm8 bytes while shifting
in 0s and store result in xmm1.
VEX.NDD.256.66.0F.WIG 73 /7 ib
VPSLLDQ ymm1, ymm2, imm8
VMI V/V AVX2 Shift ymm2 left by imm8 bytes while shifting
in 0s and store result in ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
MI ModRM:r/m(r, w) imm8 NA NA
VMI VEX.vvvv (w) ModRM:r/m (r) imm8 NA
PSLLDQShift Double Quadword Left Logical
INSTRUCTION SET REFERENCE, N-Z
4-216 Vol. 2B
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
(V)PSLLDQ: __m128i _mm_slli_si128 ( __m128i a, int imm)
VPSLLDQ: __m256i _mm256_slli_si256 ( __m256i a, const int imm)
F la g s Af fe ct e d
None.
N u m e ric E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 7; additionally
#UD If VEX.L = 1.
PSLLW/PSLLD/PSLLQShift Packed Data Left Logical
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-217
P S LLW/P S LLD /P S LLQ S h ift P a cke d D a t a Le ft Lo g ica l
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F F1 /r
1
PSLLW mm, mm/m64
RM V/V MMX Shift words in mm left mm/m64 while shifting
in 0s.
66 0F F1 /r
PSLLW xmm1, xmm2/m128
RM V/V SSE2 Shift words in xmm1 left by xmm2/m128
while shifting in 0s.
0F 71 /6 ib
PSLLW mm1, imm8
MI V/V MMX Shift words in mm left by imm8 while shifting
in 0s.
66 0F 71 /6 ib
PSLLW xmm1, imm8
MI V/V SSE2 Shift words in xmm1 left by imm8 while
shifting in 0s.
0F F2 /r
1
PSLLD mm, mm/m64
RM V/V MMX Shift doublewords in mm left by mm/m64
while shifting in 0s.
66 0F F2 /r
PSLLD xmm1, xmm2/m128
RM V/V SSE2 Shift doublewords in xmm1 left by
xmm2/m128 while shifting in 0s.
0F 72 /6 ib
1
PSLLD mm, imm8
MI V/V MMX Shift doublewords in mm left by imm8 while
shifting in 0s.
66 0F 72 /6 ib
PSLLD xmm1, imm8
MI V/V SSE2 Shift doublewords in xmm1 left by imm8 while
shifting in 0s.
0F F3 /r
1
PSLLQ mm, mm/m64
RM V/V MMX Shift quadword in mm left by mm/m64 while
shifting in 0s.
66 0F F3 /r
PSLLQ xmm1, xmm2/m128
RM V/V SSE2 Shift quadwords in xmm1 left by xmm2/m128
while shifting in 0s.
0F 73 /6 ib
1
PSLLQ mm, imm8
MI V/V MMX Shift quadword in mm left by imm8 while
shifting in 0s.
66 0F 73 /6 ib
PSLLQ xmm1, imm8
MI V/V SSE2 Shift quadwords in xmm1 left by imm8 while
shifting in 0s.
VEX.NDS.128.66.0F.WIG F1 /r
VPSLLW xmm1, xmm2, xmm3/m128
RVM V/V AVX Shift words in xmm2 left by amount specified
in xmm3/m128 while shifting in 0s.
VEX.NDD.128.66.0F.WIG 71 /6 ib
VPSLLW xmm1, xmm2, imm8
VMI V/V AVX Shift words in xmm2 left by imm8 while
shifting in 0s.
VEX.NDS.128.66.0F.WIG F2 /r
VPSLLD xmm1, xmm2, xmm3/m128
RVM V/V AVX Shift doublewords in xmm2 left by amount
specified in xmm3/m128 while shifting in 0s.
VEX.NDD.128.66.0F.WIG 72 /6 ib
VPSLLD xmm1, xmm2, imm8
VMI V/V AVX Shift doublewords in xmm2 left by imm8
while shifting in 0s.
VEX.NDS.128.66.0F.WIG F3 /r
VPSLLQ xmm1, xmm2, xmm3/m128
RVM V/V AVX Shift quadwords in xmm2 left by amount
specified in xmm3/m128 while shifting in 0s.
VEX.NDD.128.66.0F.WIG 73 /6 ib
VPSLLQ xmm1, xmm2, imm8
VMI V/V AVX Shift quadwords in xmm2 left by imm8 while
shifting in 0s.
VEX.NDS.256.66.0F.WIG F1 /r
VPSLLW ymm1, ymm2, xmm3/m128
RVM V/V AVX2 Shift words in ymm2 left by amount specified
in xmm3/m128 while shifting in 0s.
VEX.NDD.256.66.0F.WIG 71 /6 ib
VPSLLW ymm1, ymm2, imm8
VMI V/V AVX2 Shift words in ymm2 left by imm8 while
shifting in 0s.
PSLLW/PSLLD/PSLLQShift Packed Data Left Logical
INSTRUCTION SET REFERENCE, N-Z
4-218 Vol. 2B
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Shifts the bits in the individual data elements (words, doublewords, or quadword) in the destination operand (first
operand) to the left by the number of bits specified in the count operand (second operand). As the bits in the data
elements are shifted left, the empty low-order bits are cleared (set to 0). If the value specified by the count
operand is greater than 15 (for words), 31 (for doublewords), or 63 (for a quadword), then the destination operand
is set to all 0s. Figure 4-13 gives an example of shifting words in a 64-bit operand.
The (V)PSLLW instruction shifts each of the words in the destination operand to the left by the number of bits spec-
ified in the count operand; the (V)PSLLD instruction shifts each of the doublewords in the destination operand; and
the (V)PSLLQ instruction shifts the quadword (or quadwords) in the destination operand.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
Legacy SSE instructions: The destination operand is an MMX technology register; the count operand can be either
an MMX technology register or an 64-bit memory location.
128-bit Legacy SSE version: The destination and first source operands are XMM registers. Bits (VLMAX-1:128) of
the corresponding YMM destination register remain unchanged. The count operand can be either an XMM register
or a 128-bit memory location or an 8-bit immediate. If the count operand is a memory address, 128 bits are loaded
but the upper 64 bits are ignored.
VEX.NDS.256.66.0F.WIG F2 /r
VPSLLD ymm1, ymm2, xmm3/m128
RVM V/V AVX2 Shift doublewords in ymm2 left by amount
specified in xmm3/m128 while shifting in 0s.
VEX.NDD.256.66.0F.WIG 72 /6 ib
VPSLLD ymm1, ymm2, imm8
VMI V/V AVX2 Shift doublewords in ymm2 left by imm8 while
shifting in 0s.
VEX.NDS.256.66.0F.WIG F3 /r
VPSLLQ ymm1, ymm2, xmm3/m128
RVM V/V AVX2 Shift quadwords in ymm2 left by amount
specified in xmm3/m128 while shifting in 0s.
VEX.NDD.256.66.0F.WIG 73 /6 ib
VPSLLQ ymm1, ymm2, imm8
VMI V/V AVX2 Shift quadwords in ymm2 left by imm8 while
shifting in 0s.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
MI ModRM:r/m(r, w) imm8 NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
VMI VEX.vvvv (w) ModRM:r/m (r) imm8 NA
F ig u re 4 -1 3 . P S LLW, P S LLD , a n d P S LLQ I n st ru ct io n O p e ra t io n U sin g 6 4 -b it O p e ra n d
DEST
DEST
Pre-Shift
Post-Shift
Shift Left
X0
X0 << COUNT
X3 X2 X1
X1 << COUNT X2 << COUNT X3 << COUNT
with Zero
Extension
PSLLW/PSLLD/PSLLQShift Packed Data Left Logical
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-219
VEX.128 encoded version: The destination and first source operands are XMM registers. Bits (VLMAX-1:128) of the
destination YMM register are zeroed. The count operand can be either an XMM register or a 128-bit memory loca-
tion or an 8-bit immediate. If the count operand is a memory address, 128 bits are loaded but the upper 64 bits are
ignored.
VEX.256 encoded version: The destination and first source operands are YMM registers. The count operand can be
either an XMM register or a 128-bit memory location or an 8-bit immediate.
Note: For shifts with an immediate count (VEX.128.66.0F 71-73 /6), VEX.vvvv encodes the destination register,
and VEX.B + ModRM.r/m encodes the source register. VEX.L must be 0, otherwise instructions will #UD.
O p e ra t io n
P S LLW (w it h 6 4 -b it o p e ra n d )
IF (COUNT > 15)
THEN
DEST[64:0] 0000000000000000H;
ELSE
DEST[15:0] ZeroExtend(DEST[15:0] << COUNT);
(* Repeat shift operation for 2nd and 3rd words *)
DEST[63:48] ZeroExtend(DEST[63:48] << COUNT);
FI;
P S LLD (w it h 6 4 -b it o p e ra n d )
IF (COUNT > 31)
THEN
DEST[64:0] 0000000000000000H;
ELSE
DEST[31:0] ZeroExtend(DEST[31:0] << COUNT);
DEST[63:32] ZeroExtend(DEST[63:32] << COUNT);
FI;
P S LLQ (w it h 6 4 -b it o p e ra n d )
IF (COUNT > 63)
THEN
DEST[64:0] 0000000000000000H;
ELSE
DEST ZeroExtend(DEST << COUNT);
FI;
P S LLW (w it h 1 2 8 -b it o p e ra n d )
COUNT COUNT_SOURCE[63:0];
IF (COUNT > 15)
THEN
DEST[128:0] 00000000000000000000000000000000H;
ELSE
DEST[15:0] ZeroExtend(DEST[15:0] << COUNT);
(* Repeat shift operation for 2nd through 7th words *)
DEST[127:112] ZeroExtend(DEST[127:112] << COUNT);
FI;
P S LLD (w it h 1 2 8 -b it o p e ra n d )
COUNT COUNT_SOURCE[63:0];
IF (COUNT > 31)
THEN
DEST[128:0] 00000000000000000000000000000000H;
ELSE
DEST[31:0] ZeroExtend(DEST[31:0] << COUNT);
PSLLW/PSLLD/PSLLQShift Packed Data Left Logical
INSTRUCTION SET REFERENCE, N-Z
4-220 Vol. 2B
(* Repeat shift operation for 2nd and 3rd doublewords *)
DEST[127:96] ZeroExtend(DEST[127:96] << COUNT);
FI;
P S LLQ (w it h 1 2 8 -b it o p e ra n d )
COUNT COUNT_SOURCE[63:0];
IF (COUNT > 63)
THEN
DEST[128:0] 00000000000000000000000000000000H;
ELSE
DEST[63:0] ZeroExtend(DEST[63:0] << COUNT);
DEST[127:64] ZeroExtend(DEST[127:64] << COUNT);
FI;
P S LLW (x m m , x m m , x m m /m 1 2 8 )
DEST[127:0] LOGICAL_LEFT_SHIFT_WORDS(DEST, SRC)
DEST[VLMAX-1:128] (Unmodified)
P S LLW (x m m , im m 8 )
DEST[127:0] LOGICAL_LEFT_SHIFT_WORDS(DEST, imm8)
DEST[VLMAX-1:128] (Unmodified)
VP S LLD (x m m , x m m , x m m /m 1 2 8 )
DEST[127:0] LOGICAL_LEFT_SHIFT_DWORDS(SRC1, SRC2)
DEST[VLMAX-1:128] 0
VP S LLD (x m m , im m 8 )
DEST[127:0] LOGICAL_LEFT_SHIFT_DWORDS(SRC1, imm8)
DEST[VLMAX-1:128] 0
P S LLD (x m m , x m m , x m m /m 1 2 8 )
DEST[127:0] LOGICAL_LEFT_SHIFT_DWORDS(DEST, SRC)
DEST[VLMAX-1:128] (Unmodified)
P S LLD (x m m , im m 8 )
DEST[127:0] LOGICAL_LEFT_SHIFT_DWORDS(DEST, imm8)
DEST[VLMAX-1:128] (Unmodified)
VP S LLQ (x m m , x m m , x m m /m 1 2 8 )
DEST[127:0] LOGICAL_LEFT_SHIFT_QWORDS(SRC1, SRC2)
DEST[VLMAX-1:128] 0
VP S LLQ (x m m , im m 8 )
DEST[127:0] LOGICAL_LEFT_SHIFT_QWORDS(SRC1, imm8)
DEST[VLMAX-1:128] 0
P S LLQ (x m m , x m m , x m m /m 1 2 8 )
DEST[127:0] LOGICAL_LEFT_SHIFT_QWORDS(DEST, SRC)
DEST[VLMAX-1:128] (Unmodified)
P S LLQ (x m m , im m 8 )
DEST[127:0] LOGICAL_LEFT_SHIFT_QWORDS(DEST, imm8)
DEST[VLMAX-1:128] (Unmodified)
PSLLW/PSLLD/PSLLQShift Packed Data Left Logical
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-221
VP S LLW (x m m , x m m , x m m /m 1 2 8 )
DEST[127:0] LOGICAL_LEFT_SHIFT_WORDS(SRC1, SRC2)
DEST[VLMAX-1:128] 0
VP S LLW (x m m , im m 8 )
DEST[127:0] LOGICAL_LEFT_SHIFT_WORDS(SRC1, imm8)
DEST[VLMAX-1:128] 0
P S LLW (x m m , x m m , x m m /m 1 2 8 )
DEST[127:0] LOGICAL_LEFT_SHIFT_WORDS(DEST, SRC)
DEST[VLMAX-1:128] (Unmodified)
P S LLW (x m m , im m 8 )
DEST[127:0] LOGICAL_LEFT_SHIFT_WORDS(DEST, imm8)
DEST[VLMAX-1:128] (Unmodified)
VP S LLD (x m m , x m m , x m m /m 1 2 8 )
DEST[127:0] LOGICAL_LEFT_SHIFT_DWORDS(SRC1, SRC2)
DEST[VLMAX-1:128] 0
VP S LLD (x m m , im m 8 )
DEST[127:0] LOGICAL_LEFT_SHIFT_DWORDS(SRC1, imm8)
DEST[VLMAX-1:128] 0
VP S LLW (y m m , y m m , x m m /m 1 2 8 )
DEST[255:0] LOGICAL_LEFT_SHIFT_WORDS_256b(SRC1, SRC2)
VP S LLW (y m m , im m 8 )
DEST[255:0] LOGICAL_LEFT_SHIFT_WORD_256bS(SRC1, imm8)
VP S LLD (y m m , y m m , x m m /m 1 2 8 )
DEST[255:0] LOGICAL_LEFT_SHIFT_DWORDS_256b(SRC1, SRC2)
VP S LLD (y m m , im m 8 )
DEST[127:0] LOGICAL_LEFT_SHIFT_DWORDS_256b(SRC1, imm8)
VP S LLQ (y m m , y m m , x m m /m 1 2 8 )
DEST[255:0] LOGICAL_LEFT_SHIFT_QWORDS_256b(SRC1, SRC2)
VP S LLQ (y m m , im m 8 )
DEST[255:0] LOGICAL_LEFT_SHIFT_QWORDS_256b(SRC1, imm8)
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t s
PSLLW: __m64 _mm_slli_pi16 (__m64 m, int count)
PSLLW: __m64 _mm_sll_pi16(__m64 m, __m64 count)
(V)PSLLW: __m128i _mm_slli_pi16(__m64 m, int count)
(V)PSLLW: __m128i _mm_slli_pi16(__m128i m, __m128i count)
VPSLLW: __m256i _mm256_slli_epi16 (__m256i m, int count)
VPSLLW: __m256i _mm256_sll_epi16 (__m256i m, __m128i count)
PSLLD: __m64 _mm_slli_pi32(__m64 m, int count)
PSLLD: __m64 _mm_sll_pi32(__m64 m, __m64 count)
PSLLW/PSLLD/PSLLQShift Packed Data Left Logical
INSTRUCTION SET REFERENCE, N-Z
4-222 Vol. 2B
(V)PSLLD: __m128i _mm_slli_epi32(__m128i m, int count)
(V)PSLLD: __m128i _mm_sll_epi32(__m128i m, __m128i count)
VPSLLD: __m256i _mm256_slli_epi32 (__m256i m, int count)
VPSLLD: __m256i _mm256_sll_epi32 (__m256i m, __m128i count)
PSLLQ: __m64 _mm_slli_si64(__m64 m, int count)
PSLLQ: __m64 _mm_sll_si64(__m64 m, __m64 count)
(V)PSLLQ: __m128i _mm_slli_epi64(__m128i m, int count)
(V)PSLLQ: __m128i _mm_sll_epi64(__m128i m, __m128i count)
VPSLLQ: __m256i _mm256_slli_epi64 (__m256i m, int count)
VPSLLQ: __m256i _mm256_sll_epi64 (__m256i m, __m128i count)
F la g s Af fe ct e d
None.
N u m e ric E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4 and 7 for non-VEX-encoded instructions; additionally
#UD If VEX.L = 1.
PSRAW/PSRADShift Packed Data Right Arithmetic
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-223
P S R AW/P S R AD S h ift P a cke d D a t a R ig h t Arit h m e t ic
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F E1 /r
1
PSRAW mm, mm/m64
RM V/V MMX Shift words in mm right by mm/m64 while
shifting in sign bits.
66 0F E1 /r
PSRAW xmm1, xmm2/m128
RM V/V SSE2 Shift words in xmm1 right by xmm2/m128
while shifting in sign bits.
0F 71 /4 ib
1
PSRAW mm, imm8
MI V/V MMX Shift words in mm right by imm8 while shifting
in sign bits
66 0F 71 /4 ib
PSRAW xmm1, imm8
MI V/V SSE2 Shift words in xmm1 right by imm8 while
shifting in sign bits
0F E2 /r
1
PSRAD mm, mm/m64
RM V/V MMX Shift doublewords in mm right by mm/m64
while shifting in sign bits.
66 0F E2 /r
PSRAD xmm1, xmm2/m128
RM V/V SSE2 Shift doubleword in xmm1 right by xmm2
/m128 while shifting in sign bits.
0F 72 /4 ib
1
PSRAD mm, imm8
MI V/V MMX Shift doublewords in mm right by imm8 while
shifting in sign bits.
66 0F 72 /4 ib
PSRAD xmm1, imm8
MI V/V SSE2 Shift doublewords in xmm1 right by imm8
while shifting in sign bits.
VEX.NDS.128.66.0F.WIG E1 /r
VPSRAW xmm1, xmm2, xmm3/m128
RVM V/V AVX Shift words in xmm2 right by amount specified
in xmm3/m128 while shifting in sign bits.
VEX.NDD.128.66.0F.WIG 71 /4 ib
VPSRAW xmm1, xmm2, imm8
VMI V/V AVX Shift words in xmm2 right by imm8 while
shifting in sign bits.
VEX.NDS.128.66.0F.WIG E2 /r
VPSRAD xmm1, xmm2, xmm3/m128
RVM V/V AVX Shift doublewords in xmm2 right by amount
specified in xmm3/m128 while shifting in sign
bits.
VEX.NDD.128.66.0F.WIG 72 /4 ib
VPSRAD xmm1, xmm2, imm8
VMI V/V AVX Shift doublewords in xmm2 right by imm8
while shifting in sign bits.
VEX.NDS.256.66.0F.WIG E1 /r
VPSRAW ymm1, ymm2, xmm3/m128
RVM V/V AVX2 Shift words in ymm2 right by amount specified
in xmm3/m128 while shifting in sign bits.
VEX.NDD.256.66.0F.WIG 71 /4 ib
VPSRAW ymm1, ymm2, imm8
VMI V/V AVX2 Shift words in ymm2 right by imm8 while
shifting in sign bits.
VEX.NDS.256.66.0F.WIG E2 /r
VPSRAD ymm1, ymm2, xmm3/m128
RVM V/V AVX2 Shift doublewords in ymm2 right by amount
specified in xmm3/m128 while shifting in sign
bits.
VEX.NDD.256.66.0F.WIG 72 /4 ib
VPSRAD ymm1, ymm2, imm8
VMI V/V AVX2 Shift doublewords in ymm2 right by imm8
while shifting in sign bits.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
PSRAW/PSRADShift Packed Data Right Arithmetic
INSTRUCTION SET REFERENCE, N-Z
4-224 Vol. 2B
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Shifts the bits in the individual data elements (words or doublewords) in the destination operand (first operand) to
the right by the number of bits specified in the count operand (second operand). As the bits in the data elements
are shifted right, the empty high-order bits are filled with the initial value of the sign bit of the data element. If the
value specified by the count operand is greater than 15 (for words) or 31 (for doublewords), each destination data
element is filled with the initial value of the sign bit of the element. (Figure 4-14 gives an example of shifting words
in a 64-bit operand.)
Note that only the first 64-bits of a 128-bit count operand are checked to compute the count. If the second source
operand is a memory address, 128 bits are loaded.
The (V)PSRAW instruction shifts each of the words in the destination operand to the right by the number of bits
specified in the count operand, and the (V)PSRAD instruction shifts each of the doublewords in the destination
operand.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
Legacy SSE instructions: The destination operand is an MMX technology register; the count operand can be either
an MMX technology register or an 64-bit memory location.
128-bit Legacy SSE version: The destination and first source operands are XMM registers. Bits (VLMAX-1:128) of
the corresponding YMM destination register remain unchanged. The count operand can be either an XMM register
or a 128-bit memory location or an 8-bit immediate. If the count operand is a memory address, 128 bits are loaded
but the upper 64 bits are ignored.
VEX.128 encoded version: The destination and first source operands are XMM registers. Bits (VLMAX-1:128) of the
destination YMM register are zeroed. The count operand can be either an XMM register or a 128-bit memory loca-
tion or an 8-bit immediate. If the count operand is a memory address, 128 bits are loaded but the upper 64 bits are
ignored.
VEX.256 encoded version: The destination and first source operands are YMM registers. The count operand can be
either an XMM register or a 128-bit memory location or an 8-bit immediate.
Note: For shifts with an immediate count (VEX.128.66.0F 71-73 /4), VEX.vvvv encodes the destination register,
and VEX.B + ModRM.r/m encodes the source register. VEX.L must be 0, otherwise instructions will #UD.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
MI ModRM:r/m(r, w) imm8 NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m(r) NA
VMI VEX.vvvv (w) ModRM:r/m (r) imm8 NA
F ig u re 4 -1 4 . P S R AW a n d P S R AD I n st ru ct io n O p e ra t io n U sin g a 6 4 -b it O p e ra n d
DEST
DEST
Pre-Shift
Post-Shift
Shift Right
X0
X0 >> COUNT
X3 X2 X1
X1 >> COUNT X2 >> COUNT X3 >> COUNT
with Sign
Extension
PSRAW/PSRADShift Packed Data Right Arithmetic
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-225
O p e ra t io n
P S R AW (w it h 6 4 -b it o p e ra n d )
IF (COUNT > 15)
THEN COUNT 16;
FI;
DEST[15:0] SignExtend(DEST[15:0] >> COUNT);
(* Repeat shift operation for 2nd and 3rd words *)
DEST[63:48] SignExtend(DEST[63:48] >> COUNT);
P S R AD (w it h 6 4 -b it o p e ra n d )
IF (COUNT > 31)
THEN COUNT 32;
FI;
DEST[31:0] SignExtend(DEST[31:0] >> COUNT);
DEST[63:32] SignExtend(DEST[63:32] >> COUNT);
P S R AW (w it h 1 2 8 -b it o p e ra n d )
COUNT COUNT_SOURCE[63:0];
IF (COUNT > 15)
THEN COUNT 16;
FI;
DEST[15:0] SignExtend(DEST[15:0] >> COUNT);
(* Repeat shift operation for 2nd through 7th words *)
DEST[127:112] SignExtend(DEST[127:112] >> COUNT);
P S R AD (w it h 1 2 8 -b it o p e ra n d )
COUNT COUNT_SOURCE[63:0];
IF (COUNT > 31)
THEN COUNT 32;
FI;
DEST[31:0] SignExtend(DEST[31:0] >> COUNT);
(* Repeat shift operation for 2nd and 3rd doublewords *)
DEST[127:96] SignExtend(DEST[127:96] >>COUNT);
P S R AW (x m m , x m m , x m m /m 1 2 8 )
DEST[127:0] ARITHMETIC_RIGHT_SHIFT_WORDS(DEST, SRC)
DEST[VLMAX-1:128] (Unmodified)
P S R AW (x m m , im m 8 )
DEST[127:0] ARITHMETIC_RIGHT_SHIFT_WORDS(DEST, imm8)
DEST[VLMAX-1:128] (Unmodified)
VP S R AW (x m m , x m m , x m m /m 1 2 8 )
DEST[127:0] ARITHMETIC_RIGHT_SHIFT_WORDS(SRC1, SRC2)
DEST[VLMAX-1:128] 0
VP S R AW (x m m , im m 8 )
DEST[127:0] ARITHMETIC_RIGHT_SHIFT_WORDS(SRC1, imm8)
DEST[VLMAX-1:128] 0
PSRAW/PSRADShift Packed Data Right Arithmetic
INSTRUCTION SET REFERENCE, N-Z
4-226 Vol. 2B
P S R AD (x m m , x m m , x m m /m 1 2 8 )
DEST[127:0] ARITHMETIC_RIGHT_SHIFT_DWORDS(DEST, SRC)
DEST[VLMAX-1:128] (Unmodified)
P S R AD (x m m , im m 8 )
DEST[127:0] ARITHMETIC_RIGHT_SHIFT_DWORDS(DEST, imm8)
DEST[VLMAX-1:128] (Unmodified)
VP S R AD (x m m , x m m , x m m /m 1 2 8 )
DEST[127:0] ARITHMETIC_RIGHT_SHIFT_DWORDS(SRC1, SRC2)
DEST[VLMAX-1:128] 0
VP S R AD (x m m , im m 8 )
DEST[127:0] ARITHMETIC_RIGHT_SHIFT_DWORDS(SRC1, imm8)
DEST[VLMAX-1:128] 0
VP S R AW (y m m , y m m , x m m /m 1 2 8 )
DEST[255:0] ARITHMETIC_RIGHT_SHIFT_WORDS_256b(SRC1, SRC2)
VP S R AW (y m m , im m 8 )
DEST[255:0] ARITHMETIC_RIGHT_SHIFT_WORDS_256b(SRC1, imm8)
VP S R AD (y m m , y m m , x m m /m 1 2 8 )
DEST[255:0] ARITHMETIC_RIGHT_SHIFT_DWORDS_256b(SRC1, SRC2)
VP S R AD (y m m , im m 8 )
DEST[255:0] ARITHMETIC_RIGHT_SHIFT_DWORDS_256b(SRC1, imm8)
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t s
PSRAW: __m64 _mm_srai_pi16 (__m64 m, int count)
PSRAW: __m64 _mm_sra_pi16 (__m64 m, __m64 count)
(V)PSRAW: __m128i _mm_srai_epi16(__m128i m, int count)
(V)PSRAW: __m128i _mm_sra_epi16(__m128i m, __m128i count)
VPSRAW: __m256i _mm256_srai_epi16 (__m256i m, int count)
VPSRAW: __m256i _mm256_sra_epi16 (__m256i m, __m128i count)
PSRAD: __m64 _mm_srai_pi32 (__m64 m, int count)
PSRAD: __m64 _mm_sra_pi32 (__m64 m, __m64 count)
(V)PSRAD: __m128i _mm_srai_epi32 (__m128i m, int count)
(V)PSRAD: __m128i _mm_sra_epi32 (__m128i m, __m128i count)
VPSRAD: __m256i _mm256_srai_epi32 (__m256i m, int count)
VPSRAD: __m256i _mm256_sra_epi32 (__m256i m, __m128i count)
F la g s Af fe ct e d
None.
N u m e ric E x ce p t io n s
None.
PSRAW/PSRADShift Packed Data Right Arithmetic
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-227
O t h e r E x ce p t io n s
See Exceptions Type 4 and 7 for non-VEX-encoded instructions; additionally
#UD If VEX.L = 1.
PSRLDQShift Double Quadword Right Logical
INSTRUCTION SET REFERENCE, N-Z
4-228 Vol. 2B
P S R LD Q S h ift D o u b le Q u a d w o rd R ig h t Lo g ica l
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Shifts the destination operand (first operand) to the right by the number of bytes specified in the count operand
(second operand). The empty high-order bytes are cleared (set to all 0s). If the value specified by the count
operand is greater than 15, the destination operand is set to all 0s. The count operand is an 8-bit immediate.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
128-bit Legacy SSE version: The source and destination operands are the same. Bits (VLMAX-1:128) of the corre-
sponding YMM destination register remain unchanged.
VEX.128 encoded version: The source and destination operands are XMM registers. Bits (VLMAX-1:128) of the
destination YMM register are zeroed.
VEX.256 encoded version: The source operand is a YMM register. The destination operand is a YMM register. The
count operand applies to both the low and high 128-bit lanes.
Note: VEX.vvvv encodes the destination register, and VEX.B + ModRM.r/m encodes the source register. VEX.L must
be 0, otherwise instructions will #UD.
O p e ra t io n
P S R LD Q (1 2 8 -b it Le g a cy S S E v e rsio n )
TEMP COUNT
IF (TEMP >15) THEN TEMP 16; FI
DEST DEST >>(TEMP * 8)
DEST[VLMAX-1:128] (Unmodified)
VP S R LD Q (VE X.1 2 8 e n co d e d v e rsio n )
TEMP COUNT
IF (TEMP >15) THEN TEMP 16; FI
DEST SRC >>(TEMP * 8)
DEST[VLMAX-1:128] 0
VP S R LD Q (VE X.2 5 6 e n co d e d v e rsio n )
TEMP COUNT
IF (TEMP >15) THEN TEMP 16; FI
DEST[127:0] SRC[127:0] >>(TEMP * 8)
DEST[255:128] SRC[255:128] >>(TEMP * 8)
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 73 /3 ib
PSRLDQ xmm1, imm8
MI V/V SSE2 Shift xmm1 right by imm8 while shifting in 0s.
VEX.NDD.128.66.0F.WIG 73 /3 ib
VPSRLDQ xmm1, xmm2, imm8
VMI V/V AVX Shift xmm2 right by imm8 bytes while shifting
in 0s.
VEX.NDD.256.66.0F.WIG 73 /3 ib
VPSRLDQ ymm1, ymm2, imm8
VMI V/V AVX2 Shift ymm1 right by imm8 bytes while shifting
in 0s.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
MI ModRM:r/m (r, w) imm8 NA NA
VMI VEX.vvvv (w) ModRM:r/m (r) imm8 NA
PSRLDQShift Double Quadword Right Logical
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-229
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t s
(V)PSRLDQ: __m128i _mm_srli_si128 ( __m128i a, int imm)
VPSRLDQ: __m256i _mm256_srli_si256 ( __m256i a, const int imm)
F la g s Af fe ct e d
None.
N u m e ric E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 7; additionally
#UD If VEX.L = 1.
PSRLW/PSRLD/PSRLQShift Packed Data Right Logical
INSTRUCTION SET REFERENCE, N-Z
4-230 Vol. 2B
P S R LW/P S R LD /P S R LQ S h ift P a cke d D a t a R ig h t Lo g ica l
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F D1 /r
1
PSRLW mm, mm/m64
RM V/V MMX Shift words in mm right by amount specified in
mm/m64 while shifting in 0s.
66 0F D1 /r
PSRLW xmm1, xmm2/m128
RM V/V SSE2 Shift words in xmm1 right by amount
specified in xmm2/m128 while shifting in 0s.
0F 71 /2 ib
1
PSRLW mm, imm8
MI V/V MMX Shift words in mm right by imm8 while shifting
in 0s.
66 0F 71 /2 ib
PSRLW xmm1, imm8
MI V/V SSE2 Shift words in xmm1 right by imm8 while
shifting in 0s.
0F D2 /r
1
PSRLD mm, mm/m64
RM V/V MMX Shift doublewords in mm right by amount
specified in mm/m64 while shifting in 0s.
66 0F D2 /r
PSRLD xmm1, xmm2/m128
RM V/V SSE2 Shift doublewords in xmm1 right by amount
specified in xmm2 /m128 while shifting in 0s.
0F 72 /2 ib
1
PSRLD mm, imm8
MI V/V MMX Shift doublewords in mm right by imm8 while
shifting in 0s.
66 0F 72 /2 ib
PSRLD xmm1, imm8
MI V/V SSE2 Shift doublewords in xmm1 right by imm8
while shifting in 0s.
0F D3 /r
1
PSRLQ mm, mm/m64
RM V/V MMX Shift mm right by amount specified in
mm/m64 while shifting in 0s.
66 0F D3 /r
PSRLQ xmm1, xmm2/m128
RM V/V SSE2 Shift quadwords in xmm1 right by amount
specified in xmm2/m128 while shifting in 0s.
0F 73 /2 ib
1
PSRLQ mm, imm8
MI V/V MMX Shift mm right by imm8 while shifting in0s.
66 0F 73 /2 ib
PSRLQ xmm1, imm8
MI V/V SSE2 Shift quadwords in xmm1 right by imm8 while
shifting in 0s.
VEX.NDS.128.66.0F.WIG D1 /r
VPSRLW xmm1, xmm2, xmm3/m128
RVM V/V AVX Shift words in xmm2 right by amount
specified in xmm3/m128 while shifting in 0s.
VEX.NDD.128.66.0F.WIG 71 /2 ib
VPSRLW xmm1, xmm2, imm8
VMI V/V AVX Shift words in xmm2 right by imm8 while
shifting in 0s.
VEX.NDS.128.66.0F.WIG D2 /r
VPSRLD xmm1, xmm2, xmm3/m128
RVM V/V AVX Shift doublewords in xmm2 right by amount
specified in xmm3/m128 while shifting in 0s.
VEX.NDD.128.66.0F.WIG 72 /2 ib
VPSRLD xmm1, xmm2, imm8
VMI V/V AVX Shift doublewords in xmm2 right by imm8
while shifting in 0s.
VEX.NDS.128.66.0F.WIG D3 /r
VPSRLQ xmm1, xmm2, xmm3/m128
RVM V/V AVX Shift quadwords in xmm2 right by amount
specified in xmm3/m128 while shifting in 0s.
VEX.NDD.128.66.0F.WIG 73 /2 ib
VPSRLQ xmm1, xmm2, imm8
VMI V/V AVX Shift quadwords in xmm2 right by imm8 while
shifting in 0s.
VEX.NDS.256.66.0F.WIG D1 /r
VPSRLW ymm1, ymm2, xmm3/m128
RVM V/V AVX2 Shift words in ymm2 right by amount specified
in xmm3/m128 while shifting in 0s.
VEX.NDD.256.66.0F.WIG 71 /2 ib
VPSRLW ymm1, ymm2, imm8
VMI V/V AVX2 Shift words in ymm2 right by imm8 while
shifting in 0s.
PSRLW/PSRLD/PSRLQShift Packed Data Right Logical
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-231
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Shifts the bits in the individual data elements (words, doublewords, or quadword) in the destination operand (first
operand) to the right by the number of bits specified in the count operand (second operand). As the bits in the data
elements are shifted right, the empty high-order bits are cleared (set to 0). If the value specified by the count
operand is greater than 15 (for words), 31 (for doublewords), or 63 (for a quadword), then the destination operand
is set to all 0s. Figure 4-15 gives an example of shifting words in a 64-bit operand.
Note that only the first 64-bits of a 128-bit count operand are checked to compute the count.
The (V)PSRLW instruction shifts each of the words in the destination operand to the right by the number of bits
specified in the count operand; the (V)PSRLD instruction shifts each of the doublewords in the destination operand;
and the PSRLQ instruction shifts the quadword (or quadwords) in the destination operand.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
Legacy SSE instructions: The destination operand is an MMX technology register; the count operand can be either
an MMX technology register or an 64-bit memory location.
128-bit Legacy SSE version: The destination operand is an XMM register; the count operand can be either an XMM
register or a 128-bit memory location, or an 8-bit immediate. If the count operand is a memory address, 128 bits
VEX.NDS.256.66.0F.WIG D2 /r
VPSRLD ymm1, ymm2, xmm3/m128
RVM V/V AVX2 Shift doublewords in ymm2 right by amount
specified in xmm3/m128 while shifting in 0s.
VEX.NDD.256.66.0F.WIG 72 /2 ib
VPSRLD ymm1, ymm2, imm8
VMI V/V AVX2 Shift doublewords in ymm2 right by imm8
while shifting in 0s.
VEX.NDS.256.66.0F.WIG D3 /r
VPSRLQ ymm1, ymm2, xmm3/m128
RVM V/V AVX2 Shift quadwords in ymm2 right by amount
specified in xmm3/m128 while shifting in 0s.
VEX.NDD.256.66.0F.WIG 73 /2 ib
VPSRLQ ymm1, ymm2, imm8
VMI V/V AVX2 Shift quadwords in ymm2 right by imm8 while
shifting in 0s.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
MI ModRM:r/m (r, w) imm8 NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
VMI VEX.vvvv (w) ModRM:r/m (r) imm8 NA
F ig u re 4 -1 5 . P S R LW, P S R LD , a n d P S R LQ I n st ru ct io n O p e ra t io n U sin g 6 4 -b it O p e ra n d
DEST
DEST
Pre-Shift
Post-Shift
Shift Right
X0
X0 >> COUNT
X3 X2 X1
X1 >> COUNT X2 >> COUNT X3 >> COUNT
with Zero
Extension
PSRLW/PSRLD/PSRLQShift Packed Data Right Logical
INSTRUCTION SET REFERENCE, N-Z
4-232 Vol. 2B
are loaded but the upper 64 bits are ignored. Bits (VLMAX-1:128) of the corresponding YMM destination register
remain unchanged.
VEX.128 encoded version: The destination operand is an XMM register; the count operand can be either an XMM
register or a 128-bit memory location, or an 8-bit immediate. If the count operand is a memory address, 128 bits
are loaded but the upper 64 bits are ignored. Bits (VLMAX-1:128) of the destination YMM register are zeroed.
VEX.256 encoded version: The destination and first source operands are YMM registers. The count operand can be
either an YMM register or a 128-bit memory location or an 8-bit immediate.
Note: For shifts with an immediate count (VEX.128.66.0F 71-73 /2), VEX.vvvv encodes the destination register,
and VEX.B + ModRM.r/m encodes the source register. VEX.L must be 0, otherwise instructions will #UD.
O p e ra t io n
P S R LW (w it h 6 4 -b it o p e ra n d )
IF (COUNT > 15)
THEN
DEST[64:0] 0000000000000000H
ELSE
DEST[15:0] ZeroExtend(DEST[15:0] >> COUNT);
(* Repeat shift operation for 2nd and 3rd words *)
DEST[63:48] ZeroExtend(DEST[63:48] >> COUNT);
FI;
P S R LD (w it h 6 4 -b it o p e ra n d )
IF (COUNT > 31)
THEN
DEST[64:0] 0000000000000000H
ELSE
DEST[31:0] ZeroExtend(DEST[31:0] >> COUNT);
DEST[63:32] ZeroExtend(DEST[63:32] >> COUNT);
FI;
P S R LQ (w it h 6 4 -b it o p e ra n d )
IF (COUNT > 63)
THEN
DEST[64:0] 0000000000000000H
ELSE
DEST ZeroExtend(DEST >> COUNT);
FI;
P S R LW (w it h 1 2 8 -b it o p e ra n d )
COUNT COUNT_SOURCE[63:0];
IF (COUNT > 15)
THEN
DEST[128:0] 00000000000000000000000000000000H
ELSE
DEST[15:0] ZeroExtend(DEST[15:0] >> COUNT);
(* Repeat shift operation for 2nd through 7th words *)
DEST[127:112] ZeroExtend(DEST[127:112] >> COUNT);
FI;
P S R LD (w it h 1 2 8 -b it o p e ra n d )
COUNT COUNT_SOURCE[63:0];
IF (COUNT > 31)
THEN
DEST[128:0] 00000000000000000000000000000000H
PSRLW/PSRLD/PSRLQShift Packed Data Right Logical
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-233
ELSE
DEST[31:0] ZeroExtend(DEST[31:0] >> COUNT);
(* Repeat shift operation for 2nd and 3rd doublewords *)
DEST[127:96] ZeroExtend(DEST[127:96] >> COUNT);
FI;
P S R LQ (w it h 1 2 8 -b it o p e ra n d )
COUNT COUNT_SOURCE[63:0];
IF (COUNT > 15)
THEN
DEST[128:0] 00000000000000000000000000000000H
ELSE
DEST[63:0] ZeroExtend(DEST[63:0] >> COUNT);
DEST[127:64] ZeroExtend(DEST[127:64] >> COUNT);
FI;
P S R LW (x m m , x m m , x m m /m 1 2 8 )
DEST[127:0] LOGICAL_RIGHT_SHIFT_WORDS(DEST, SRC)
DEST[VLMAX-1:128] (Unmodified)
P S R LW (x m m , im m 8 )
DEST[127:0] LOGICAL_RIGHT_SHIFT_WORDS(DEST, imm8)
DEST[VLMAX-1:128] (Unmodified)
VP S R LW (x m m , x m m , x m m /m 1 2 8 )
DEST[127:0] LOGICAL_RIGHT_SHIFT_WORDS(SRC1, SRC2)
DEST[VLMAX-1:128] 0
VP S R LW (x m m , im m 8 )
DEST[127:0] LOGICAL_RIGHT_SHIFT_WORDS(SRC1, imm8)
DEST[VLMAX-1:128] 0
P S R LD (x m m , x m m , x m m /m 1 2 8 )
DEST[127:0] LOGICAL_RIGHT_SHIFT_DWORDS(DEST, SRC)
DEST[VLMAX-1:128] (Unmodified)
P S R LD (x m m , im m 8 )
DEST[127:0] LOGICAL_RIGHT_SHIFT_DWORDS(DEST, imm8)
DEST[VLMAX-1:128] (Unmodified)
VP S R LD (x m m , x m m , x m m /m 1 2 8 )
DEST[127:0] LOGICAL_RIGHT_SHIFT_DWORDS(SRC1, SRC2)
DEST[VLMAX-1:128] 0
VP S R LD (x m m , im m 8 )
DEST[127:0] LOGICAL_RIGHT_SHIFT_DWORDS(SRC1, imm8)
DEST[VLMAX-1:128] 0
P S R LQ (x m m , x m m , x m m /m 1 2 8 )
DEST[127:0] LOGICAL_RIGHT_SHIFT_QWORDS(DEST, SRC)
DEST[VLMAX-1:128] (Unmodified)
P S R LQ (x m m , im m 8 )
DEST[127:0] LOGICAL_RIGHT_SHIFT_QWORDS(DEST, imm8)
PSRLW/PSRLD/PSRLQShift Packed Data Right Logical
INSTRUCTION SET REFERENCE, N-Z
4-234 Vol. 2B
DEST[VLMAX-1:128] (Unmodified)
VP S R LQ (x m m , x m m , x m m /m 1 2 8 )
DEST[127:0] LOGICAL_RIGHT_SHIFT_QWORDS(SRC1, SRC2)
DEST[VLMAX-1:128] 0
VP S R LQ (x m m , im m 8 )
DEST[127:0] LOGICAL_RIGHT_SHIFT_QWORDS(SRC1, imm8)
DEST[VLMAX-1:128] 0
VP S R LW (y m m , y m m , x m m /m 1 2 8 )
DEST[255:0] LOGICAL_RIGHT_SHIFT_WORDS_256b(SRC1, SRC2)
VP S R LW (y m m , im m 8 )
DEST[255:0] LOGICAL_RIGHT_SHIFT_WORDS_256b(SRC1, imm8)
VP S R LD (y m m , y m m , x m m /m 1 2 8 )
DEST[255:0] LOGICAL_RIGHT_SHIFT_DWORDS_256b(SRC1, SRC2)
VP S R LD (y m m , im m 8 )
DEST[255:0] LOGICAL_RIGHT_SHIFT_DWORDS_256b(SRC1, imm8)
VP S R LQ (y m m , y m m , x m m /m 1 2 8 )
DEST[255:0] LOGICAL_RIGHT_SHIFT_QWORDS_256b(SRC1, SRC2)
VP S R LQ (y m m , im m 8 )
DEST[255:0] LOGICAL_RIGHT_SHIFT_QWORDS_256b(SRC1, imm8)
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t s
PSRLW: __m64 _mm_srli_pi16(__m64 m, int count)
PSRLW: __m64 _mm_srl_pi16 (__m64 m, __m64 count)
(V)PSRLW: __m128i _mm_srli_epi16 (__m128i m, int count)
(V)PSRLW: __m128i _mm_srl_epi16 (__m128i m, __m128i count)
VPSRLW: __m256i _mm256_srli_epi16 (__m256i m, int count)
VPSRLW: __m256i _mm256_srl_epi16 (__m256i m, __m128i count)
PSRLD: __m64 _mm_srli_pi32 (__m64 m, int count)
PSRLD: __m64 _mm_srl_pi32 (__m64 m, __m64 count)
(V)PSRLD: __m128i _mm_srli_epi32 (__m128i m, int count)
(V)PSRLD: __m128i _mm_srl_epi32 (__m128i m, __m128i count)
VPSRLD: __m256i _mm256_srli_epi32 (__m256i m, int count)
VPSRLD: __m256i _mm256_srl_epi32 (__m256i m, __m128i count)
PSRLQ: __m64 _mm_srli_si64 (__m64 m, int count)
PSRLQ: __m64 _mm_srl_si64 (__m64 m, __m64 count)
(V)PSRLQ: __m128i _mm_srli_epi64 (__m128i m, int count)
(V)PSRLQ: __m128i _mm_srl_epi64 (__m128i m, __m128i count)
VPSRLQ: __m256i _mm256_srli_epi64 (__m256i m, int count)
VPSRLQ: __m256i _mm256_srl_epi64 (__m256i m, __m128i count)
PSRLW/PSRLD/PSRLQShift Packed Data Right Logical
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-235
F la g s Af fe ct e d
None.
N u m e ric E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4 and 7 for non-VEX-encoded instructions; additionally
#UD If VEX.L = 1.
PSUBB/PSUBW/PSUBDSubtract Packed Integers
INSTRUCTION SET REFERENCE, N-Z
4-236 Vol. 2B
P S U B B /P S U B W/P S U B D S u b t ra ct P a cke d I n t e g e rs
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a SIMD subtract of the packed integers of the source operand (second operand) from the packed integers
of the destination operand (first operand), and stores the packed integer results in the destination operand. See
Figure 9-4 in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1, for an illustration of
a SIMD operation. Overflow is handled with wraparound, as described in the following paragraphs.
The (V)PSUBB instruction subtracts packed byte integers. When an individual result is too large or too small to be
represented in a byte, the result is wrapped around and the low 8 bits are written to the destination element.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F F8 /r
1
PSUBB mm, mm/m64
RM V/V MMX Subtract packed byte integers in mm/m64
frompacked byte integers in mm.
66 0F F8 /r
PSUBB xmm1, xmm2/m128
RM V/V SSE2 Subtract packed byte integers in xmm2/m128
frompacked byte integers in xmm1.
0F F9 /r
1
PSUBW mm, mm/m64
RM V/V MMX Subtract packed word integers in mm/m64
from packed word integers in mm.
66 0F F9 /r
PSUBW xmm1, xmm2/m128
RM V/V SSE2 Subtract packed word integers in
xmm2/m128 from packed word integers in
xmm1.
0F FA /r
1
PSUBD mm, mm/m64
RM V/V MMX Subtract packed doubleword integers in
mm/m64 frompacked doubleword integers in
mm.
66 0F FA /r
PSUBD xmm1, xmm2/m128
RM V/V SSE2 Subtract packed doubleword integers in
xmm2/mem128 from packed doubleword
integers in xmm1.
VEX.NDS.128.66.0F.WIG F8 /r
VPSUBB xmm1, xmm2, xmm3/m128
RVM V/V AVX Subtract packed byte integers in xmm3/m128
fromxmm2.
VEX.NDS.128.66.0F.WIG F9 /r
VPSUBW xmm1, xmm2, xmm3/m128
RVM V/V AVX Subtract packed word integers in
xmm3/m128 fromxmm2.
VEX.NDS.128.66.0F.WIG FA /r
VPSUBD xmm1, xmm2, xmm3/m128
RVM V/V AVX Subtract packed doubleword integers in
xmm3/m128 fromxmm2.
VEX.NDS.256.66.0F.WIG F8 /r
VPSUBB ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Subtract packed byte integers in ymm3/m256
fromymm2.
VEX.NDS.256.66.0F.WIG F9 /r
VPSUBW ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Subtract packed word integers in
ymm3/m256 fromymm2.
VEX.NDS.256.66.0F.WIG FA /r
VPSUBD ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Subtract packed doubleword integers in
ymm3/m256 fromymm2.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
PSUBB/PSUBW/PSUBDSubtract Packed Integers
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-237
The (V)PSUBW instruction subtracts packed word integers. When an individual result is too large or too small to be
represented in a word, the result is wrapped around and the low 16 bits are written to the destination element.
The (V)PSUBD instruction subtracts packed doubleword integers. When an individual result is too large or too small
to be represented in a doubleword, the result is wrapped around and the low 32 bits are written to the destination
element.
Note that the (V)PSUBB, (V)PSUBW, and (V)PSUBD instructions can operate on either unsigned or signed (two's
complement notation) packed integers; however, it does not set bits in the EFLAGS register to indicate overflow
and/or a carry. To prevent undetected overflow conditions, software must control the ranges of values upon which
it operates.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
Legacy SSE version: When operating on 64-bit operands, the destination operand must be an MMX technology
register and the source operand can be either an MMX technology register or a 64-bit memory location.
128-bit Legacy SSE version: The second source operand is an XMM register or a 128-bit memory location. The first
source operand and destination operands are XMM registers. Bits (VLMAX-1:128) of the corresponding YMM desti-
nation register remain unchanged.
VEX.128 encoded version: The second source operand is an XMM register or a 128-bit memory location. The first
source operand and destination operands are XMM registers. Bits (VLMAX-1:128) of the destination YMM register
are zeroed.
VEX.256 encoded version: The second source operand is an YMM register or a 256-bit memory location. The first
source operand and destination operands are YMM registers.
Note: VEX.L must be 0, otherwise instructions will #UD.
O p e ra t io n
P S U B B (w it h 6 4 -b it o p e ra n d s)
DEST[7:0] DEST[7:0] SRC[7:0];
(* Repeat subtract operation for 2nd through 7th byte *)
DEST[63:56] DEST[63:56] SRC[63:56];
P S U B B (w it h 1 2 8 -b it o p e ra n d s)
DEST[7:0] DEST[7:0] SRC[7:0];
(* Repeat subtract operation for 2nd through 14th byte *)
DEST[127:120] DEST[111:120] SRC[127:120];
VP S U B B (V E X.1 2 8 e n co d e d v e rsio n )
DEST[7:0] SRC1[7:0]-SRC2[7:0]
DEST[15:8] SRC1[15:8]-SRC2[15:8]
DEST[23:16] SRC1[23:16]-SRC2[23:16]
DEST[31:24] SRC1[31:24]-SRC2[31:24]
DEST[39:32] SRC1[39:32]-SRC2[39:32]
DEST[47:40] SRC1[47:40]-SRC2[47:40]
DEST[55:48] SRC1[55:48]-SRC2[55:48]
DEST[63:56] SRC1[63:56]-SRC2[63:56]
DEST[71:64] SRC1[71:64]-SRC2[71:64]
DEST[79:72] SRC1[79:72]-SRC2[79:72]
DEST[87:80] SRC1[87:80]-SRC2[87:80]
DEST[95:88] SRC1[95:88]-SRC2[95:88]
DEST[103:96] SRC1[103:96]-SRC2[103:96]
DEST[111:104] SRC1[111:104]-SRC2[111:104]
DEST[119:112] SRC1[119:112]-SRC2[119:112]
DEST[127:120] SRC1[127:120]-SRC2[127:120]
DEST[VLMAX-1:128] 00
PSUBB/PSUBW/PSUBDSubtract Packed Integers
INSTRUCTION SET REFERENCE, N-Z
4-238 Vol. 2B
VP S U B B (V E X.2 5 6 e n co d e d v e rsio n )
DEST[7:0] SRC1[7:0]-SRC2[7:0]
DEST[15:8] SRC1[15:8]-SRC2[15:8]
DEST[23:16] SRC1[23:16]-SRC2[23:16]
DEST[31:24] SRC1[31:24]-SRC2[31:24]
DEST[39:32] SRC1[39:32]-SRC2[39:32]
DEST[47:40] SRC1[47:40]-SRC2[47:40]
DEST[55:48] SRC1[55:48]-SRC2[55:48]
DEST[63:56] SRC1[63:56]-SRC2[63:56]
DEST[71:64] SRC1[71:64]-SRC2[71:64]
DEST[79:72] SRC1[79:72]-SRC2[79:72]
DEST[87:80] SRC1[87:80]-SRC2[87:80]
DEST[95:88] SRC1[95:88]-SRC2[95:88]
DEST[103:96] SRC1[103:96]-SRC2[103:96]
DEST[111:104] SRC1[111:104]-SRC2[111:104]
DEST[119:112] SRC1[119:112]-SRC2[119:112]
DEST[127:120] SRC1[127:120]-SRC2[127:120]
DEST[135:128] SRC1[135:128]-SRC2[135:128]
DEST[143:136] SRC1[143:136]-SRC2[143:136]
DEST[151:144] SRC1[151:144]-SRC2[151:144]
DEST[159:152] SRC1[159:152]-SRC2[159:152]
DEST[167:160] SRC1[167:160]-SRC2[167:160]
DEST[175:168] SRC1[175:168]-SRC2[175:168]
DEST[183:176] SRC1[183:176]-SRC2[183:176]
DEST[191:184] SRC1[191:184]-SRC2[191:184]
DEST[199:192] SRC1[199:192]-SRC2[199:192]
DEST[207:200] SRC1[207:200]-SRC2[207:200]
DEST[215:208] SRC1[215:208]-SRC2[215:208]
DEST[223:216] SRC1[223:216]-SRC2[223:216]
DEST[231:224] SRC1[231:224]-SRC2[231:224]
DEST[239:232] SRC1[239:232]-SRC2[239:232]
DEST[247:240] SRC1[247:240]-SRC2[247:240]
DEST[255:248] SRC1[255:248]-SRC2[255:248]
P S U B W (w it h 6 4 -b it o p e ra n d s)
DEST[15:0] DEST[15:0] SRC[15:0];
(* Repeat subtract operation for 2nd and 3rd word *)
DEST[63:48] DEST[63:48] SRC[63:48];
P S U B W (w it h 1 2 8 -b it o p e ra n d s)
DEST[15:0] DEST[15:0] SRC[15:0];
(* Repeat subtract operation for 2nd through 7th word *)
DEST[127:112] DEST[127:112] SRC[127:112];
VP S U B W (VE X.1 2 8 e n co d e d v e rsio n )
DEST[15:0] SRC1[15:0]-SRC2[15:0]
DEST[31:16] SRC1[31:16]-SRC2[31:16]
DEST[47:32] SRC1[47:32]-SRC2[47:32]
DEST[63:48] SRC1[63:48]-SRC2[63:48]
DEST[79:64] SRC1[79:64]-SRC2[79:64]
DEST[95:80] SRC1[95:80]-SRC2[95:80]
DEST[111:96] SRC1[111:96]-SRC2[111:96]
DEST[127:112] SRC1[127:112]-SRC2[127:112]
PSUBB/PSUBW/PSUBDSubtract Packed Integers
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-239
DEST[VLMAX-1:128] 0
VP S U B W (VE X.2 5 6 e n co d e d v e rsio n )
DEST[15:0] SRC1[15:0]-SRC2[15:0]
DEST[31:16] SRC1[31:16]-SRC2[31:16]
DEST[47:32] SRC1[47:32]-SRC2[47:32]
DEST[63:48] SRC1[63:48]-SRC2[63:48]
DEST[79:64] SRC1[79:64]-SRC2[79:64]
DEST[95:80] SRC1[95:80]-SRC2[95:80]
DEST[111:96] SRC1[111:96]-SRC2[111:96]
DEST[127:112] SRC1[127:112]-SRC2[127:112]
DEST[143:128] SRC1[143:128]-SRC2[143:128]
DEST[159:144] SRC1[159:144]-SRC2[159:144]
DEST[175:160] SRC1[175:160]-SRC2[175:160]
DEST[191:176] SRC1[191:176]-SRC2[191:176]
DEST[207:192] SRC1207:192]-SRC2[207:192]
DEST[223:208] SRC1[223:208]-SRC2[223:208]
DEST[239:224] SRC1[239:224]-SRC2[239:224]
DEST[255:240] SRC1[255:240]-SRC2[255:240]
P S U B D (w it h 6 4 -b it o p e ra n d s)
DEST[31:0] DEST[31:0] SRC[31:0];
DEST[63:32] DEST[63:32] SRC[63:32];
P S U B D (w it h 1 2 8 -b it o p e ra n d s)
DEST[31:0] DEST[31:0] SRC[31:0];
(* Repeat subtract operation for 2nd and 3rd doubleword *)
DEST[127:96] DEST[127:96] SRC[127:96];
VP S U B D (VE X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] SRC1[31:0]-SRC2[31:0]
DEST[63:32] SRC1[63:32]-SRC2[63:32]
DEST[95:64] SRC1[95:64]-SRC2[95:64]
DEST[127:96] SRC1[127:96]-SRC2[127:96]
DEST[VLMAX-1:128] 0
VP S U B D (VE X.2 5 6 e n co d e d v e rsio n )
DEST[31:0] SRC1[31:0]-SRC2[31:0]
DEST[63:32] SRC1[63:32]-SRC2[63:32]
DEST[95:64] SRC1[95:64]-SRC2[95:64]
DEST[127:96] SRC1[127:96]-SRC2[127:96]
DEST[159:128] SRC1[159:128]-SRC2[159:128]
DEST[191:160] SRC1[191:160]-SRC2[191:160]
DEST[223:192] SRC1[223:192]-SRC2[223:192]
DEST[255:224] SRC1[255:224]-SRC2[255:224]
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t s
PSUBB: __m64 _mm_sub_pi8(__m64 m1, __m64 m2)
(V)PSUBB: __m128i _mm_sub_epi8 ( __m128i a, __m128i b)
VPSUBB: __m256i _mm256_sub_epi8 ( __m256i a, __m256i b)
PSUBW: __m64 _mm_sub_pi16(__m64 m1, __m64 m2)
(V)PSUBW: __m128i _mm_sub_epi16 ( __m128i a, __m128i b)
VPSUBW: __m256i _mm256_sub_epi16 ( __m256i a, __m256i b)
PSUBB/PSUBW/PSUBDSubtract Packed Integers
INSTRUCTION SET REFERENCE, N-Z
4-240 Vol. 2B
PSUBD: __m64 _mm_sub_pi32(__m64 m1, __m64 m2)
(V)PSUBD: __m128i _mm_sub_epi32 ( __m128i a, __m128i b)
VPSUBD: __m256i _mm256_sub_epi32 ( __m256i a, __m256i b)
F la g s Af fe ct e d
None.
N u m e ric E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PSUBQSubtract Packed Quadword Integers
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-241
P S U B Q S u b t ra ct P a cke d Q u a d w o rd I n t e g e rs
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Subtracts the second operand (source operand) from the first operand (destination operand) and stores the result
in the destination operand. When packed quadword operands are used, a SIMD subtract is performed. When a
quadword result is too large to be represented in 64 bits (overflow), the result is wrapped around and the low 64
bits are written to the destination element (that is, the carry is ignored).
Note that the (V)PSUBQ instruction can operate on either unsigned or signed (twos complement notation) inte-
gers; however, it does not set bits in the EFLAGS register to indicate overflow and/or a carry. To prevent undetected
overflow conditions, software must control the ranges of the values upon which it operates.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
Legacy SSE version: The source operand can be a quadword integer stored in an MMX technology register or a 64-
bit memory location.
128-bit Legacy SSE version: The second source operand is an XMM register or a 128-bit memory location. The first
source operand and destination operands are XMM registers. Bits (VLMAX-1:128) of the corresponding YMM desti-
nation register remain unchanged.
VEX.128 encoded version: The second source operand is an XMM register or a 128-bit memory location. The first
source operand and destination operands are XMM registers. Bits (VLMAX-1:128) of the destination YMM register
are zeroed.
VEX.256 encoded version: The second source operand is an YMM register or a 256-bit memory location. The first
source operand and destination operands are YMM registers.
Note: VEX.L must be 0, otherwise instructions will #UD.
O p e ra t io n
P S U B Q (w it h 6 4 -B it o p e ra n d s)
DEST[63:0] DEST[63:0] SRC[63:0];
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F FB /r
1
PSUBQ mm1, mm2/m64
RM V/V SSE2 Subtract quadword integer in mm1 from mm2
/m64.
66 0F FB /r
PSUBQ xmm1, xmm2/m128
RM V/V SSE2 Subtract packed quadword integers in xmm1
from xmm2 /m128.
VEX.NDS.128.66.0F.WIG FB/r
VPSUBQ xmm1, xmm2, xmm3/m128
RVM V/V AVX Subtract packed quadword integers in
xmm3/m128 from xmm2.
VEX.NDS.256.66.0F.WIG FB /r
VPSUBQ ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Subtract packed quadword integers in
ymm3/m256 from ymm2.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
PSUBQSubtract Packed Quadword Integers
INSTRUCTION SET REFERENCE, N-Z
4-242 Vol. 2B
P S U B Q (w it h 1 2 8 -B it o p e ra n d s)
DEST[63:0] DEST[63:0] SRC[63:0];
DEST[127:64] DEST[127:64] SRC[127:64];
VP S U B Q (V E X.1 2 8 e n co d e d v e rsio n )
DEST[63:0] SRC1[63:0]-SRC2[63:0]
DEST[127:64] SRC1[127:64]-SRC2[127:64]
DEST[VLMAX-1:128] 0
VP S U B Q (V E X.2 5 6 e n co d e d v e rsio n )
DEST[63:0] SRC1[63:0]-SRC2[63:0]
DEST[127:64] SRC1[127:64]-SRC2[127:64]
DEST[191:128] SRC1[191:128]-SRC2[191:128]
DEST[255:192] SRC1[255:192]-SRC2[255:192]
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t s
PSUBQ: __m64 _mm_sub_si64(__m64 m1, __m64 m2)
(V)PSUBQ: __m128i _mm_sub_epi64(__m128i m1, __m128i m2)
VPSUBQ: __m256i _mm256_sub_epi64(__m256i m1, __m256i m2)
F la g s Af fe ct e d
None.
N u m e ric E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PSUBSB/PSUBSWSubtract Packed Signed Integers with Signed Saturation
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-243
P S U B S B /P S U B S WS u b t ra ct P a cke d S ig n e d I n t e g e rs w it h S ig n e d S a t u ra t io n
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a SIMD subtract of the packed signed integers of the source operand (second operand) from the packed
signed integers of the destination operand (first operand), and stores the packed integer results in the destination
operand. See Figure 9-4 in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1, for an
illustration of a SIMD operation. Overflow is handled with signed saturation, as described in the following para-
graphs.
The (V)PSUBSB instruction subtracts packed signed byte integers. When an individual byte result is beyond the
range of a signed byte integer (that is, greater than 7FH or less than 80H), the saturated value of 7FH or 80H,
respectively, is written to the destination operand.
The (V)PSUBSW instruction subtracts packed signed word integers. When an individual word result is beyond the
range of a signed word integer (that is, greater than 7FFFH or less than 8000H), the saturated value of 7FFFH or
8000H, respectively, is written to the destination operand.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F E8 /r
1
PSUBSB mm, mm/m64
RM V/V MMX Subtract signed packed bytes in mm/m64
from signed packed bytes in mm and saturate
results.
66 0F E8 /r
PSUBSB xmm1, xmm2/m128
RM V/V SSE2 Subtract packed signed byte integers in
xmm2/m128 frompacked signed byte
integers in xmm1 and saturate results.
0F E9 /r
1
PSUBSW mm, mm/m64
RM V/V MMX Subtract signed packed words in mm/m64
from signed packed words in mm and saturate
results.
66 0F E9 /r
PSUBSW xmm1, xmm2/m128
RM V/V SSE2 Subtract packed signed word integers in
xmm2/m128 frompacked signed word
integers in xmm1 and saturate results.
VEX.NDS.128.66.0F.WIG E8 /r
VPSUBSB xmm1, xmm2, xmm3/m128
RVM V/V AVX Subtract packed signed byte integers in
xmm3/m128 frompacked signed byte
integers in xmm2 and saturate results.
VEX.NDS.128.66.0F.WIG E9 /r
VPSUBSW xmm1, xmm2, xmm3/m128
RVM V/V AVX Subtract packed signed word integers in
xmm3/m128 frompacked signed word
integers in xmm2 and saturate results.
VEX.NDS.256.66.0F.WIG E8 /r
VPSUBSB ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Subtract packed signed byte integers in
ymm3/m256 frompacked signed byte
integers in ymm2 and saturate results.
VEX.NDS.256.66.0F.WIG E9 /r
VPSUBSW ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Subtract packed signed word integers in
ymm3/m256 frompacked signed word
integers in ymm2 and saturate results.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m(r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
PSUBSB/PSUBSWSubtract Packed Signed Integers with Signed Saturation
INSTRUCTION SET REFERENCE, N-Z
4-244 Vol. 2B
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
Legacy SSE version: When operating on 64-bit operands, the destination operand must be an MMX technology
register and the source operand can be either an MMX technology register or a 64-bit memory location.
128-bit Legacy SSE version: The second source operand is an XMM register or a 128-bit memory location. The first
source operand and destination operands are XMM registers. Bits (VLMAX-1:128) of the corresponding YMM desti-
nation register remain unchanged.
VEX.128 encoded version: The second source operand is an XMM register or a 128-bit memory location. The first
source operand and destination operands are XMM registers. Bits (VLMAX-1:128) of the destination YMM register
are zeroed.
VEX.256 encoded version: The second source operand is an YMM register or a 256-bit memory location. The first
source operand and destination operands are YMM registers.
Note: VEX.L must be 0, otherwise instructions will #UD.
O p e ra t io n
P S U B S B (w it h 6 4 -b it o p e ra n d s)
DEST[7:0] SaturateToSignedByte (DEST[7:0] SRC (7:0]);
(* Repeat subtract operation for 2nd through 7th bytes *)
DEST[63:56] SaturateToSignedByte (DEST[63:56] SRC[63:56] );
P S U B S B (w it h 1 2 8 -b it o p e ra n d s)
DEST[7:0] SaturateToSignedByte (DEST[7:0] SRC[7:0]);
(* Repeat subtract operation for 2nd through 14th bytes *)
DEST[127:120] SaturateToSignedByte (DEST[127:120] SRC[127:120]);
VP S U B S B (VE X.1 2 8 e n co d e d v e rsio n )
DEST[7:0] SaturateToSignedByte (SRC1[7:0] - SRC2[7:0]);
(* Repeat subtract operation for 2nd through 14th bytes *)
DEST[127:120] SaturateToSignedByte (SRC1[127:120] - SRC2[127:120]);
DEST[VLMAX-1:128] 0
VP S U B S B (VE X.2 5 6 e n co d e d v e rsio n )
DEST[7:0] SaturateToSignedByte (SRC1[7:0] - SRC2[7:0]);
(* Repeat subtract operation for 2nd through 31th bytes *)
DEST[255:248] SaturateToSignedByte (SRC1[255:248] - SRC2[255:248]);
P S U B S W (w it h 6 4 -b it o p e ra n d s)
DEST[15:0] SaturateToSignedWord (DEST[15:0] SRC[15:0] );
(* Repeat subtract operation for 2nd and 7th words *)
DEST[63:48] SaturateToSignedWord (DEST[63:48] SRC[63:48] );
P S U B S W (w it h 1 2 8 -b it o p e ra n d s)
DEST[15:0] SaturateToSignedWord (DEST[15:0] SRC[15:0]);
(* Repeat subtract operation for 2nd through 7th words *)
DEST[127:112] SaturateToSignedWord (DEST[127:112] SRC[127:112]);
VP S U B S W (V E X.1 2 8 e n co d e d v e rsio n )
DEST[15:0] SaturateToSignedWord (SRC1[15:0] - SRC2[15:0]);
(* Repeat subtract operation for 2nd through 7th words *)
DEST[127:112] SaturateToSignedWord (SRC1[127:112] - SRC2[127:112]);
DEST[VLMAX-1:128] 0
PSUBSB/PSUBSWSubtract Packed Signed Integers with Signed Saturation
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-245
VP S U B S W (V E X.2 5 6 e n co d e d v e rsio n )
DEST[15:0] SaturateToSignedWord (SRC1[15:0] - SRC2[15:0]);
(* Repeat subtract operation for 2nd through 15th words *)
DEST[255:240] SaturateToSignedWord (SRC1[255:240] - SRC2[255:240]);
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t s
PSUBSB: __m64 _mm_subs_pi8(__m64 m1, __m64 m2)
(V)PSUBSB: __m128i _mm_subs_epi8(__m128i m1, __m128i m2)
VPSUBSB: __m256i _mm256_subs_epi8(__m256i m1, __m256i m2)
PSUBSW: __m64 _mm_subs_pi16(__m64 m1, __m64 m2)
(V)PSUBSW: __m128i _mm_subs_epi16(__m128i m1, __m128i m2)
VPSUBSW: __m256i _mm256_subs_epi16(__m256i m1, __m256i m2)
F la g s Af fe ct e d
None.
N u m e ric E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PSUBUSB/PSUBUSWSubtract Packed Unsigned Integers with Unsigned Saturation
INSTRUCTION SET REFERENCE, N-Z
4-246 Vol. 2B
P S U B U S B /P S U B U S WS u b t ra ct P a cke d U n sig n e d I n t e g e rs w it h U n sig n e d S a t u ra t io n
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a SIMD subtract of the packed unsigned integers of the source operand (second operand) from the
packed unsigned integers of the destination operand (first operand), and stores the packed unsigned integer
results in the destination operand. See Figure 9-4 in the Intel 64 and IA-32 Architectures Software Developers
Manual, Volume 1, for an illustration of a SIMD operation. Overflow is handled with unsigned saturation, as
described in the following paragraphs.
These instructions can operate on either 64-bit or 128-bit operands.
The (V)PSUBUSB instruction subtracts packed unsigned byte integers. When an individual byte result is less than
zero, the saturated value of 00H is written to the destination operand.
The (V)PSUBUSW instruction subtracts packed unsigned word integers. When an individual word result is less than
zero, the saturated value of 0000H is written to the destination operand.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F D8 /r
1
PSUBUSB mm, mm/m64
RM V/V MMX Subtract unsigned packed bytes in mm/m64
from unsigned packed bytes in mm and
saturate result.
66 0F D8 /r
PSUBUSB xmm1, xmm2/m128
RM V/V SSE2 Subtract packed unsigned byte integers in
xmm2/m128 frompacked unsigned byte
integers in xmm1 and saturate result.
0F D9 /r
1
PSUBUSW mm, mm/m64
RM V/V MMX Subtract unsigned packed words in mm/m64
from unsigned packed words in mm and
saturate result.
66 0F D9 /r
PSUBUSW xmm1, xmm2/m128
RM V/V SSE2 Subtract packed unsigned word integers in
xmm2/m128 frompacked unsigned word
integers in xmm1 and saturate result.
VEX.NDS.128.66.0F.WIG D8 /r
VPSUBUSB xmm1, xmm2, xmm3/m128
RVM V/V AVX Subtract packed unsigned byte integers in
xmm3/m128 frompacked unsigned byte
integers in xmm2 and saturate result.
VEX.NDS.128.66.0F.WIG D9 /r
VPSUBUSW xmm1, xmm2, xmm3/m128
RVM V/V AVX Subtract packed unsigned word integers in
xmm3/m128 frompacked unsigned word
integers in xmm2 and saturate result.
VEX.NDS.256.66.0F.WIG D8 /r
VPSUBUSB ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Subtract packed unsigned byte integers in
ymm3/m256 frompacked unsigned byte
integers in ymm2 and saturate result.
VEX.NDS.256.66.0F.WIG D9 /r
VPSUBUSW ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Subtract packed unsigned word integers in
ymm3/m256 frompacked unsigned word
integers in ymm2 and saturate result.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m(r) NA
PSUBUSB/PSUBUSWSubtract Packed Unsigned Integers with Unsigned Saturation
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-247
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
Legacy SSE version: When operating on 64-bit operands, the destination operand must be an MMX technology
register and the source operand can be either an MMX technology register or a 64-bit memory location.
128-bit Legacy SSE version: The second source operand is an XMM register or a 128-bit memory location. The first
source operand and destination operands are XMM registers. Bits (VLMAX-1:128) of the corresponding YMM desti-
nation register remain unchanged.
VEX.128 encoded version: The second source operand is an XMM register or a 128-bit memory location. The first
source operand and destination operands are XMM registers. Bits (VLMAX-1:128) of the destination YMM register
are zeroed.
VEX.256 encoded version: The second source operand is an YMM register or a 256-bit memory location. The first
source operand and destination operands are YMM registers.
Note: VEX.L must be 0, otherwise instructions will #UD.
O p e ra t io n
P S U B U S B (w it h 6 4 -b it o p e ra n d s)
DEST[7:0] SaturateToUnsignedByte (DEST[7:0] SRC (7:0] );
(* Repeat add operation for 2nd through 7th bytes *)
DEST[63:56] SaturateToUnsignedByte (DEST[63:56] SRC[63:56];
P S U B U S B (w it h 1 2 8 -b it o p e ra n d s)
DEST[7:0] SaturateToUnsignedByte (DEST[7:0] SRC[7:0]);
(* Repeat add operation for 2nd through 14th bytes *)
DEST[127:120] SaturateToUnSignedByte (DEST[127:120] SRC[127:120]);
VP S U B U S B (VE X.1 2 8 e n co d e d v e rsio n )
DEST[7:0] SaturateToUnsignedByte (SRC1[7:0] - SRC2[7:0]);
(* Repeat subtract operation for 2nd through 14th bytes *)
DEST[127:120] SaturateToUnsignedByte (SRC1[127:120] - SRC2[127:120]);
DEST[VLMAX-1:128] 0
VP S U B U S B (VE X.2 5 6 e n co d e d v e rsio n )
DEST[7:0] SaturateToUnsignedByte (SRC1[7:0] - SRC2[7:0]);
(* Repeat subtract operation for 2nd through 31st bytes *)
DEST[255:148] SaturateToUnsignedByte (SRC1[255:248] - SRC2[255:248]);
P S U B U S W (w it h 6 4 -b it o p e ra n d s)
DEST[15:0] SaturateToUnsignedWord (DEST[15:0] SRC[15:0] );
(* Repeat add operation for 2nd and 3rd words *)
DEST[63:48] SaturateToUnsignedWord (DEST[63:48] SRC[63:48] );
P S U B U S W (w it h 1 2 8 -b it o p e ra n d s)
DEST[15:0] SaturateToUnsignedWord (DEST[15:0] SRC[15:0]);
(* Repeat add operation for 2nd through 7th words *)
DEST[127:112] SaturateToUnSignedWord (DEST[127:112] SRC[127:112]);
VP S U B U S W (VE X.1 2 8 e n co d e d v e rsio n )
DEST[15:0] SaturateToUnsignedWord (SRC1[15:0] - SRC2[15:0]);
(* Repeat subtract operation for 2nd through 7th words *)
DEST[127:112] SaturateToUnsignedWord (SRC1[127:112] - SRC2[127:112]);
DEST[VLMAX-1:128] 0
PSUBUSB/PSUBUSWSubtract Packed Unsigned Integers with Unsigned Saturation
INSTRUCTION SET REFERENCE, N-Z
4-248 Vol. 2B
VP S U B U S W (VE X.2 5 6 e n co d e d v e rsio n )
DEST[15:0] SaturateToUnsignedWord (SRC1[15:0] - SRC2[15:0]);
(* Repeat subtract operation for 2nd through 15th words *)
DEST[255:240] SaturateToUnsignedWord (SRC1[255:240] - SRC2[255:240]);
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t s
PSUBUSB: __m64 _mm_subs_pu8(__m64 m1, __m64 m2)
(V)PSUBUSB: __m128i _mm_subs_epu8(__m128i m1, __m128i m2)
VPSUBUSB: __m256i _mm256_subs_epu8(__m256i m1, __m256i m2)
PSUBUSW: __m64 _mm_subs_pu16(__m64 m1, __m64 m2)
(V)PSUBUSW: __m128i _mm_subs_epu16(__m128i m1, __m128i m2)
VPSUBUSW: __m256i _mm256_subs_epu16(__m256i m1, __m256i m2)
F la g s Af fe ct e d
None.
N u m e ric E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PTEST- Logical Compare
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-249
P T E S T- Lo g ica l C o m p a re
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
PTEST and VPTEST set the ZF flag if all bits in the result are 0 of the bitwise AND of the first source operand (first
operand) and the second source operand (second operand). VPTEST sets the CF flag if all bits in the result are 0 of
the bitwise AND of the second source operand (second operand) and the logical NOT of the destination operand.
The first source register is specified by the ModR/M reg field.
128-bit versions: The first source register is an XMM register. The second source register can be an XMM register
or a 128-bit memory location. The destination register is not modified.
VEX.256 encoded version: The first source register is a YMM register. The second source register can be a YMM
register or a 256-bit memory location. The destination register is not modified.
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b, otherwise instructions will #UD.
O p e ra t io n
(V)P T E S T (1 2 8 -b it v e rsio n )
IF (SRC[127:0] BITWISE AND DEST[127:0] =0)
THEN ZF 1;
ELSE ZF 0;
IF (SRC[127:0] BITWISE AND NOT DEST[127:0] =0)
THEN CF 1;
ELSE CF 0;
DEST (unmodified)
AF OF PF SF 0;
VP T E S T (V E X.2 5 6 e n co d e d v e rsio n )
IF (SRC[255:0] BITWISE AND DEST[255:0] =0) THEN ZF 1;
ELSE ZF 0;
IF (SRC[255:0] BITWISE AND NOT DEST[255:0] =0) THEN CF 1;
ELSE CF 0;
DEST (unmodified)
AF OF PF SF 0;
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 38 17 /r
PTEST xmm1, xmm2/m128
RM V/V SSE4_1 Set ZF if xmm2/m128 AND xmm1 result is all
0s. Set CF if xmm2/m128 AND NOT xmm1
result is all 0s.
VEX.128.66.0F38.WIG 17 /r
VPTEST xmm1, xmm2/m128
RM V/V AVX Set ZF and CF depending on bitwise AND and
ANDN of sources.
VEX.256.66.0F38.WIG 17 /r
VPTEST ymm1, ymm2/m256
RM V/V AVX Set ZF and CF depending on bitwise AND and
ANDN of sources.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r) ModRM:r/m (r) NA NA
PTEST- Logical Compare
INSTRUCTION SET REFERENCE, N-Z
4-250 Vol. 2B
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
P T E S T
int _mm_testz_si128 (__m128i s1, __m128i s2);
int _mm_testc_si128 (__m128i s1, __m128i s2);
int _mm_testnzc_si128 (__m128i s1, __m128i s2);
VP T E S T
int _mm256_testz_si256 (__m256i s1, __m256i s2);
int _mm256_testc_si256 (__m256i s1, __m256i s2);
int _mm256_testnzc_si256 (__m256i s1, __m256i s2);
int _mm_testz_si128 (__m128i s1, __m128i s2);
int _mm_testc_si128 (__m128i s1, __m128i s2);
int _mm_testnzc_si128 (__m128i s1, __m128i s2);
F la g s Af fe ct e d
The 0F, AF, PF, SF flags are cleared and the ZF, CF flags are set according to the operation.
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.vvvv != 1111B.
PUNPCKHBW/PUNPCKHWD/PUNPCKHDQ/PUNPCKHQDQ Unpack High Data
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-251
P U N P C K H B W/P U N P C K H WD /P U N P C K H D Q /P U N P C K H Q D Q U n p a ck H ig h D a t a
I n st ru ct io n O p e ra n d E n co d in g
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 68 /r
1
PUNPCKHBW mm, mm/m64
RM V/V MMX Unpack and interleave high-order bytes from
mm and mm/m64 into mm.
66 0F 68 /r
PUNPCKHBW xmm1, xmm2/m128
RM V/V SSE2 Unpack and interleave high-order bytes from
xmm1 and xmm2/m128 into xmm1.
0F 69 /r
1
PUNPCKHWD mm, mm/m64
RM V/V MMX Unpack and interleave high-order words from
mm and mm/m64 into mm.
66 0F 69 /r
PUNPCKHWD xmm1, xmm2/m128
RM V/V SSE2 Unpack and interleave high-order words from
xmm1 and xmm2/m128 into xmm1.
0F 6A /r
1
PUNPCKHDQ mm, mm/m64
RM V/V MMX Unpack and interleave high-order
doublewords from mm and mm/m64 into mm.
66 0F 6A /r
PUNPCKHDQ xmm1, xmm2/m128
RM V/V SSE2 Unpack and interleave high-order
doublewords from xmm1 and xmm2/m128
into xmm1.
66 0F 6D /r
PUNPCKHQDQ xmm1, xmm2/m128
RM V/V SSE2 Unpack and interleave high-order quadwords
from xmm1 and xmm2/m128 into xmm1.
VEX.NDS.128.66.0F.WIG 68/r
VPUNPCKHBW xmm1,xmm2, xmm3/m128
RVM V/V AVX Interleave high-order bytes fromxmm2 and
xmm3/m128 into xmm1.
VEX.NDS.128.66.0F.WIG 69/r
VPUNPCKHWD xmm1,xmm2, xmm3/m128
RVM V/V AVX Interleave high-order words from xmm2 and
xmm3/m128 into xmm1.
VEX.NDS.128.66.0F.WIG 6A/r
VPUNPCKHDQ xmm1, xmm2, xmm3/m128
RVM V/V AVX Interleave high-order doublewords from
xmm2 and xmm3/m128 into xmm1.
VEX.NDS.128.66.0F.WIG 6D/r
VPUNPCKHQDQ xmm1, xmm2, xmm3/m128
RVM V/V AVX Interleave high-order quadword from xmm2
and xmm3/m128 into xmm1 register.
VEX.NDS.256.66.0F.WIG 68 /r
VPUNPCKHBW ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Interleave high-order bytes from ymm2 and
ymm3/m256 into ymm1 register.
VEX.NDS.256.66.0F.WIG 69 /r
VPUNPCKHWD ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Interleave high-order words from ymm2 and
ymm3/m256 into ymm1 register.
VEX.NDS.256.66.0F.WIG 6A /r
VPUNPCKHDQ ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Interleave high-order doublewords from
ymm2 and ymm3/m256 into ymm1 register.
VEX.NDS.256.66.0F.WIG 6D /r
VPUNPCKHQDQ ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Interleave high-order quadword from ymm2
and ymm3/m256 into ymm1 register.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m(r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
PUNPCKHBW/PUNPCKHWD/PUNPCKHDQ/PUNPCKHQDQ Unpack High Data
INSTRUCTION SET REFERENCE, N-Z
4-252 Vol. 2B
D e scrip t io n
Unpacks and interleaves the high-order data elements (bytes, words, doublewords, or quadwords) of the destina-
tion operand (first operand) and source operand (second operand) into the destination operand. Figure 4-16 shows
the unpack operation for bytes in 64-bit operands. The low-order data elements are ignored.
F ig u re 4 -1 7. 2 5 6 -b it V P U N P C K H D Q I n st ru ct io n O p e ra t io n
When the source data comes from a 64-bit memory operand, the full 64-bit operand is accessed from memory, but
the instruction uses only the high-order 32 bits. When the source data comes from a 128-bit memory operand, an
implementation may fetch only the appropriate 64 bits; however, alignment to a 16-byte boundary and normal
segment checking will still be enforced.
The (V)PUNPCKHBW instruction interleaves the high-order bytes of the source and destination operands, the
(V)PUNPCKHWD instruction interleaves the high-order words of the source and destination operands, the
(V)PUNPCKHDQ instruction interleaves the high-order doubleword (or doublewords) of the source and destination
operands, and the (V)PUNPCKHQDQ instruction interleaves the high-order quadwords of the source and destina-
tion operands.
These instructions can be used to convert bytes to words, words to doublewords, doublewords to quadwords, and
quadwords to double quadwords, respectively, by placing all 0s in the source operand. Here, if the source operand
contains all 0s, the result (stored in the destination operand) contains zero extensions of the high-order data
elements from the original value in the destination operand. For example, with the (V)PUNPCKHBW instruction the
high-order bytes are zero extended (that is, unpacked into unsigned word integers), and with the (V)PUNPCKHWD
instruction, the high-order words are zero extended (unpacked into unsigned doubleword integers).
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
Legacy SSE versions: The source operand can be an MMX technology register or a 64-bit memory location. The
destination operand is an MMX technology register.
128-bit Legacy SSE versions: The second source operand is an XMM register or a 128-bit memory location. The
first source operand and destination operands are XMM registers. Bits (VLMAX-1:128) of the corresponding YMM
destination register remain unchanged.
F ig u re 4 -1 6 . P U N P C K H B W I n st ru ct io n O p e ra t io n U sin g 6 4 -b it O p e ra n d s
X4 X7 X6 X5 Y4 Y7 Y6 Y5
X0 X3 X2 X1
Y0 Y3 Y2 Y1
X4 X7 X6 X5 Y4 Y7 Y6 Y5 SRC DEST
DEST
X2 X7 X6 X3 Y2 Y7 Y6 Y3
X0 X3 X2 X1
Y0 Y3 Y2 Y1
X4 X7 X6 X5 Y4 Y7 Y6 Y5 SRC
DEST
0 255 31
0
0 255
255
31
PUNPCKHBW/PUNPCKHWD/PUNPCKHDQ/PUNPCKHQDQ Unpack High Data
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-253
VEX.128 encoded versions: The second source operand is an XMM register or a 128-bit memory location. The first
source operand and destination operands are XMM registers. Bits (VLMAX-1:128) of the destination YMM register
are zeroed.
VEX.256 encoded version: The second source operand is an YMM register or a 256-bit memory location. The first
source operand and destination operands are YMM registers.
Note: VEX.L must be 0, otherwise instructions will #UD.
O p e ra t io n
P U N P C K H B W in st ru ct io n w it h 6 4 -b it o p e ra n d s:
DEST[7:0] DEST[39:32];
DEST[15:8] SRC[39:32];
DEST[23:16] DEST[47:40];
DEST[31:24] SRC[47:40];
DEST[39:32] DEST[55:48];
DEST[47:40] SRC[55:48];
DEST[55:48] DEST[63:56];
DEST[63:56] SRC[63:56];
P U N P C K H W in st ru ct io n w it h 6 4 -b it o p e ra n d s:
DEST[15:0] DEST[47:32];
DEST[31:16] SRC[47:32];
DEST[47:32] DEST[63:48];
DEST[63:48] SRC[63:48];
P U N P C K H D Q in st ru ct io n w it h 6 4 -b it o p e ra n d s:
DEST[31:0] DEST[63:32];
DEST[63:32] SRC[63:32];
P U N P C K H B W in st ru ct io n w it h 1 2 8 -b it o p e ra n d s:
DEST[7:0] DEST[71:64];
DEST[15:8] SRC[71:64];
DEST[23:16] DEST[79:72];
DEST[31:24] SRC[79:72];
DEST[39:32] DEST[87:80];
DEST[47:40] SRC[87:80];
DEST[55:48] DEST[95:88];
DEST[63:56] SRC[95:88];
DEST[71:64] DEST[103:96];
DEST[79:72] SRC[103:96];
DEST[87:80] DEST[111:104];
DEST[95:88] SRC[111:104];
DEST[103:96] DEST[119:112];
DEST[111:104] SRC[119:112];
DEST[119:112] DEST[127:120];
DEST[127:120] SRC[127:120];
P U N P C K H WD in st ru ct io n w it h 1 2 8 -b it o p e ra n d s:
DEST[15:0] DEST[79:64];
DEST[31:16] SRC[79:64];
DEST[47:32] DEST[95:80];
DEST[63:48] SRC[95:80];
DEST[79:64] DEST[111:96];
DEST[95:80] SRC[111:96];
DEST[111:96] DEST[127:112];
PUNPCKHBW/PUNPCKHWD/PUNPCKHDQ/PUNPCKHQDQ Unpack High Data
INSTRUCTION SET REFERENCE, N-Z
4-254 Vol. 2B
DEST[127:112] SRC[127:112];
P U N P C K H D Q in st ru ct io n w it h 1 2 8 -b it o p e ra n d s:
DEST[31:0] DEST[95:64];
DEST[63:32] SRC[95:64];
DEST[95:64] DEST[127:96];
DEST[127:96] SRC[127:96];
P U N P C K H Q D Q in st ru ct io n :
DEST[63:0] DEST[127:64];
DEST[127:64] SRC[127:64];
I N T E R LE AV E _H I G H _B YT E S _2 5 6 b (S R C 1 , S R C 2 )
DEST[7:0] SRC1[71:64]
DEST[15:8] SRC2[71:64]
DEST[23:16] SRC1[79:72]
DEST[31:24] SRC2[79:72]
DEST[39:32] SRC1[87:80]
DEST[47:40] SRC2[87:80]
DEST[55:48] SRC1[95:88]
DEST[63:56] SRC2[95:88]
DEST[71:64] SRC1[103:96]
DEST[79:72] SRC2[103:96]
DEST[87:80] SRC1[111:104]
DEST[95:88] SRC2[111:104]
DEST[103:96] SRC1[119:112]
DEST[111:104] SRC2[119:112]
DEST[119:112] SRC1[127:120]
DEST[127:120] SRC2[127:120]
DEST[135:128] SRC1[199:192]
DEST[143:136] SRC2[199:192]
DEST[151:144] SRC1[207:200]
DEST[159:152] SRC2[207:200]
DEST[167:160] SRC1[215:208]
DEST[175:168] SRC2[215:208]
DEST[183:176] SRC1[223:216]
DEST[191:184] SRC2[223:216]
DEST[199:192] SRC1[231:224]
DEST[207:200] SRC2[231:224]
DEST[215:208] SRC1[239:232]
DEST[223:216] SRC2[239:232]
DEST[231:224] SRC1[247:240]
DEST[239:232] SRC2[247:240]
DEST[247:240] SRC1[255:248]
DEST[255:248] SRC2[255:248]
I N T E R LE AVE _H I G H _B YT E S (S R C 1 , S R C 2 )
DEST[7:0] SRC1[71:64]
DEST[15:8] SRC2[71:64]
DEST[23:16] SRC1[79:72]
DEST[31:24] SRC2[79:72]
DEST[39:32] SRC1[87:80]
DEST[47:40] SRC2[87:80]
DEST[55:48] SRC1[95:88]
DEST[63:56] SRC2[95:88]
PUNPCKHBW/PUNPCKHWD/PUNPCKHDQ/PUNPCKHQDQ Unpack High Data
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-255
DEST[71:64] SRC1[103:96]
DEST[79:72] SRC2[103:96]
DEST[87:80] SRC1[111:104]
DEST[95:88] SRC2[111:104]
DEST[103:96] SRC1[119:112]
DEST[111:104] SRC2[119:112]
DEST[119:112] SRC1[127:120]
DEST[127:120] SRC2[127:120]
I N T E R LE AVE _H I G H _WO R D S _2 5 6 b (S R C 1 , S R C 2 )
DEST[15:0] SRC1[79:64]
DEST[31:16] SRC2[79:64]
DEST[47:32] SRC1[95:80]
DEST[63:48] SRC2[95:80]
DEST[79:64] SRC1[111:96]
DEST[95:80] SRC2[111:96]
DEST[111:96] SRC1[127:112]
DEST[127:112] SRC2[127:112]
DEST[143:128] SRC1[207:192]
DEST[159:144] SRC2[207:192]
DEST[175:160] SRC1[223:208]
DEST[191:176] SRC2[223:208]
DEST[207:192] SRC1[239:224]
DEST[223:208] SRC2[239:224]
DEST[239:224] SRC1[255:240]
DEST[255:240] SRC2[255:240]
I N T E R LE AVE _H I G H _WO R D S (S R C 1 , S R C 2 )
DEST[15:0] SRC1[79:64]
DEST[31:16] SRC2[79:64]
DEST[47:32] SRC1[95:80]
DEST[63:48] SRC2[95:80]
DEST[79:64] SRC1[111:96]
DEST[95:80] SRC2[111:96]
DEST[111:96] SRC1[127:112]
DEST[127:112] SRC2[127:112]
I N T E R LE AVE _H I G H _D WO R D S _2 5 6 b (S R C 1 , S R C 2 )
DEST[31:0] SRC1[95:64]
DEST[63:32] SRC2[95:64]
DEST[95:64] SRC1[127:96]
DEST[127:96] SRC2[127:96]
DEST[159:128] SRC1[223:192]
DEST[191:160] SRC2[223:192]
DEST[223:192] SRC1[255:224]
DEST[255:224] SRC2[255:224]
I N T E R LE AVE _H I G H _D WO R D S (S R C 1 , S R C 2 )
DEST[31:0] SRC1[95:64]
DEST[63:32] SRC2[95:64]
DEST[95:64] SRC1[127:96]
DEST[127:96] SRC2[127:96]
I N T E R LE AV E _H I G H _Q WO R D S _2 5 6 b (S R C 1 , S R C 2 )
PUNPCKHBW/PUNPCKHWD/PUNPCKHDQ/PUNPCKHQDQ Unpack High Data
INSTRUCTION SET REFERENCE, N-Z
4-256 Vol. 2B
DEST[63:0] SRC1[127:64]
DEST[127:64] SRC2[127:64]
DEST[191:128] SRC1[255:192]
DEST[255:192] SRC2[255:192]
I N T E R LE AVE _H I G H _Q WO R D S (S R C 1 , S R C 2 )
DEST[63:0] SRC1[127:64]
DEST[127:64] SRC2[127:64]
P U N P C K H B W (1 2 8 -b it Le g a cy S S E Ve rsio n )
DEST[127:0] INTERLEAVE_HIGH_BYTES(DEST, SRC)
DEST[VLMAX-1:128] (Unmodified)
VP U N P C K H B W (VE X.1 2 8 e n co d e d v e rsio n )
DEST[127:0] INTERLEAVE_HIGH_BYTES(SRC1, SRC2)
DEST[VLMAX-1:128] 0
VP U N P C K H B W (VE X.2 5 6 e n co d e d v e rsio n )
DEST[255:0] INTERLEAVE_HIGH_BYTES_256b(SRC1, SRC2)
P U N P C K H WD (1 2 8 -b it Le g a cy S S E Ve rsio n )
DEST[127:0] INTERLEAVE_HIGH_WORDS(DEST, SRC)
DEST[VLMAX-1:128] (Unmodified)
VP U N P C K H WD (VE X.1 2 8 e n co d e d v e rsio n )
DEST[127:0] INTERLEAVE_HIGH_WORDS(SRC1, SRC2)
DEST[VLMAX-1:128] 0
VP U N P C K H WD (VE X.2 5 6 e n co d e d v e rsio n )
DEST[255:0] INTERLEAVE_HIGH_WORDS_256b(SRC1, SRC2)
P U N P C K H D Q (1 2 8 -b it Le g a cy S S E Ve rsio n )
DEST[127:0] INTERLEAVE_HIGH_DWORDS(DEST, SRC)
DEST[VLMAX-1:128] (Unmodified)
VP U N P C K H D Q (VE X.1 2 8 e n co d e d v e rsio n )
DEST[127:0] INTERLEAVE_HIGH_DWORDS(SRC1, SRC2)
DEST[VLMAX-1:128] 0
VP U N P C K H D Q (VE X.2 5 6 e n co d e d v e rsio n )
DEST[255:0] INTERLEAVE_HIGH_DWORDS_256b(SRC1, SRC2)
P U N P C K H Q D Q (1 2 8 -b it Le g a cy S S E Ve rsio n )
DEST[127:0] INTERLEAVE_HIGH_QWORDS(DEST, SRC)
DEST[255:127] (Unmodified)
VP U N P C K H Q D Q (VE X.1 2 8 e n co d e d v e rsio n )
DEST[127:0] INTERLEAVE_HIGH_QWORDS(SRC1, SRC2)
DEST[255:127] 0
VP U N P C K H Q D Q (VE X.2 5 6 e n co d e d v e rsio n )
DEST[255:0] INTERLEAVE_HIGH_QWORDS_256(SRC1, SRC2)
PUNPCKHBW/PUNPCKHWD/PUNPCKHDQ/PUNPCKHQDQ Unpack High Data
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-257
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t s
PUNPCKHBW: __m64 _mm_unpackhi_pi8(__m64 m1, __m64 m2)
(V)PUNPCKHBW: __m128i _mm_unpackhi_epi8(__m128i m1, __m128i m2)
VPUNPCKHBW: __m256i _mm256_unpackhi_epi8(__m256i m1, __m256i m2)
PUNPCKHWD: __m64 _mm_unpackhi_pi16(__m64 m1,__m64 m2)
(V)PUNPCKHWD: __m128i _mm_unpackhi_epi16(__m128i m1,__m128i m2)
VPUNPCKHWD: __m256i _mm256_unpackhi_epi16(__m256i m1,__m256i m2)
PUNPCKHDQ: __m64 _mm_unpackhi_pi32(__m64 m1, __m64 m2)
(V)PUNPCKHDQ: __m128i _mm_unpackhi_epi32(__m128i m1, __m128i m2)
VPUNPCKHDQ: __m256i _mm256_unpackhi_epi32(__m256i m1, __m256i m2)
(V)PUNPCKHQDQ: __m128i _mm_unpackhi_epi64 ( __m128i a, __m128i b)
VPUNPCKHQDQ: __m256i _mm256_unpackhi_epi64 ( __m256i a, __m256i b)
F la g s Af fe ct e d
None.
N u m e ric E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PUNPCKLBW/PUNPCKLWD/PUNPCKLDQ/PUNPCKLQDQUnpack Low Data
INSTRUCTION SET REFERENCE, N-Z
4-258 Vol. 2B
P U N P C K LB W/P U N P C K LWD /P U N P C K LD Q /P U N P C K LQ D Q U n p a ck Lo w D a t a
I n st ru ct io n O p e ra n d E n co d in g
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 60 /r
1
PUNPCKLBW mm, mm/m32
RM V/V MMX Interleave low-order bytes from mm and
mm/m32 into mm.
66 0F 60 /r
PUNPCKLBW xmm1, xmm2/m128
RM V/V SSE2 Interleave low-order bytes fromxmm1 and
xmm2/m128 into xmm1.
0F 61 /r
1
PUNPCKLWD mm, mm/m32
RM V/V MMX Interleave low-order words from mm and
mm/m32 into mm.
66 0F 61 /r
PUNPCKLWD xmm1, xmm2/m128
RM V/V SSE2 Interleave low-order words from xmm1 and
xmm2/m128 into xmm1.
0F 62 /r
1
PUNPCKLDQ mm, mm/m32
RM V/V MMX Interleave low-order doublewords from mm
and mm/m32 into mm.
66 0F 62 /r
PUNPCKLDQ xmm1, xmm2/m128
RM V/V SSE2 Interleave low-order doublewords from xmm1
and xmm2/m128 into xmm1.
66 0F 6C /r
PUNPCKLQDQ xmm1, xmm2/m128
RM V/V SSE2 Interleave low-order quadword from xmm1
and xmm2/m128 into xmm1 register.
VEX.NDS.128.66.0F.WIG 60/r
VPUNPCKLBW xmm1,xmm2, xmm3/m128
RVM V/V AVX Interleave low-order bytes fromxmm2 and
xmm3/m128 into xmm1.
VEX.NDS.128.66.0F.WIG 61/r
VPUNPCKLWD xmm1,xmm2, xmm3/m128
RVM V/V AVX Interleave low-order words from xmm2 and
xmm3/m128 into xmm1.
VEX.NDS.128.66.0F.WIG 62/r
VPUNPCKLDQ xmm1, xmm2, xmm3/m128
RVM V/V AVX Interleave low-order doublewords from xmm2
and xmm3/m128 into xmm1.
VEX.NDS.128.66.0F.WIG 6C/r
VPUNPCKLQDQ xmm1, xmm2, xmm3/m128
RVM V/V AVX Interleave low-order quadword from xmm2
and xmm3/m128 into xmm1 register.
VEX.NDS.256.66.0F.WIG 60 /r
VPUNPCKLBW ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Interleave low-order bytes from ymm2 and
ymm3/m256 into ymm1 register.
VEX.NDS.256.66.0F.WIG 61 /r
VPUNPCKLWD ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Interleave low-order words from ymm2 and
ymm3/m256 into ymm1 register.
VEX.NDS.256.66.0F.WIG 62 /r
VPUNPCKLDQ ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Interleave low-order doublewords from ymm2
and ymm3/m256 into ymm1 register.
VEX.NDS.256.66.0F.WIG 6C /r
VPUNPCKLQDQ ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Interleave low-order quadword from ymm2
and ymm3/m256 into ymm1 register.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m(r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
PUNPCKLBW/PUNPCKLWD/PUNPCKLDQ/PUNPCKLQDQUnpack Low Data
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-259
D e scrip t io n
Unpacks and interleaves the low-order data elements (bytes, words, doublewords, and quadwords) of the destina-
tion operand (first operand) and source operand (second operand) into the destination operand. (Figure 4-18
shows the unpack operation for bytes in 64-bit operands.). The high-order data elements are ignored.
F ig u re 4 -1 9 . 2 5 6 -b it V P U N P C K LD Q I n st ru ct io n O p e ra t io n
When the source data comes from a 128-bit memory operand, an implementation may fetch only the appropriate
64 bits; however, alignment to a 16-byte boundary and normal segment checking will still be enforced.
The (V)PUNPCKLBW instruction interleaves the low-order bytes of the source and destination operands, the
(V)PUNPCKLWD instruction interleaves the low-order words of the source and destination operands, the
(V)PUNPCKLDQ instruction interleaves the low-order doubleword (or doublewords) of the source and destination
operands, and the (V)PUNPCKLQDQ instruction interleaves the low-order quadwords of the source and destination
operands.
These instructions can be used to convert bytes to words, words to doublewords, doublewords to quadwords, and
quadwords to double quadwords, respectively, by placing all 0s in the source operand. Here, if the source operand
contains all 0s, the result (stored in the destination operand) contains zero extensions of the high-order data
elements from the original value in the destination operand. For example, with the (V)PUNPCKLBW instruction the
high-order bytes are zero extended (that is, unpacked into unsigned word integers), and with the (V)PUNPCKLWD
instruction, the high-order words are zero extended (unpacked into unsigned doubleword integers).
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
Legacy SSE versions: The source operand can be an MMX technology register or a 32-bit memory location. The
destination operand is an MMX technology register.
128-bit Legacy SSE versions: The second source operand is an XMM register or a 128-bit memory location. The
first source operand and destination operands are XMM registers. Bits (VLMAX-1:128) of the corresponding YMM
destination register remain unchanged.
VEX.128 encoded versions: The second source operand is an XMM register or a 128-bit memory location. The first
source operand and destination operands are XMM registers. Bits (VLMAX-1:128) of the destination YMM register
are zeroed.
F ig u re 4 -1 8 . P U N P C K LB W I n st ru ct io n O p e ra t io n U sin g 6 4 -b it O p e ra n d s
X0 X3 X2 X1 Y0 Y3 Y2 Y1
X0 X3 X2 X1
Y0 Y3 Y2 Y1
X4 X7 X6 X5 Y4 Y7 Y6 Y5 SRC DEST
DEST
X0 X5 X4 X1 Y0 Y5 Y4 Y1
X0 X3 X2 X1
Y0 Y3 Y2 Y1
X4 X7 X6 X5 Y4 Y7 Y6 Y5 SRC
DEST
0 255 31
0
0 255
255
31
PUNPCKLBW/PUNPCKLWD/PUNPCKLDQ/PUNPCKLQDQUnpack Low Data
INSTRUCTION SET REFERENCE, N-Z
4-260 Vol. 2B
VEX.256 encoded version: The second source operand is an YMM register or a 256-bit memory location. The first
source operand and destination operands are YMM registers.
Note: VEX.L must be 0, otherwise instructions will #UD.
O p e ra t io n
P U N P C K LB W in st ru ct io n w it h 6 4 -b it o p e ra n d s:
DEST[63:56] SRC[31:24];
DEST[55:48] DEST[31:24];
DEST[47:40] SRC[23:16];
DEST[39:32] DEST[23:16];
DEST[31:24] SRC[15:8];
DEST[23:16] DEST[15:8];
DEST[15:8] SRC[7:0];
DEST[7:0] DEST[7:0];
P U N P C K LWD in st ru ct io n w it h 6 4 -b it o p e ra n d s:
DEST[63:48] SRC[31:16];
DEST[47:32] DEST[31:16];
DEST[31:16] SRC[15:0];
DEST[15:0] DEST[15:0];
P U N P C K LD Q in st ru ct io n w it h 6 4 -b it o p e ra n d s:
DEST[63:32] SRC[31:0];
DEST[31:0] DEST[31:0];
P U N P C K LB W in st ru ct io n w it h 1 2 8 -b it o p e ra n d s:
DEST[7:0] DEST[7:0];
DEST[15:8] SRC[7:0];
DEST[23:16] DEST[15:8];
DEST[31:24] SRC[15:8];
DEST[39:32] DEST[23:16];
DEST[47:40] SRC[23:16];
DEST[55:48] DEST[31:24];
DEST[63:56] SRC[31:24];
DEST[71:64] DEST[39:32];
DEST[79:72] SRC[39:32];
DEST[87:80] DEST[47:40];
DEST[95:88] SRC[47:40];
DEST[103:96] DEST[55:48];
DEST[111:104] SRC[55:48];
DEST[119:112] DEST[63:56];
DEST[127:120] SRC[63:56];
P U N P C K LWD in st ru ct io n w it h 1 2 8 -b it o p e ra n d s:
DEST[15:0] DEST[15:0];
DEST[31:16] SRC[15:0];
DEST[47:32] DEST[31:16];
DEST[63:48] SRC[31:16];
DEST[79:64] DEST[47:32];
DEST[95:80] SRC[47:32];
DEST[111:96] DEST[63:48];
DEST[127:112] SRC[63:48];
PUNPCKLBW/PUNPCKLWD/PUNPCKLDQ/PUNPCKLQDQUnpack Low Data
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-261
P U N P C K LD Q in st ru ct io n w it h 1 2 8 -b it o p e ra n d s:
DEST[31:0] DEST[31:0];
DEST[63:32] SRC[31:0];
DEST[95:64] DEST[63:32];
DEST[127:96] SRC[63:32];
P U N P C K LQ D Q
DEST[63:0] DEST[63:0];
DEST[127:64] SRC[63:0];
I N T E R LE AV E _B YT E S _2 5 6 b (S R C 1 , S R C 2 )
DEST[7:0] SRC1[7:0]
DEST[15:8] SRC2[7:0]
DEST[23:16] SRC1[15:8]
DEST[31:24] SRC2[15:8]
DEST[39:32] SRC1[23:16]
DEST[47:40] SRC2[23:16]
DEST[55:48] SRC1[31:24]
DEST[63:56] SRC2[31:24]
DEST[71:64] SRC1[39:32]
DEST[79:72] SRC2[39:32]
DEST[87:80] SRC1[47:40]
DEST[95:88] SRC2[47:40]
DEST[103:96] SRC1[55:48]
DEST[111:104] SRC2[55:48]
DEST[119:112] SRC1[63:56]
DEST[127:120] SRC2[63:56]
DEST[135:128] SRC1[135:128]
DEST[143:136] SRC2[135:128]
DEST[151:144] SRC1[143:136]
DEST[159:152] SRC2[143:136]
DEST[167:160] SRC1[151:144]
DEST[175:168] SRC2[151:144]
DEST[183:176] SRC1[159:152]
DEST[191:184] SRC2[159:152]
DEST[199:192] SRC1[167:160]
DEST[207:200] SRC2[167:160]
DEST[215:208] SRC1[175:168]
DEST[223:216] SRC2[175:168]
DEST[231:224] SRC1[183:176]
DEST[239:232] SRC2[183:176]
DEST[247:240] SRC1[191:184]
DEST[255:248] SRC2[191:184]
I N T E R LE AV E _B YT E S (S R C 1 , S R C 2 )
DEST[7:0] SRC1[7:0]
DEST[15:8] SRC2[7:0]
DEST[23:16] SRC2[15:8]
DEST[31:24] SRC2[15:8]
DEST[39:32] SRC1[23:16]
DEST[47:40] SRC2[23:16]
DEST[55:48] SRC1[31:24]
DEST[63:56] SRC2[31:24]
DEST[71:64] SRC1[39:32]
DEST[79:72] SRC2[39:32]
PUNPCKLBW/PUNPCKLWD/PUNPCKLDQ/PUNPCKLQDQUnpack Low Data
INSTRUCTION SET REFERENCE, N-Z
4-262 Vol. 2B
DEST[87:80] SRC1[47:40]
DEST[95:88] SRC2[47:40]
DEST[103:96] SRC1[55:48]
DEST[111:104] SRC2[55:48]
DEST[119:112] SRC1[63:56]
DEST[127:120] SRC2[63:56]
I N T E R LE AV E _WO R D S _2 5 6 b (S R C 1 , S R C 2 )
DEST[15:0] SRC1[15:0]
DEST[31:16] SRC2[15:0]
DEST[47:32] SRC1[31:16]
DEST[63:48] SRC2[31:16]
DEST[79:64] SRC1[47:32]
DEST[95:80] SRC2[47:32]
DEST[111:96] SRC1[63:48]
DEST[127:112] SRC2[63:48]
DEST[143:128] SRC1[143:128]
DEST[159:144] SRC2[143:128]
DEST[175:160] SRC1[159:144]
DEST[191:176] SRC2[159:144]
DEST[207:192] SRC1[175:160]
DEST[223:208] SRC2[175:160]
DEST[239:224] SRC1[191:176]
DEST[255:240] SRC2[191:176]
I N T E R LE AV E _WO R D S (S R C 1 , S R C 2 )
DEST[15:0] SRC1[15:0]
DEST[31:16] SRC2[15:0]
DEST[47:32] SRC1[31:16]
DEST[63:48] SRC2[31:16]
DEST[79:64] SRC1[47:32]
DEST[95:80] SRC2[47:32]
DEST[111:96] SRC1[63:48]
DEST[127:112] SRC2[63:48]
I N T E R LE AV E _D WO R D S _2 5 6 b (S R C 1 , S R C 2 )
DEST[31:0] SRC1[31:0]
DEST[63:32] SRC2[31:0]
DEST[95:64] SRC1[63:32]
DEST[127:96] SRC2[63:32]
DEST[159:128] SRC1[159:128]
DEST[191:160] SRC2[159:128]
DEST[223:192] SRC1[191:160]
DEST[255:224] SRC2[191:160]
I N T E R LE AV E _D WO R D S (S R C 1 , S R C 2 )
DEST[31:0] SRC1[31:0]
DEST[63:32] SRC2[31:0]
DEST[95:64] SRC1[63:32]
DEST[127:96] SRC2[63:32]
I N T E R LE AV E _Q WO R D S _2 5 6 b (S R C 1 , S R C 2 )
DEST[63:0] SRC1[63:0]
DEST[127:64] SRC2[63:0]
PUNPCKLBW/PUNPCKLWD/PUNPCKLDQ/PUNPCKLQDQUnpack Low Data
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-263
DEST[191:128] SRC1[191:128]
DEST[255:192] SRC2[191:128]
I N T E R LE AV E _Q WO R D S (S R C 1 , S R C 2 )
DEST[63:0] SRC1[63:0]
DEST[127:64] SRC2[63:0]
P U N P C K LB W (1 2 8 -b it Le g a cy S S E Ve rsio n )
DEST[127:0] INTERLEAVE_BYTES(DEST, SRC)
DEST[255:127] (Unmodified)
VP U N P C K LB W (VE X.1 2 8 e n co d e d in st ru ct io n )
DEST[127:0] INTERLEAVE_BYTES(SRC1, SRC2)
DEST[VLMAX-1:128] 0
VP U N P C K LB W (VE X.2 5 6 e n co d e d in st ru ct io n )
DEST[255:0] INTERLEAVE_BYTES_128b(SRC1, SRC2)
P U N P C K LWD (1 2 8 -b it Le g a cy S S E Ve rsio n )
DEST[127:0] INTERLEAVE_WORDS(DEST, SRC)
DEST[255:127] (Unmodified)
VP U N P C K LWD (VE X.1 2 8 e n co d e d in st ru ct io n )
DEST[127:0] INTERLEAVE_WORDS(SRC1, SRC2)
DEST[VLMAX-1:128] 0
VP U N P C K LWD (VE X.2 5 6 e n co d e d in st ru ct io n )
DEST[255:0] INTERLEAVE_WORDS(SRC1, SRC2)
P U N P C K LD Q (1 2 8 -b it Le g a cy S S E Ve rsio n )
DEST[127:0] INTERLEAVE_DWORDS(DEST, SRC)
DEST[255:127] (Unmodified)
VP U N P C K LD Q (VE X.1 2 8 e n co d e d in st ru ct io n )
DEST[127:0] INTERLEAVE_DWORDS(SRC1, SRC2)
DEST[VLMAX-1:128] 0
VP U N P C K LD Q (VE X.2 5 6 e n co d e d in st ru ct io n )
DEST[255:0] INTERLEAVE_DWORDS(SRC1, SRC2)
P U N P C K LQ D Q (1 2 8 -b it Le g a cy S S E Ve rsio n )
DEST[127:0] INTERLEAVE_QWORDS(DEST, SRC)
DEST[255:127] (Unmodified)
VP U N P C K LQ D Q (VE X.1 2 8 e n co d e d in st ru ct io n )
DEST[127:0] INTERLEAVE_QWORDS(SRC1, SRC2)
DEST[VLMAX-1:128] 0
VP U N P C K LQ D Q (VE X.2 5 6 e n co d e d in st ru ct io n )
DEST[255:0] INTERLEAVE_QWORDS(SRC1, SRC2)
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t s
PUNPCKLBW: __m64 _mm_unpacklo_pi8 (__m64 m1, __m64 m2)
PUNPCKLBW/PUNPCKLWD/PUNPCKLDQ/PUNPCKLQDQUnpack Low Data
INSTRUCTION SET REFERENCE, N-Z
4-264 Vol. 2B
(V)PUNPCKLBW: __m128i _mm_unpacklo_epi8 (__m128i m1, __m128i m2)
VPUNPCKLBW: __m256i _mm256_unpacklo_epi8 (__m256i m1, __m256i m2)
PUNPCKLWD: __m64 _mm_unpacklo_pi16 (__m64 m1, __m64 m2)
(V)PUNPCKLWD: __m128i _mm_unpacklo_epi16 (__m128i m1, __m128i m2)
VPUNPCKLWD: __m256i _mm256_unpacklo_epi16 (__m256i m1, __m256i m2)
PUNPCKLDQ: __m64 _mm_unpacklo_pi32 (__m64 m1, __m64 m2)
(V)PUNPCKLDQ: __m128i _mm_unpacklo_epi32 (__m128i m1, __m128i m2)
VPUNPCKLDQ: __m256i _mm256_unpacklo_epi32 (__m256i m1, __m256i m2)
(V)PUNPCKLQDQ: __m128i _mm_unpacklo_epi64 (__m128i m1, __m128i m2)
VPUNPCKLQDQ: __m256i _mm256_unpacklo_epi64 (__m256i m1, __m256i m2)
F la g s Af fe ct e d
None.
N u m e ric E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
PUSHPush Word, Doubleword or Quadword Onto the Stack
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-265
P U S H P u sh Wo rd , D o u b le w o rd o r Q u a d w o rd O n t o t h e S t a ck
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Decrements the stack pointer and then stores the source operand on the top of the stack. Address and operand
sizes are determined and used as follows:
Address size. The D flag in the current code-segment descriptor determines the default address size; it may be
overridden by an instruction prefix (67H).
The address size is used only when referencing a source operand in memory.
Operand size. The D flag in the current code-segment descriptor determines the default operand size; it may
be overridden by instruction prefixes (66H or REX.W).
The operand size (16, 32, or 64 bits) determines the amount by which the stack pointer is decremented (2, 4
or 8).
If the source operand is an immediate and its size is less than the operand size, a sign-extended value is
pushed on the stack. If the source operand is a segment register (16 bits) and the operand size is greater
than 16 bits, a zero-extended value is pushed on the stack.
Stack-address size. Outside of 64-bit mode, the B flag in the current stack-segment descriptor determines the
size of the stack pointer (16 or 32 bits); in 64-bit mode, the size of the stack pointer is always 64 bits.
O p co d e * I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
FF /6 PUSH r/m16 M Valid Valid Push r/m16.
FF /6 PUSH r/m32 M N.E. Valid Push r/m32.
FF /6 PUSH r/m64 M Valid N.E. Push r/m64.
50+rw PUSH r16 O Valid Valid Push r16.
50+rd PUSH r32 O N.E. Valid Push r32.
50+rd PUSH r64 O Valid N.E. Push r64.
6A ib PUSH imm8 I Valid Valid Push imm8.
68 iw PUSH imm16 I Valid Valid Push imm16.
68 id PUSH imm32 I Valid Valid Push imm32.
0E PUSH CS NP Invalid Valid Push CS.
16 PUSH SS NP Invalid Valid Push SS.
1E PUSH DS NP Invalid Valid Push DS.
06 PUSH ES NP Invalid Valid Push ES.
0F A0 PUSH FS NP Valid Valid Push FS.
0F A8 PUSH GS NP Valid Valid Push GS.
N O T E S :
* See IA-32 Architecture Compatibility section below.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
M ModRM:r/m (r) NA NA NA
O opcode +rd (w) NA NA NA
I imm8/16/32 NA NA NA
NP NA NA NA NA
PUSHPush Word, Doubleword or Quadword Onto the Stack
INSTRUCTION SET REFERENCE, N-Z
4-266 Vol. 2B
The stack-address size determines the width of the stack pointer when writing to the stack in memory and
when decrementing the stack pointer. (As stated above, the amount by which the stack pointer is
decremented is determined by the operand size.)
If the operand size is less than the stack-address size, the PUSH instruction may result in a misaligned stack
pointer (a stack pointer that is not aligned on a doubleword or quadword boundary).
The PUSH ESP instruction pushes the value of the ESP register as it existed before the instruction was executed. If
a PUSH instruction uses a memory operand in which the ESP register is used for computing the operand address,
the address of the operand is computed before the ESP register is decremented.
If the ESP or SP register is 1 when the PUSH instruction is executed in real-address mode, a stack-fault exception
(#SS) is generated (because the limit of the stack segment is violated). Its delivery encounters a second stack-
fault exception (for the same reason), causing generation of a double-fault exception (#DF). Delivery of the
double-fault exception encounters a third stack-fault exception, and the logical processor enters shutdown mode.
See the discussion of the double-fault exception in Chapter 6 of the Intel 64 and IA-32 Architectures Software
Developers Manual, Volume 3A.
I A-3 2 Arch it e ct u re C o m p a t ib ilit y
For IA-32 processors from the Intel 286 on, the PUSH ESP instruction pushes the value of the ESP register as it
existed before the instruction was executed. (This is also true for Intel 64 architecture, real-address and virtual-
8086 modes of IA-32 architecture.) For the Intel

8086 processor, the PUSH SP instruction pushes the new value


of the SP register (that is the value after it has been decremented by 2).
O p e ra t io n
IF SRC is a segment register
THEN
IF operand size =16
THEN TEMP SRC;
ELSE TEMP ZeroExtend(SRC); (* extend to operand size *)
FI;
ELSE IF SRC is immediate byte
THEN TEMP SignExtend(SRC); (* extend to operand size *)
ELSE IF SRC is immediate word (* operand size is 16 *)
THEN TEMP SRC;
ELSE IF SRC is immediate doubleword (* operand size is 32 or 64 *)
THEN
IF operand size =32
THEN TEMP SRC;
ELSE TEMP SignExtend(SRC); (* extend to operand size of 64 *)
FI;
ELSE IF SRC is in memory
THEN TEMP SRC; (* use address and operand sizes *)
ELSE TEMP SRC; (* SRC is register; use operand size *)
FI;
IF in 64-bit mode (* stack-address size =64 *)
THEN
IF operand size =64
THEN
RSP RSP 8;
Memory[RSP] TEMP; (* Push quadword *)
ELSE (* operand size =16 *)
RSP RSP 2;
Memory[RSP] TEMP; (* Push word *)
FI;
ELSE IF stack-address size =32
PUSHPush Word, Doubleword or Quadword Onto the Stack
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-267
THEN
IF operand size =32
THEN
ESP ESP 4;
Memory[SS:ESP] TEMP; (* Push doubleword *)
ELSE (* operand size =16 *)
ESP ESP 2;
Memory[SS:ESP] TEMP; (* Push word *)
FI;
ELSE (* stack-address size =16 *)
IF operand size =32
THEN
SP SP 4;
Memory[SS:SP] TEMP; (* Push doubleword *)
ELSE (* operand size =16 *)
SP SP 2;
Memory[SS:SP] TEMP; (* Push word *)
FI;
FI;
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register is used to access memory and it contains a NULL segment
selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
If the new value of the SP or ESP register is outside the stack segment limit.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
PUSHPush Word, Doubleword or Quadword Onto the Stack
INSTRUCTION SET REFERENCE, N-Z
4-268 Vol. 2B
6 4 -B it Mo d e E x ce p t io n s
#GP(0) If the memory address is in a non-canonical form.
#SS(0) If the stack address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
PUSHA/PUSHADPush All General-Purpose Registers
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-269
P U S H A/P U S H AD P u sh All G e n e ra l-P u rp o se R e g ist e rs
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Pushes the contents of the general-purpose registers onto the stack. The registers are stored on the stack in the
following order: EAX, ECX, EDX, EBX, ESP (original value), EBP, ESI, and EDI (if the current operand-size attribute
is 32) and AX, CX, DX, BX, SP (original value), BP, SI, and DI (if the operand-size attribute is 16). These instruc-
tions perform the reverse operation of the POPA/POPAD instructions. The value pushed for the ESP or SP register
is its value before prior to pushing the first register (see the Operation section below).
The PUSHA (push all) and PUSHAD (push all double) mnemonics reference the same opcode. The PUSHA instruc-
tion is intended for use when the operand-size attribute is 16 and the PUSHAD instruction for when the operand-
size attribute is 32. Some assemblers may force the operand size to 16 when PUSHA is used and to 32 when
PUSHAD is used. Others may treat these mnemonics as synonyms (PUSHA/PUSHAD) and use the current setting
of the operand-size attribute to determine the size of values to be pushed from the stack, regardless of the
mnemonic used.
In the real-address mode, if the ESP or SP register is 1, 3, or 5 when PUSHA/PUSHAD executes: an #SS exception
is generated but not delivered (the stack error reported prevents #SS delivery). Next, the processor generates a
#DF exception and enters a shutdown state as described in the #DF discussion in Chapter 6 of the Intel 64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
This instruction executes as described in compatibility mode and legacy mode. It is not valid in 64-bit mode.
O p e ra t io n
IF 64-bit Mode
THEN #UD
FI;
IF OperandSize = 32 (* PUSHAD instruction *)
THEN
Temp (ESP);
Push(EAX);
Push(ECX);
Push(EDX);
Push(EBX);
Push(Temp);
Push(EBP);
Push(ESI);
Push(EDI);
ELSE (* OperandSize = 16, PUSHA instruction *)
Temp (SP);
Push(AX);
Push(CX);
Push(DX);
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
60 PUSHA NP Invalid Valid Push AX, CX, DX, BX, original SP, BP, SI, and DI.
60 PUSHAD NP Invalid Valid Push EAX, ECX, EDX, EBX, original ESP, EBP,
ESI, and EDI.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
PUSHA/PUSHADPush All General-Purpose Registers
INSTRUCTION SET REFERENCE, N-Z
4-270 Vol. 2B
Push(BX);
Push(Temp);
Push(BP);
Push(SI);
Push(DI);
FI;
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#SS(0) If the starting or ending stack address is outside the stack segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If an unaligned memory reference is made while the current privilege level is 3 and alignment
checking is enabled.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If the ESP or SP register contains 7, 9, 11, 13, or 15.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If the ESP or SP register contains 7, 9, 11, 13, or 15.
#PF(fault-code) If a page fault occurs.
#AC(0) If an unaligned memory reference is made while alignment checking is enabled.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#UD If in 64-bit mode.
PUSHF/PUSHFDPush EFLAGS Register onto the Stack
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-271
P U S H F /P U S H F D P u sh E F LAG S R e g ist e r o n t o t h e S t a ck
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Decrements the stack pointer by 4 (if the current operand-size attribute is 32) and pushes the entire contents of
the EFLAGS register onto the stack, or decrements the stack pointer by 2 (if the operand-size attribute is 16) and
pushes the lower 16 bits of the EFLAGS register (that is, the FLAGS register) onto the stack. These instructions
reverse the operation of the POPF/POPFD instructions.
When copying the entire EFLAGS register to the stack, the VM and RF flags (bits 16 and 17) are not copied; instead,
the values for these flags are cleared in the EFLAGS image stored on the stack. See Chapter 3 of the Intel 64 and
IA-32 Architectures Software Developers Manual, Volume 1, for more information about the EFLAGS register.
The PUSHF (push flags) and PUSHFD (push flags double) mnemonics reference the same opcode. The PUSHF
instruction is intended for use when the operand-size attribute is 16 and the PUSHFD instruction for when the
operand-size attribute is 32. Some assemblers may force the operand size to 16 when PUSHF is used and to 32
when PUSHFD is used. Others may treat these mnemonics as synonyms (PUSHF/PUSHFD) and use the current
setting of the operand-size attribute to determine the size of values to be pushed from the stack, regardless of the
mnemonic used.
In 64-bit mode, the instructions default operation is to decrement the stack pointer (RSP) by 8 and pushes RFLAGS
on the stack. 16-bit operation is supported using the operand size override prefix 66H. 32-bit operand size cannot
be encoded in this mode. When copying RFLAGS to the stack, the VM and RF flags (bits 16 and 17) are not copied;
instead, values for these flags are cleared in the RFLAGS image stored on the stack.
When in virtual-8086 mode and the I/O privilege level (IOPL) is less than 3, the PUSHF/PUSHFD instruction causes
a general protection exception (#GP).
In the real-address mode, if the ESP or SP register is 1 when PUSHF/PUSHFD instruction executes: an #SS excep-
tion is generated but not delivered (the stack error reported prevents #SS delivery). Next, the processor generates
a #DF exception and enters a shutdown state as described in the #DF discussion in Chapter 6 of the Intel 64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
O p e ra t io n
IF (PE = 0) or (PE = 1 and ((VM = 0) or (VM = 1 and IOPL = 3)))
(* Real-Address Mode, Protected mode, or Virtual-8086 mode with IOPL equal to 3 *)
THEN
IF OperandSize = 32
THEN
push (EFLAGS AND 00FCFFFFH);
(* VM and RF EFLAG bits are cleared in image stored on the stack *)
ELSE
push (EFLAGS); (* Lower 16 bits only *)
FI;
ELSE IF 64-bit MODE (* In 64-bit Mode *)
IF OperandSize = 64
O p co d e * I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
9C PUSHF NP Valid Valid Push lower 16 bits of EFLAGS.
9C PUSHFD NP N.E. Valid Push EFLAGS.
9C PUSHFQ NP Valid N.E. Push RFLAGS.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
PUSHF/PUSHFDPush EFLAGS Register onto the Stack
INSTRUCTION SET REFERENCE, N-Z
4-272 Vol. 2B
THEN
push (RFLAGS AND 00000000_00FCFFFFH);
(* VM and RF RFLAG bits are cleared in image stored on the stack; *)
ELSE
push (EFLAGS); (* Lower 16 bits only *)
FI;
ELSE (* In Virtual-8086 Mode with IOPL less than 3 *)
#GP(0); (* Trap to virtual-8086 monitor *)
FI;
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#SS(0) If the new value of the ESP register is outside the stack segment boundary.
#PF(fault-code) If a page fault occurs.
#AC(0) If an unaligned memory reference is made while the current privilege level is 3 and alignment
checking is enabled.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If the I/O privilege level is less than 3.
#PF(fault-code) If a page fault occurs.
#AC(0) If an unaligned memory reference is made while alignment checking is enabled.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#GP(0) If the memory address is in a non-canonical form.
#SS(0) If the stack address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If an unaligned memory reference is made while the current privilege level is 3 and alignment
checking is enabled.
#UD If the LOCK prefix is used.
PXORLogical Exclusive OR
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-273
P XO R Lo g ica l E x clu siv e O R
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a bitwise logical exclusive-OR (XOR) operation on the source operand (second operand) and the destina-
tion operand (first operand) and stores the result in the destination operand. Each bit of the result is 1 if the corre-
sponding bits of the two operands are different; each bit is 0 if the corresponding bits of the operands are the
same.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
Legacy SSE instructions: The source operand can be an MMX technology register or a 64-bit memory location. The
destination operand is an MMX technology register.
128-bit Legacy SSE version: The second source operand is an XMM register or a 128-bit memory location. The first
source operand and destination operands are XMM registers. Bits (VLMAX-1:128) of the corresponding YMM desti-
nation register remain unchanged.
VEX.128 encoded version: The second source operand is an XMM register or a 128-bit memory location. The first
source operand and destination operands are XMM registers. Bits (VLMAX-1:128) of the destination YMM register
are zeroed.
VEX.256 encoded version: The second source operand is an YMM register or a 256-bit memory location. The first
source operand and destination operands are YMM registers.
Note: VEX.L must be 0, otherwise instructions will #UD.
O p e ra t io n
P XO R (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST DEST XOR SRC
DEST[VLMAX-1:128] (Unmodified)
VP XO R (V E X.1 2 8 e n co d e d v e rsio n )
DEST SRC1 XOR SRC2
O p co d e */
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F EF /r
1
PXOR mm, mm/m64
RM V/V MMX Bitwise XOR of mm/m64 and mm.
66 0F EF /r
PXOR xmm1, xmm2/m128
RM V/V SSE2 Bitwise XOR of xmm2/m128 and xmm1.
VEX.NDS.128.66.0F.WIG EF /r
VPXOR xmm1, xmm2, xmm3/m128
RVM V/V AVX Bitwise XOR of xmm3/m128 and xmm2.
VEX.NDS.256.66.0F.WIG EF /r
VPXOR ymm1, ymm2, ymm3/m256
RVM V/V AVX2 Bitwise XOR of ymm3/m256 and ymm2.
NOTES:
1. See note in Section 2.4, Instruction Exception Specification in the Intel64 and IA-32 Architectures Software Developers Manual,
Volume 2A and Section 22.25.3, Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers in the Intel64 and
IA-32 Architectures Software Developers Manual, Volume 3A.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m(r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
PXORLogical Exclusive OR
INSTRUCTION SET REFERENCE, N-Z
4-274 Vol. 2B
DEST[VLMAX-1:128] 0
VP XO R (V E X.2 5 6 e n co d e d v e rsio n )
DEST SRC1 XOR SRC2
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
PXOR: __m64 _mm_xor_si64 (__m64 m1, __m64 m2)
(V)PXOR: __m128i _mm_xor_si128 ( __m128i a, __m128i b)
VPXOR: __m256i _mm256_xor_si256 ( __m256i a, __m256i b)
F la g s Af fe ct e d
None.
N u m e ric E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 1.
RCL/RCR/ROL/ROR-Rotate
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-275
R C L/R C R /R O L/R O R -R o t a t e
O p co d e ** I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
D0 /2 RCL r/m8, 1 M1 Valid Valid Rotate 9 bits (CF, r/m8) left once.
REX +D0 /2 RCL r/m8*, 1 M1 Valid N.E. Rotate 9 bits (CF, r/m8) left once.
D2 /2 RCL r/m8, CL MC Valid Valid Rotate 9 bits (CF, r/m8) left CL times.
REX +D2 /2 RCL r/m8*, CL MC Valid N.E. Rotate 9 bits (CF, r/m8) left CL times.
C0 /2 ib RCL r/m8, imm8 MI Valid Valid Rotate 9 bits (CF, r/m8) left imm8 times.
REX +C0 /2 ib RCL r/m8*, imm8 MI Valid N.E. Rotate 9 bits (CF, r/m8) left imm8 times.
D1 /2 RCL r/m16, 1 M1 Valid Valid Rotate 17 bits (CF, r/m16) left once.
D3 /2 RCL r/m16, CL MC Valid Valid Rotate 17 bits (CF, r/m16) left CL times.
C1 /2 ib RCL r/m16, imm8 MI Valid Valid Rotate 17 bits (CF, r/m16) left imm8 times.
D1 /2 RCL r/m32, 1 M1 Valid Valid Rotate 33 bits (CF, r/m32) left once.
REX.W +D1 /2 RCL r/m64, 1 M1 Valid N.E. Rotate 65 bits (CF, r/m64) left once. Uses a 6
bit count.
D3 /2 RCL r/m32, CL MC Valid Valid Rotate 33 bits (CF, r/m32) left CL times.
REX.W +D3 /2 RCL r/m64, CL MC Valid N.E. Rotate 65 bits (CF, r/m64) left CL times. Uses a
6 bit count.
C1 /2 ib RCL r/m32, imm8 MI Valid Valid Rotate 33 bits (CF, r/m32) left imm8 times.
REX.W +C1 /2 ib RCL r/m64, imm8 MI Valid N.E. Rotate 65 bits (CF, r/m64) left imm8 times.
Uses a 6 bit count.
D0 /3 RCR r/m8, 1 M1 Valid Valid Rotate 9 bits (CF, r/m8) right once.
REX +D0 /3 RCR r/m8*, 1 M1 Valid N.E. Rotate 9 bits (CF, r/m8) right once.
D2 /3 RCR r/m8, CL MC Valid Valid Rotate 9 bits (CF, r/m8) right CL times.
REX +D2 /3 RCR r/m8*, CL MC Valid N.E. Rotate 9 bits (CF, r/m8) right CL times.
C0 /3 ib RCR r/m8, imm8 MI Valid Valid Rotate 9 bits (CF, r/m8) right imm8 times.
REX +C0 /3 ib RCR r/m8*, imm8 MI Valid N.E. Rotate 9 bits (CF, r/m8) right imm8 times.
D1 /3 RCR r/m16, 1 M1 Valid Valid Rotate 17 bits (CF, r/m16) right once.
D3 /3 RCR r/m16, CL MC Valid Valid Rotate 17 bits (CF, r/m16) right CL times.
C1 /3 ib RCR r/m16, imm8 MI Valid Valid Rotate 17 bits (CF, r/m16) right imm8 times.
D1 /3 RCR r/m32, 1 M1 Valid Valid Rotate 33 bits (CF, r/m32) right once. Uses a 6
bit count.
REX.W +D1 /3 RCR r/m64, 1 M1 Valid N.E. Rotate 65 bits (CF, r/m64) right once. Uses a 6
bit count.
D3 /3 RCR r/m32, CL MC Valid Valid Rotate 33 bits (CF, r/m32) right CL times.
REX.W +D3 /3 RCR r/m64, CL MC Valid N.E. Rotate 65 bits (CF, r/m64) right CL times. Uses
a 6 bit count.
C1 /3 ib RCR r/m32, imm8 MI Valid Valid Rotate 33 bits (CF, r/m32) right imm8 times.
REX.W +C1 /3 ib RCR r/m64, imm8 MI Valid N.E. Rotate 65 bits (CF, r/m64) right imm8 times.
Uses a 6 bit count.
D0 /0 ROL r/m8, 1 M1 Valid Valid Rotate 8 bits r/m8 left once.
REX +D0 /0 ROL r/m8*, 1 M1 Valid N.E. Rotate 8 bits r/m8 left once
D2 /0 ROL r/m8, CL MC Valid Valid Rotate 8 bits r/m8 left CL times.
REX +D2 /0 ROL r/m8*, CL MC Valid N.E. Rotate 8 bits r/m8 left CL times.
C0 /0 ib ROL r/m8, imm8 MI Valid Valid Rotate 8 bits r/m8 left imm8 times.
RCL/RCR/ROL/ROR-Rotate
INSTRUCTION SET REFERENCE, N-Z
4-276 Vol. 2B
I n st ru ct io n O p e ra n d E n co d in g
O p co d e ** I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
REX +C0 /0 ib ROL r/m8*, imm8 MI Valid N.E. Rotate 8 bits r/m8 left imm8 times.
D1 /0 ROL r/m16, 1 M1 Valid Valid Rotate 16 bits r/m16 left once.
D3 /0 ROL r/m16, CL MC Valid Valid Rotate 16 bits r/m16 left CL times.
C1 /0 ib ROL r/m16, imm8 MI Valid Valid Rotate 16 bits r/m16 left imm8 times.
D1 /0 ROL r/m32, 1 M1 Valid Valid Rotate 32 bits r/m32 left once.
REX.W +D1 /0 ROL r/m64, 1 M1 Valid N.E. Rotate 64 bits r/m64 left once. Uses a 6 bit
count.
D3 /0 ROL r/m32, CL MC Valid Valid Rotate 32 bits r/m32 left CL times.
REX.W +D3 /0 ROL r/m64, CL MC Valid N.E. Rotate 64 bits r/m64 left CL times. Uses a 6
bit count.
C1 /0 ib ROL r/m32, imm8 MI Valid Valid Rotate 32 bits r/m32 left imm8 times.
REX.W +C1 /0 ib ROL r/m64, imm8 MI Valid N.E. Rotate 64 bits r/m64 left imm8 times. Uses a
6 bit count.
D0 /1 ROR r/m8, 1 M1 Valid Valid Rotate 8 bits r/m8 right once.
REX +D0 /1 ROR r/m8*, 1 M1 Valid N.E. Rotate 8 bits r/m8 right once.
D2 /1 ROR r/m8, CL MC Valid Valid Rotate 8 bits r/m8 right CL times.
REX +D2 /1 ROR r/m8*, CL MC Valid N.E. Rotate 8 bits r/m8 right CL times.
C0 /1 ib ROR r/m8, imm8 MI Valid Valid Rotate 8 bits r/m16 right imm8 times.
REX +C0 /1 ib ROR r/m8*, imm8 MI Valid N.E. Rotate 8 bits r/m16 right imm8 times.
D1 /1 ROR r/m16, 1 M1 Valid Valid Rotate 16 bits r/m16 right once.
D3 /1 ROR r/m16, CL MC Valid Valid Rotate 16 bits r/m16 right CL times.
C1 /1 ib ROR r/m16, imm8 MI Valid Valid Rotate 16 bits r/m16 right imm8 times.
D1 /1 ROR r/m32, 1 M1 Valid Valid Rotate 32 bits r/m32 right once.
REX.W +D1 /1 ROR r/m64, 1 M1 Valid N.E. Rotate 64 bits r/m64 right once. Uses a 6 bit
count.
D3 /1 ROR r/m32, CL MC Valid Valid Rotate 32 bits r/m32 right CL times.
REX.W +D3 /1 ROR r/m64, CL MC Valid N.E. Rotate 64 bits r/m64 right CL times. Uses a 6
bit count.
C1 /1 ib ROR r/m32, imm8 MI Valid Valid Rotate 32 bits r/m32 right imm8 times.
REX.W +C1 /1 ib ROR r/m64, imm8 MI Valid N.E. Rotate 64 bits r/m64 right imm8 times. Uses a
6 bit count.
N O T E S :
* In 64-bit mode, r/m8 can not be encoded to access the following byte registers if a REX prefix is used: AH, BH, CH, DH.
**See IA-32 Architecture Compatibility section below.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
M1 ModRM:r/m(w) 1 NA NA
MC ModRM:r/m(w) CL NA NA
MI ModRM:r/m(w) imm8 NA NA
RCL/RCR/ROL/ROR-Rotate
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-277
D e scrip t io n
Shifts (rotates) the bits of the first operand (destination operand) the number of bit positions specified in the
second operand (count operand) and stores the result in the destination operand. The destination operand can be
a register or a memory location; the count operand is an unsigned integer that can be an immediate or a value in
the CL register. In legacy and compatibility mode, the processor restricts the count to a number between 0 and 31
by masking all the bits in the count operand except the 5 least-significant bits.
The rotate left (ROL) and rotate through carry left (RCL) instructions shift all the bits toward more-significant bit
positions, except for the most-significant bit, which is rotated to the least-significant bit location. The rotate right
(ROR) and rotate through carry right (RCR) instructions shift all the bits toward less significant bit positions, except
for the least-significant bit, which is rotated to the most-significant bit location.
The RCL and RCR instructions include the CF flag in the rotation. The RCL instruction shifts the CF flag into the
least-significant bit and shifts the most-significant bit into the CF flag. The RCR instruction shifts the CF flag into
the most-significant bit and shifts the least-significant bit into the CF flag. For the ROL and ROR instructions, the
original value of the CF flag is not a part of the result, but the CF flag receives a copy of the bit that was shifted from
one end to the other.
The OF flag is defined only for the 1-bit rotates; it is undefined in all other cases (except RCL and RCR instructions
only: a zero-bit rotate does nothing, that is affects no flags). For left rotates, the OF flag is set to the exclusive OR
of the CF bit (after the rotate) and the most-significant bit of the result. For right rotates, the OF flag is set to the
exclusive OR of the two most-significant bits of the result.
In 64-bit mode, using a REX prefix in the form of REX.R permits access to additional registers (R8-R15). Use of
REX.W promotes the first operand to 64 bits and causes the count operand to become a 6-bit counter.
I A-3 2 Arch it e ct u re C o m p a t ib ilit y
The 8086 does not mask the rotation count. However, all other IA-32 processors (starting with the Intel 286
processor) do mask the rotation count to 5 bits, resulting in a maximum count of 31. This masking is done in all
operating modes (including the virtual-8086 mode) to reduce the maximum execution time of the instructions.
O p e ra t io n
(* RCL and RCR instructions *)
SIZE OperandSize;
CASE (determine count) OF
SIZE 8: tempCOUNT (COUNT AND 1FH) MOD 9;
SIZE 16: tempCOUNT (COUNT AND 1FH) MOD 17;
SIZE 32: tempCOUNT COUNT AND 1FH;
SIZE 64: tempCOUNT COUNT AND 3FH;
ESAC;
(* RCL instruction operation *)
WHILE (tempCOUNT 0)
DO
tempCF MSB(DEST);
DEST (DEST 2) + CF;
CF tempCF;
tempCOUNT tempCOUNT 1;
OD;
ELIHW;
IF COUNT = 1
THEN OF MSB(DEST) XOR CF;
ELSE OF is undefined;
FI;
(* RCR instruction operation *)
IF COUNT = 1
RCL/RCR/ROL/ROR-Rotate
INSTRUCTION SET REFERENCE, N-Z
4-278 Vol. 2B
THEN OF MSB(DEST) XOR CF;
ELSE OF is undefined;
FI;
WHILE (tempCOUNT 0)
DO
tempCF LSB(SRC);
DEST (DEST / 2) + (CF * 2
SIZE
);
CF tempCF;
tempCOUNT tempCOUNT 1;
OD;
(* ROL and ROR instructions *)
IF OperandSize =64
THEN COUNTMASK =3FH;
ELSE COUNTMASK =1FH;
FI;
(* ROL instruction operation *)
tempCOUNT (COUNT & COUNTMASK) MOD SIZE
WHILE (tempCOUNT 0)
DO
tempCF MSB(DEST);
DEST (DEST 2) + tempCF;
tempCOUNT tempCOUNT 1;
OD;
ELIHW;
CF LSB(DEST);
IF (COUNT & COUNTMASK) = 1
THEN OF MSB(DEST) XOR CF;
ELSE OF is undefined;
FI;
(* ROR instruction operation *)
tempCOUNT (COUNT & COUNTMASK) MOD SIZE
WHILE (tempCOUNT 0)
DO
tempCF LSB(SRC);
DEST (DEST / 2) + (tempCF 2
SIZE
);
tempCOUNT tempCOUNT 1;
OD;
ELIHW;
CF MSB(DEST);
IF (COUNT & COUNTMASK) = 1
THEN OF MSB(DEST) XOR MSB 1(DEST);
ELSE OF is undefined;
FI;
F la g s Af fe ct e d
The CF flag contains the value of the bit shifted into it. The OF flag is affected only for single-bit rotates (see
Description above); it is undefined for multi-bit rotates. The SF, ZF, AF, and PF flags are not affected.
RCL/RCR/ROL/ROR-Rotate
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-279
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the source operand is located in a non-writable segment.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the source operand is located in a nonwritable segment.
If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
RCPPSCompute Reciprocals of Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-280 Vol. 2B
R C P P S C o m p u t e R e cip ro ca ls o f P a cke d S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a SIMD computation of the approximate reciprocals of the four packed single-precision floating-point
values in the source operand (second operand) stores the packed single-precision floating-point results in the
destination operand. The source operand can be an XMM register or a 128-bit memory location. The destination
operand is an XMM register. See Figure 10-5 in the Intel 64 and IA-32 Architectures Software Developers
Manual, Volume 1, for an illustration of a SIMD single-precision floating-point operation.
The relative error for this approximation is:
|Relative Error| 1.5 2
12

The RCPPS instruction is not affected by the rounding control bits in the MXCSR register. When a source value is a
0.0, an of the sign of the source value is returned. A denormal source value is treated as a 0.0 (of the same sign).
Tiny results are always flushed to 0.0, with the sign of the operand. (Input values greater than or equal to
|1.11111111110100000000000B2
125
| are guaranteed to not produce tiny results; input values less than or equal
to |1.00000000000110000000001B*2
126
| are guaranteed to produce tiny results, which are in turn flushed to 0.0;
and input values in between this range may or may not produce tiny results, depending on the implementation.)
When a source value is an SNaN or QNaN, the SNaN is converted to a QNaN or the source QNaN is returned.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
128-bit Legacy SSE version: The second source can be an XMM register or an 128-bit memory location. The desti-
nation is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding
YMM register destination are unmodified.
VEX.128 encoded version: the first source operand is an XMM register or 128-bit memory location. The destination
operand is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand can be a YMM
register or a 256-bit memory location. The destination operand is a YMM register.
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b, otherwise instructions will #UD.
O p co d e */
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 53 /r
RCPPS xmm1, xmm2/m128
RM V/V SSE Computes the approximate reciprocals of the
packed single-precision floating-point values
in xmm2/m128 and stores the results in
xmm1.
VEX.128.0F.WIG 53 /r
VRCPPS xmm1, xmm2/m128
RM V/V AVX Computes the approximate reciprocals of
packed single-precision values in xmm2/mem
and stores the results in xmm1.
VEX.256.0F.WIG 53 /r
VRCPPS ymm1, ymm2/m256
RM V/V AVX Computes the approximate reciprocals of
packed single-precision values in ymm2/mem
and stores the results in ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
RCPPSCompute Reciprocals of Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-281
O p e ra t io n
R C P P S (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[31:0] APPROXIMATE(1/SRC[31:0])
DEST[63:32] APPROXIMATE(1/SRC[63:32])
DEST[95:64] APPROXIMATE(1/SRC[95:64])
DEST[127:96] APPROXIMATE(1/SRC[127:96])
DEST[VLMAX-1:128] (Unmodified)
VR C P P S (VE X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] APPROXIMATE(1/SRC[31:0])
DEST[63:32] APPROXIMATE(1/SRC[63:32])
DEST[95:64] APPROXIMATE(1/SRC[95:64])
DEST[127:96] APPROXIMATE(1/SRC[127:96])
DEST[VLMAX-1:128] 0
VR C P P S (VE X.2 5 6 e n co d e d v e rsio n )
DEST[31:0] APPROXIMATE(1/SRC[31:0])
DEST[63:32] APPROXIMATE(1/SRC[63:32])
DEST[95:64] APPROXIMATE(1/SRC[95:64])
DEST[127:96] APPROXIMATE(1/SRC[127:96])
DEST[159:128] APPROXIMATE(1/SRC[159:128])
DEST[191:160] APPROXIMATE(1/SRC[191:160])
DEST[223:192] APPROXIMATE(1/SRC[223:192])
DEST[255:224] APPROXIMATE(1/SRC[255:224])
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
RCCPS: __m128 _mm_rcp_ps(__m128 a)
RCPPS: __m256 _mm256_rcp_ps (__m256 a);
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.vvvv != 1111B.
RCPSSCompute Reciprocal of Scalar Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-282 Vol. 2B
R C P S S C o m p u t e R e cip ro ca l o f S ca la r S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Computes of an approximate reciprocal of the low single-precision floating-point value in the source operand
(second operand) and stores the single-precision floating-point result in the destination operand. The source
operand can be an XMM register or a 32-bit memory location. The destination operand is an XMM register. The
three high-order doublewords of the destination operand remain unchanged. See Figure 10-6 in the Intel 64 and
IA-32 Architectures Software Developers Manual, Volume 1, for an illustration of a scalar single-precision floating-
point operation.
The relative error for this approximation is:
|Relative Error| 1.5 2
12

The RCPSS instruction is not affected by the rounding control bits in the MXCSR register. When a source value is a
0.0, an of the sign of the source value is returned. A denormal source value is treated as a 0.0 (of the same sign).
Tiny results are always flushed to 0.0, with the sign of the operand. (Input values greater than or equal to
|1.11111111110100000000000B2
125
| are guaranteed to not produce tiny results; input values less than or equal
to |1.00000000000110000000001B*2
126
| are guaranteed to produce tiny results, which are in turn flushed to 0.0;
and input values in between this range may or may not produce tiny results, depending on the implementation.)
When a source value is an SNaN or QNaN, the SNaN is converted to a QNaN or the source QNaN is returned.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
128-bit Legacy SSE version: The first source operand and the destination operand are the same. Bits (VLMAX-
1:32) of the corresponding YMM destination register remain unchanged.
VEX.128 encoded version: Bits (VLMAX-1:128) of the destination YMM register are zeroed.
O p e ra t io n
R C P S S (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[31:0] APPROXIMATE(1/SRC[31:0])
DEST[VLMAX-1:32] (Unmodified)
VR C P S S (VE X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] APPROXIMATE(1/SRC2[31:0])
DEST[127:32] SRC1[127:32]
DEST[VLMAX-1:128] 0
O p co d e */
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
F3 0F 53 /r
RCPSS xmm1, xmm2/m32
RM V/V SSE Computes the approximate reciprocal of the
scalar single-precision floating-point value in
xmm2/m32 and stores the result in xmm1.
VEX.NDS.LIG.F3.0F.WIG 53 /r
VRCPSS xmm1, xmm2, xmm3/m32
RVM V/V AVX Computes the approximate reciprocal of the
scalar single-precision floating-point value in
xmm3/m32 and stores the result in xmm1.
Also, upper single precision floating-point
values (bits[127:32]) from xmm2 are copied to
xmm1[127:32].
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
RCPSSCompute Reciprocal of Scalar Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-283
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
RCPSS: __m128 _mm_rcp_ss(__m128 a)
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 5.
RDFSBASE/RDGSBASERead FS/GS Segment Base
INSTRUCTION SET REFERENCE, N-Z
4-284 Vol. 2B
R D F S B AS E /R D G S B AS E R e a d F S /G S S e g m e n t B a se
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Loads the general-purpose register indicated by the modR/M:r/m field with the FS or GS segment base address.
The destination operand may be either a 32-bit or a 64-bit general-purpose register. The REX.W prefix indicates the
operand size is 64 bits. If no REX.W prefix is used, the operand size is 32 bits; the upper 32 bits of the source base
address (for FS or GS) are ignored and upper 32 bits of the destination register are cleared.
This instruction is supported only in 64-bit mode.
O p e ra t io n
DEST FS/GS segment base address;
F la g s Af fe ct e d
None
C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
RDFSBASE: unsigned int _readfsbase_u32(void );
RDFSBASE: unsigned __int64 _readfsbase_u64(void );
RDGSBASE: unsigned int _readgsbase_u32(void );
RDGSBASE: unsigned __int64 _readgsbase_u64(void );
P ro t e ct e d Mo d e E x ce p t io n s
#UD The RDFSBASE and RDGSBASE instructions are not recognized in protected mode.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#UD The RDFSBASE and RDGSBASE instructions are not recognized in real-address mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#UD The RDFSBASE and RDGSBASE instructions are not recognized in virtual-8086 mode.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -
b it
Mo d e
C P U I D Fe a -
t u re F la g
D e scrip t io n
F3 0F AE /0
RDFSBASE r32
M V/I FSGSBASE Load the 32-bit destination register with the FS
base address.
REX.W +F3 0F AE /0
RDFSBASE r64
M V/I FSGSBASE Load the 64-bit destination register with the FS
base address.
F3 0F AE /1
RDGSBASE r32
M V/I FSGSBASE Load the 32-bit destination register with the GS
base address.
REX.W +F3 0F AE /1
RDGSBASE r64
M V/I FSGSBASE Load the 64-bit destination register with the GS
base address.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
M ModRM:r/m (w) NA NA NA
RDFSBASE/RDGSBASERead FS/GS Segment Base
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-285
C o m p a t ib ilit y Mo d e E x ce p t io n s
#UD The RDFSBASE and RDGSBASE instructions are not recognized in compatibility mode.
6 4 -B it Mo d e E x ce p t io n s
#UD If the LOCK prefix is used.
If CR4.FSGSBASE[bit 16] = 0.
If CPUID.07H.0H:EBX.FSGSBASE[bit 0] = 0.
RDMSRRead fromModel Specific Register
INSTRUCTION SET REFERENCE, N-Z
4-286 Vol. 2B
R D MS R R e a d fro m Mo d e l S p e cific R e g ist e r
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Reads the contents of a 64-bit model specific register (MSR) specified in the ECX register into registers EDX:EAX.
(On processors that support the Intel 64 architecture, the high-order 32 bits of RCX are ignored.) The EDX register
is loaded with the high-order 32 bits of the MSR and the EAX register is loaded with the low-order 32 bits. (On
processors that support the Intel 64 architecture, the high-order 32 bits of each of RAX and RDX are cleared.) If
fewer than 64 bits are implemented in the MSR being read, the values returned to EDX:EAX in unimplemented bit
locations are undefined.
This instruction must be executed at privilege level 0 or in real-address mode; otherwise, a general protection
exception #GP(0) will be generated. Specifying a reserved or unimplemented MSR address in ECX will also cause a
general protection exception.
The MSRs control functions for testability, execution tracing, performance-monitoring, and machine check errors.
Chapter 35, Model-Specific Registers (MSRs), in the Intel 64 and IA-32 Architectures Software Developers
Manual, Volume 3C, lists all the MSRs that can be read with this instruction and their addresses. Note that each
processor family has its own set of MSRs.
The CPUID instruction should be used to determine whether MSRs are supported (CPUID.01H:EDX[5] = 1) before
using this instruction.
I A-3 2 Arch it e ct u re C o m p a t ib ilit y
The MSRs and the ability to read them with the RDMSR instruction were introduced into the IA-32 Architecture with
the Pentium processor. Execution of this instruction by an IA-32 processor earlier than the Pentium processor
results in an invalid opcode exception #UD.
See Changes to Instruction Behavior in VMX Non-Root Operation in Chapter 25 of the Intel 64 and IA-32 Archi-
tectures Software Developers Manual, Volume 3C, for more information about the behavior of this instruction in
VMX non-root operation.
O p e ra t io n
EDX:EAX MSR[ECX];
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the current privilege level is not 0.
If the value in ECX specifies a reserved or unimplemented MSR address.
#UD If the LOCK prefix is used.
O p co d e * I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 32 RDMSR NP Valid Valid Read MSR specified by ECX into EDX:EAX.
N O T E S :
* See IA-32 Architecture Compatibility section below.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
RDMSRRead fromModel Specific Register
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-287
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If the value in ECX specifies a reserved or unimplemented MSR address.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) The RDMSR instruction is not recognized in virtual-8086 mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#GP(0) If the current privilege level is not 0.
If the value in ECX or RCX specifies a reserved or unimplemented MSR address.
#UD If the LOCK prefix is used.
RDPMCRead Performance-Monitoring Counters
INSTRUCTION SET REFERENCE, N-Z
4-288 Vol. 2B
R D P MC R e a d P e rfo rm a n ce -Mo n it o rin g C o u n t e rs
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
The EAX register is loaded with the low-order 32 bits. The EDX register is loaded with the supported high-order bits
of the counter. The number of high-order bits loaded into EDX is implementation specific on processors that do no
support architectural performance monitoring. The width of fixed-function and general-purpose performance
counters on processors supporting architectural performance monitoring are reported by CPUID 0AH leaf. See
below for the treatment of the EDX register for fast reads.
The ECX register selects one of two type of performance counters, specifies the index relative to the base of each
counter type, and selects fast read mode if supported. The two counter types are :
General-purpose or special-purpose performance counters: The number of general-purpose counters is model
specific if the processor does not support architectural performance monitoring, see Chapter 30 of Intel 64
and IA-32 Architectures Software Developers Manual, Volume 3B. Special-purpose counters are available only
in selected processor members, see Section 30.13, 30.14 of Intel 64 and IA-32 Architectures Software
Developers Manual, Volume 3B. This counter type is selected if ECX[30] is clear.
Fixed-function performance counter. The number fixed-function performance counters is enumerated by CPUID
0AH leaf. See Chapter 30 of Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3B. This
counter type is selected if ECX[30] is set.
ECX[29:0] specifies the index. The width of general-purpose performance counters are 40-bits for processors that
do not support architectural performance monitoring counters.The width of special-purpose performance counters
are implementation specific. The width of fixed-function performance counters and general-purpose performance
counters on processor supporting architectural performance monitoring are reported by CPUID 0AH leaf.
Table 4-12 lists valid indices of the general-purpose and special-purpose performance counters according to the
derived DisplayFamily_DisplayModel values of CPUID encoding for each processor family (see CPUID instruction in
Chapter 3, Instruction Set Reference, A-M in the Intel 64 and IA-32 Architectures Software Developers Manual,
Volume 2A).
O p co d e * I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 33 RDPMC NP Valid Valid Read performance-monitoring counter
specified by ECX into EDX:EAX.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
Ta b le 4 -1 2 . Va lid G e n e ra l a n d S p e cia l P u rp o se P e rfo rm a n ce C o u n t e r I n d e x R a n g e fo r R D P MC
P ro ce sso r Fa m ily D isp la y Fa m ily _D isp la y Mo d e l/
O t h e r S ig n a t u re s
Va lid P MC I n d e x
R a n g e
G e n e ra l-p u rp o se
C o u n t e rs
P6 06H_01H, 06H_03H, 06H_05H,
06H_06H, 06H_07H, 06H_08H,
06H_0AH, 06H_0BH
0, 1 0, 1
Pentium

4, Intel

Xeon processors 0FH_00H, 0FH_01H, 0FH_02H 0 and 17 0 and 17


Pentium 4, Intel Xeon processors (0FH_03H, 0FH_04H, 0FH_06H)
and (L3 is absent)
0 and 17 0 and 17
Pentium M processors 06H_09H, 06H_0DH 0, 1 0, 1
64-bit Intel Xeon processors with L3 0FH_03H, 0FH_04H) and (L3 is
present)
0 and 25 0 and 17
Intel

CoreSolo and Intel

CoreDuo
processors, Dual-core Intel

Xeon

processor LV
06H_0EH 0, 1 0, 1
RDPMCRead Performance-Monitoring Counters
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-289
The Pentium 4 and Intel Xeon processors also support fast (32-bit) and slow (40-bit) reads on the first 18
performance counters. Selected this option using ECX[31]. If bit 31 is set, RDPMC reads only the low 32 bits of the
selected performance counter. If bit 31 is clear, all 40 bits are read. A 32-bit result is returned in EAX and EDX is
set to 0. A 32-bit read executes faster on Pentium 4 processors and Intel Xeon processors than a full 40-bit read.
On 64-bit Intel Xeon processors with L3, performance counters with indices 18-25 are 32-bit counters. EDX is
cleared after executing RDPMC for these counters. On Intel Xeon processor 7100 series with L3, performance
counters with indices 18-25 are also 32-bit counters.
In Intel Core 2 processor family, Intel Xeon processor 3000, 5100, 5300 and 7400 series, the fixed-function perfor-
mance counters are 40-bits wide; they can be accessed by RDMPC with ECX between from 4000_0000H and
4000_0002H.
On Intel Xeon processor 7400 series, there are eight 32-bit special-purpose counters addressable with indices 2-9,
ECX[30]=0.
When in protected or virtual 8086 mode, the performance-monitoring counters enabled (PCE) flag in register CR4
restricts the use of the RDPMC instruction as follows. When the PCE flag is set, the RDPMC instruction can be
executed at any privilege level; when the flag is clear, the instruction can only be executed at privilege level 0.
(When in real-address mode, the RDPMC instruction is always enabled.)
The performance-monitoring counters can also be read with the RDMSR instruction, when executing at privilege
level 0.
The performance-monitoring counters are event counters that can be programmed to count events such as the
number of instructions decoded, number of interrupts received, or number of cache loads. Chapter 19, Perfor-
mance Monitoring Events, in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3B, lists
the events that can be counted for various processors in the Intel 64 and IA-32 architecture families.
The RDPMC instruction is not a serializing instruction; that is, it does not imply that all the events caused by the
preceding instructions have been completed or that events caused by subsequent instructions have not begun. If
an exact event count is desired, software must insert a serializing instruction (such as the CPUID instruction)
before and/or after the RDPMC instruction.
In the Pentium 4 and Intel Xeon processors, performing back-to-back fast reads are not guaranteed to be mono-
tonic. To guarantee monotonicity on back-to-back reads, a serializing instruction must be placed between the two
RDPMC instructions.
The RDPMC instruction can execute in 16-bit addressing mode or virtual-8086 mode; however, the full contents of
the ECX register are used to select the counter, and the event count is stored in the full EAX and EDX registers. The
RDPMC instruction was introduced into the IA-32 Architecture in the Pentium Pro processor and the Pentium
processor with MMX technology. The earlier Pentium processors have performance-monitoring counters, but they
must be read with the RDMSR instruction.
Intel

Core2 Duo processor, Intel Xeon processor


3000, 5100, 5300, 7300 Series - general-purpose
PMC
06H_0FH 0, 1 0, 1
Intel Xeon processors 7100 series with L3 (0FH_06H) and (L3 is present) 0 and 25 0 and 17
Intel

Core2 Duo processor family, Intel Xeon


processor family - general-purpose PMC
06H_17H 0, 1 0, 1
Intel Xeon processors 7400 series (06H_1DH) 0 and 9 0, 1
Intel

Atomprocessor family 06H_1CH 0, 1 0, 1


Intel

Corei7 processor, Intel Xeon processors


5500 series
06H_1AH, 06H_1EH, 06H_1FH,
06H_2EH
0-3 0, 1, 2, 3
Ta b le 4 -1 2 . Va lid G e n e ra l a n d S p e cia l P u rp o se P e rfo rm a n ce C o u n t e r I n d e x R a n g e fo r R D P MC (C o n t d .)
P ro ce sso r Fa m ily D isp la y Fa m ily _D isp la y Mo d e l/
O t h e r S ig n a t u re s
Va lid P MC I n d e x
R a n g e
G e n e ra l-p u rp o se
C o u n t e rs
RDPMCRead Performance-Monitoring Counters
INSTRUCTION SET REFERENCE, N-Z
4-290 Vol. 2B
O p e ra t io n
(* Intel Core i7 processor family and Intel Xeon processor 3400, 5500 series*)
Most significant counter bit (MSCB) =47
IF ((CR4.PCE = 1) or (CPL = 0) or (CR0.PE = 0))
THEN IF (ECX[30] = 1 and ECX[29:0] in valid fixed-counter range)
EAX IA32_FIXED_CTR(ECX)[30:0];
EDX IA32_FIXED_CTR(ECX)[MSCB:32];
ELSE IF (ECX[30] = 0 and ECX[29:0] in valid general-purpose counter range)
EAX PMC(ECX[30:0])[31:0];
EDX PMC(ECX[30:0])[MSCB:32];
ELSE (* ECX is not valid or CR4.PCE is 0 and CPL is 1, 2, or 3 and CR0.PE is 1 *)
#GP(0);
FI;
(* Intel Core 2 Duo processor family and Intel Xeon processor 3000, 5100, 5300, 7400 series*)
Most significant counter bit (MSCB) =39
IF ((CR4.PCE = 1) or (CPL = 0) or (CR0.PE = 0))
THEN IF (ECX[30] = 1 and ECX[29:0] in valid fixed-counter range)
EAX IA32_FIXED_CTR(ECX)[30:0];
EDX IA32_FIXED_CTR(ECX)[MSCB:32];
ELSE IF (ECX[30] = 0 and ECX[29:0] in valid general-purpose counter range)
EAX PMC(ECX[30:0])[31:0];
EDX PMC(ECX[30:0])[MSCB:32];
ELSE IF (ECX[30] = 0 and ECX[29:0] in valid special-purpose counter range)
EAX PMC(ECX[30:0])[31:0]; (* 32-bit read *)
ELSE (* ECX is not valid or CR4.PCE is 0 and CPL is 1, 2, or 3 and CR0.PE is 1 *)
#GP(0);
FI;
(* P6 family processors and Pentiumprocessor with MMX technology *)
IF (ECX = 0 or 1) and ((CR4.PCE = 1) or (CPL = 0) or (CR0.PE = 0))
THEN
EAX PMC(ECX)[31:0];
EDX PMC(ECX)[39:32];
ELSE (* ECX is not 0 or 1 or CR4.PCE is 0 and CPL is 1, 2, or 3 and CR0.PE is 1 *)
#GP(0);
FI;
(* Processors with CPUID family 15 *)
IF ((CR4.PCE = 1) or (CPL = 0) or (CR0.PE = 0))
THEN IF (ECX[30:0] = 0:17)
THEN IF ECX[31] = 0
THEN
EAX PMC(ECX[30:0])[31:0]; (* 40-bit read *)
EDX PMC(ECX[30:0])[39:32];
ELSE (* ECX[31] = 1*)
THEN
EAX PMC(ECX[30:0])[31:0]; (* 32-bit read *)
EDX 0;
FI;
ELSE IF (*64-bit Intel Xeon processor with L3 *)
THEN IF (ECX[30:0] = 18:25 )
RDPMCRead Performance-Monitoring Counters
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-291
EAX PMC(ECX[30:0])[31:0]; (* 32-bit read *)
EDX 0;
FI;
ELSE IF (*Intel Xeon processor 7100 series with L3 *)
THEN IF (ECX[30:0] = 18:25 )
EAX PMC(ECX[30:0])[31:0]; (* 32-bit read *)
EDX 0;
FI;
ELSE (* Invalid PMC index in ECX[30:0], see Table 4-15. *)
GP(0);
FI;
ELSE (* CR4.PCE =0 and (CPL =1, 2, or 3) and CR0.PE =1 *)
#GP(0);
FI;
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the current privilege level is not 0 and the PCE flag in the CR4 register is clear.
If an invalid performance counter index is specified (see Table 4-12).
(Pentium 4 and Intel Xeon processors) If the value in ECX[30:0] is not within the valid range.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If an invalid performance counter index is specified (see Table 4-12).
(Pentium 4 and Intel Xeon processors) If the value in ECX[30:0] is not within the valid range.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If the PCE flag in the CR4 register is clear.
If an invalid performance counter index is specified (see Table 4-12).
(Pentium 4 and Intel Xeon processors) If the value in ECX[30:0] is not within the valid range.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#GP(0) If the current privilege level is not 0 and the PCE flag in the CR4 register is clear.
If an invalid performance counter index is specified in ECX[30:0] (see Table 4-12).
#UD If the LOCK prefix is used.
RDRANDRead RandomNumber
INSTRUCTION SET REFERENCE, N-Z
4-292 Vol. 2B
R D R AN D R e a d R a n d o m N u m b e r
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Loads a hardware generated random value and store it in the destination register. The size of the random value is
determined by the destination register size and operating mode. The Carry Flag indicates whether a random value
is available at the time the instruction is executed. CF=1 indicates that the data in the destination is valid. Other-
wise CF=0 and the data in the destination operand will be returned as zeros for the specified width. All other flags
are forced to 0 in either situation. Software must check the state of CF=1 for determining if a valid random value
has been returned, otherwise it is expected to loop and retry execution of RDRAND (see Intel 64 and IA-32 Archi-
tectures Software Developers Manual, Volume 1, Section 7.3.17, Random Number Generator Instruction).
This instruction is available at all privilege levels.
In 64-bit mode, the instruction's default operation size is 32 bits. Using a REX prefix in the form of REX.B permits
access to additional registers (R8-R15). Using a REX prefix in the form of REX.W promotes operation to 64 bit oper-
ands. See the summary chart at the beginning of this section for encoding data and limits.
O p e ra t io n
IF HW_RND_GEN.ready =1
THEN
CASE of
osize is 64: DEST[63:0] HW_RND_GEN.data;
osize is 32: DEST[31:0] HW_RND_GEN.data;
osize is 16: DEST[15:0] HW_RND_GEN.data;
ESAC
CF 1;
ELSE
CASE of
osize is 64: DEST[63:0] 0;
osize is 32: DEST[31:0] 0;
osize is 16: DEST[15:0] 0;
ESAC
CF 0;
FI
OF, SF, ZF, AF, PF 0;
F la g s Af fe ct e d
All flags are affected.
O p co d e */
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F C7 /6
RDRAND r16
M V/V RDRAND Read a 16-bit random number and store in the
destination register.
0F C7 /6
RDRAND r32
M V/V RDRAND Read a 32-bit random number and store in the
destination register.
REX.W +0F C7 /6
RDRAND r64
M V/I RDRAND Read a 64-bit random number and store in the
destination register.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
M ModRM:r/m (w) NA NA NA
RDRANDRead RandomNumber
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-293
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
RDRAND: int _rdrand16_step( unsigned short * );
RDRAND: int _rdrand32_step( unsigned int * );
RDRAND: int _rdrand64_step( unsigned __int64 *);
P ro t e ct e d Mo d e E x ce p t io n s
#UD If the LOCK prefix is used.
If the F2H or F3H prefix is used.
If CPUID.01H:ECX.RDRAND[bit 30] = 0.
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as in protected mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
RDTSCRead Time-Stamp Counter
INSTRUCTION SET REFERENCE, N-Z
4-294 Vol. 2B
R D T S C R e a d T im e -S t a m p C o u n t e r
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Loads the current value of the processors time-stamp counter (a 64-bit MSR) into the EDX:EAX registers. The EDX
register is loaded with the high-order 32 bits of the MSR and the EAX register is loaded with the low-order 32 bits.
(On processors that support the Intel 64 architecture, the high-order 32 bits of each of RAX and RDX are cleared.)
The processor monotonically increments the time-stamp counter MSR every clock cycle and resets it to 0 whenever
the processor is reset. See Time Stamp Counter in Chapter 17 of the Intel 64 and IA-32 Architectures Software
Developers Manual, Volume 3B, for specific details of the time stamp counter behavior.
When in protected or virtual 8086 mode, the time stamp disable (TSD) flag in register CR4 restricts the use of the
RDTSC instruction as follows. When the TSD flag is clear, the RDTSC instruction can be executed at any privilege
level; when the flag is set, the instruction can only be executed at privilege level 0. (When in real-address mode,
the RDTSC instruction is always enabled.)
The time-stamp counter can also be read with the RDMSR instruction, when executing at privilege level 0.
The RDTSC instruction is not a serializing instruction. It does not necessarily wait until all previous instructions
have been executed before reading the counter. Similarly, subsequent instructions may begin execution before the
read operation is performed. If software requires RDTSC to be executed only after all previous instructions have
completed locally, it can either use RDTSCP (if the processor supports that instruction) or execute the sequence
LFENCE;RDTSC.
This instruction was introduced by the Pentium processor.
See Changes to Instruction Behavior in VMX Non-Root Operation in Chapter 25 of the Intel 64 and IA-32 Archi-
tectures Software Developers Manual, Volume 3C, for more information about the behavior of this instruction in
VMX non-root operation.
O p e ra t io n
IF (CR4.TSD = 0) or (CPL = 0) or (CR0.PE = 0)
THEN EDX:EAX TimeStampCounter;
ELSE (* CR4.TSD =1 and (CPL =1, 2, or 3) and CR0.PE =1 *)
#GP(0);
FI;
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the TSD flag in register CR4 is set and the CPL is greater than 0.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#UD If the LOCK prefix is used.
O p co d e * I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 31 RDTSC NP Valid Valid Read time-stamp counter into EDX:EAX.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
RDTSCRead Time-Stamp Counter
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-295
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If the TSD flag in register CR4 is set.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
RDTSCPRead Time-Stamp Counter and Processor ID
INSTRUCTION SET REFERENCE, N-Z
4-296 Vol. 2B
R D T S C P R e a d T im e -S t a m p C o u n t e r a n d P ro ce sso r I D
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Loads the current value of the processors time-stamp counter (a 64-bit MSR) into the EDX:EAX registers and also
loads the IA32_TSC_AUX MSR (address C000_0103H) into the ECX register. The EDX register is loaded with the
high-order 32 bits of the IA32_TSC MSR; the EAX register is loaded with the low-order 32 bits of the IA32_TSC
MSR; and the ECX register is loaded with the low-order 32-bits of IA32_TSC_AUX MSR. On processors that support
the Intel 64 architecture, the high-order 32 bits of each of RAX, RDX, and RCX are cleared.
The processor monotonically increments the time-stamp counter MSR every clock cycle and resets it to 0 whenever
the processor is reset. See Time Stamp Counter in Chapter 17 of the Intel 64 and IA-32 Architectures Software
Developers Manual, Volume 3B, for specific details of the time stamp counter behavior.
When in protected or virtual 8086 mode, the time stamp disable (TSD) flag in register CR4 restricts the use of the
RDTSCP instruction as follows. When the TSD flag is clear, the RDTSCP instruction can be executed at any privilege
level; when the flag is set, the instruction can only be executed at privilege level 0. (When in real-address mode,
the RDTSCP instruction is always enabled.)
The RDTSCP instruction waits until all previous instructions have been executed before reading the counter.
However, subsequent instructions may begin execution before the read operation is performed.
The presence of the RDTSCP instruction is indicated by CPUID leaf 80000001H, EDX bit 27. If the bit is set to 1 then
RDTSCP is present on the processor.
See Changes to Instruction Behavior in VMX Non-Root Operation in Chapter 25 of the Intel 64 and IA-32 Archi-
tectures Software Developers Manual, Volume 3C, for more information about the behavior of this instruction in
VMX non-root operation.
O p e ra t io n
IF (CR4.TSD = 0) or (CPL = 0) or (CR0.PE = 0)
THEN
EDX:EAX TimeStampCounter;
ECX IA32_TSC_AUX[31:0];
ELSE (* CR4.TSD =1 and (CPL =1, 2, or 3) and CR0.PE =1 *)
#GP(0);
FI;
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the TSD flag in register CR4 is set and the CPL is greater than 0.
#UD If the LOCK prefix is used.
If CPUID.80000001H:EDX.RDTSCP[bit 27] = 0.
O p co d e * I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 01 F9 RDTSCP NP Valid Valid Read 64-bit time-stamp counter and 32-bit
IA32_TSC_AUX value into EDX:EAX and ECX.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
RDTSCPRead Time-Stamp Counter and Processor ID
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-297
R e a l-Ad d re ss Mo d e E x ce p t io n s
#UD If the LOCK prefix is used.
If CPUID.80000001H:EDX.RDTSCP[bit 27] = 0.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If the TSD flag in register CR4 is set.
#UD If the LOCK prefix is used.
If CPUID.80000001H:EDX.RDTSCP[bit 27] = 0.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
REP/REPE/REPZ/REPNE/REPNZRepeat String Operation Prefix
INSTRUCTION SET REFERENCE, N-Z
4-298 Vol. 2B
R E P /R E P E /R E P Z /R E P N E /R E P N Z R e p e a t S t rin g O p e ra t io n P re fix
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
F3 6C REP INS m8, DX NP Valid Valid Input (E)CX bytes from port DX into ES:[(E)DI].
F3 6C REP INS m8, DX NP Valid N.E. Input RCX bytes from port DX into [RDI].
F3 6D REP INS m16, DX NP Valid Valid Input (E)CX words from port DX into ES:[(E)DI.]
F3 6D REP INS m32, DX NP Valid Valid Input (E)CX doublewords from port DX into
ES:[(E)DI].
F3 6D REP INS r/m32, DX NP Valid N.E. Input RCX default size from port DX into [RDI].
F3 A4 REP MOVS m8, m8 NP Valid Valid Move (E)CX bytes from DS:[(E)SI] to ES:[(E)DI].
F3 REX.W A4 REP MOVS m8, m8 NP Valid N.E. Move RCX bytes from [RSI] to [RDI].
F3 A5 REP MOVS m16, m16 NP Valid Valid Move (E)CX words from DS:[(E)SI] to ES:[(E)DI].
F3 A5 REP MOVS m32, m32 NP Valid Valid Move (E)CX doublewords from DS:[(E)SI] to
ES:[(E)DI].
F3 REX.W A5 REP MOVS m64, m64 NP Valid N.E. Move RCX quadwords from [RSI] to [RDI].
F3 6E REP OUTS DX, r/m8 NP Valid Valid Output (E)CX bytes from DS:[(E)SI] to port DX.
F3 REX.W 6E REP OUTS DX, r/m8* NP Valid N.E. Output RCX bytes from [RSI] to port DX.
F3 6F REP OUTS DX, r/m16 NP Valid Valid Output (E)CX words from DS:[(E)SI] to port DX.
F3 6F REP OUTS DX, r/m32 NP Valid Valid Output (E)CX doublewords from DS:[(E)SI] to
port DX.
F3 REX.W 6F REP OUTS DX, r/m32 NP Valid N.E. Output RCX default size from [RSI] to port DX.
F3 AC REP LODS AL NP Valid Valid Load (E)CX bytes from DS:[(E)SI] to AL.
F3 REX.W AC REP LODS AL NP Valid N.E. Load RCX bytes from[RSI] to AL.
F3 AD REP LODS AX NP Valid Valid Load (E)CX words from DS:[(E)SI] to AX.
F3 AD REP LODS EAX NP Valid Valid Load (E)CX doublewords from DS:[(E)SI] to
EAX.
F3 REX.W AD REP LODS RAX NP Valid N.E. Load RCX quadwords from [RSI] to RAX.
F3 AA REP STOS m8 NP Valid Valid Fill (E)CX bytes at ES:[(E)DI] with AL.
F3 REX.W AA REP STOS m8 NP Valid N.E. Fill RCX bytes at [RDI] with AL.
F3 AB REP STOS m16 NP Valid Valid Fill (E)CX words at ES:[(E)DI] with AX.
F3 AB REP STOS m32 NP Valid Valid Fill (E)CX doublewords at ES:[(E)DI] with EAX.
F3 REX.W AB REP STOS m64 NP Valid N.E. Fill RCX quadwords at [RDI] with RAX.
F3 A6 REPE CMPS m8, m8 NP Valid Valid Find nonmatching bytes in ES:[(E)DI] and
DS:[(E)SI].
F3 REX.W A6 REPE CMPS m8, m8 NP Valid N.E. Find non-matching bytes in [RDI] and [RSI].
F3 A7 REPE CMPS m16, m16 NP Valid Valid Find nonmatching words in ES:[(E)DI] and
DS:[(E)SI].
F3 A7 REPE CMPS m32, m32 NP Valid Valid Find nonmatching doublewords in ES:[(E)DI]
and DS:[(E)SI].
F3 REX.W A7 REPE CMPS m64, m64 NP Valid N.E. Find non-matching quadwords in [RDI] and
[RSI].
F3 AE REPE SCAS m8 NP Valid Valid Find non-AL byte starting at ES:[(E)DI].
F3 REX.W AE REPE SCAS m8 NP Valid N.E. Find non-AL byte starting at [RDI].
F3 AF REPE SCAS m16 NP Valid Valid Find non-AX word starting at ES:[(E)DI].
F3 AF REPE SCAS m32 NP Valid Valid Find non-EAX doubleword starting at
ES:[(E)DI].
REP/REPE/REPZ/REPNE/REPNZRepeat String Operation Prefix
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-299
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Repeats a string instruction the number of times specified in the count register or until the indicated condition of
the ZF flag is no longer met. The REP (repeat), REPE (repeat while equal), REPNE (repeat while not equal), REPZ
(repeat while zero), and REPNZ (repeat while not zero) mnemonics are prefixes that can be added to one of the
string instructions. The REP prefix can be added to the INS, OUTS, MOVS, LODS, and STOS instructions, and the
REPE, REPNE, REPZ, and REPNZ prefixes can be added to the CMPS and SCAS instructions. (The REPZ and REPNZ
prefixes are synonymous forms of the REPE and REPNE prefixes, respectively.) The behavior of the REP prefix is
undefined when used with non-string instructions.
The REP prefixes apply only to one string instruction at a time. To repeat a block of instructions, use the LOOP
instruction or another looping construct. All of these repeat prefixes cause the associated instruction to be
repeated until the count in register is decremented to 0. See Table 4-13.
The REPE, REPNE, REPZ, and REPNZ prefixes also check the state of the ZF flag after each iteration and terminate
the repeat loop if the ZF flag is not in the specified state. When both termination conditions are tested, the cause
of a repeat termination can be determined either by testing the count register with a JECXZ instruction or by
testing the ZF flag (with a JZ, JNZ, or JNE instruction).
F3 REX.W AF REPE SCAS m64 NP Valid N.E. Find non-RAX quadword starting at [RDI].
F2 A6 REPNE CMPS m8, m8 NP Valid Valid Find matching bytes in ES:[(E)DI] and DS:[(E)SI].
F2 REX.W A6 REPNE CMPS m8, m8 NP Valid N.E. Find matching bytes in [RDI] and [RSI].
F2 A7 REPNE CMPS m16, m16 NP Valid Valid Find matching words in ES:[(E)DI] and
DS:[(E)SI].
F2 A7 REPNE CMPS m32, m32 NP Valid Valid Find matching doublewords in ES:[(E)DI] and
DS:[(E)SI].
F2 REX.W A7 REPNE CMPS m64, m64 NP Valid N.E. Find matching doublewords in [RDI] and [RSI].
F2 AE REPNE SCAS m8 NP Valid Valid Find AL, starting at ES:[(E)DI].
F2 REX.W AE REPNE SCAS m8 NP Valid N.E. Find AL, starting at [RDI].
F2 AF REPNE SCAS m16 NP Valid Valid Find AX, starting at ES:[(E)DI].
F2 AF REPNE SCAS m32 NP Valid Valid Find EAX, starting at ES:[(E)DI].
F2 REX.W AF REPNE SCAS m64 NP Valid N.E. Find RAX, starting at [RDI].
N O T E S :
* In 64-bit mode, r/m8 can not be encoded to access the following byte registers if a REX prefix is used: AH, BH, CH, DH.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
Ta b le 4 -1 3 . R e p e a t P re f ixe s
R e p e a t P re fix Te rm in a t io n C o n d it io n 1 * Te rm in a t io n C o n d it io n 2
REP RCX or (E)CX = 0 None
REPE/REPZ RCX or (E)CX = 0 ZF = 0
REPNE/REPNZ RCX or (E)CX = 0 ZF = 1
N O T E S :
* Count register is CX, ECX or RCX by default, depending on attributes of the operating modes.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
REP/REPE/REPZ/REPNE/REPNZRepeat String Operation Prefix
INSTRUCTION SET REFERENCE, N-Z
4-300 Vol. 2B
When the REPE/REPZ and REPNE/REPNZ prefixes are used, the ZF flag does not require initialization because both
the CMPS and SCAS instructions affect the ZF flag according to the results of the comparisons they make.
A repeating string operation can be suspended by an exception or interrupt. When this happens, the state of the
registers is preserved to allow the string operation to be resumed upon a return from the exception or interrupt
handler. The source and destination registers point to the next string elements to be operated on, the EIP register
points to the string instruction, and the ECX register has the value it held following the last successful iteration of
the instruction. This mechanism allows long string operations to proceed without affecting the interrupt response
time of the system.
When a fault occurs during the execution of a CMPS or SCAS instruction that is prefixed with REPE or REPNE, the
EFLAGS value is restored to the state prior to the execution of the instruction. Since the SCAS and CMPS instruc-
tions do not use EFLAGS as an input, the processor can resume the instruction after the page fault handler.
Use the REP INS and REP OUTS instructions with caution. Not all I/O ports can handle the rate at which these
instructions execute. Note that a REP STOS instruction is the fastest way to initialize a large block of memory.
In 64-bit mode, the operand size of the count register is associated with the address size attribute. Thus the default
count register is RCX; REX.W has no effect on the address size and the count register. In 64-bit mode, if 67H is used
to override address size attribute, the count register is ECX and any implicit source/destination operand will use the
corresponding 32-bit index register. See the summary chart at the beginning of this section for encoding data and
limits.
O p e ra t io n
IF AddressSize = 16
THEN
Use CX for CountReg;
Implicit Source/Dest operand for memory use of SI/DI;
ELSE IF AddressSize =64
THEN Use RCX for CountReg;
Implicit Source/Dest operand for memory use of RSI/RDI;
ELSE
Use ECX for CountReg;
Implicit Source/Dest operand for memory use of ESI/EDI;
FI;
WHILE CountReg 0
DO
Service pending interrupts (if any);
Execute associated string instruction;
CountReg (CountReg 1);
IF CountReg = 0
THEN exit WHILE loop; FI;
IF (Repeat prefix is REPZ or REPE) and (ZF = 0)
or (Repeat prefix is REPNZ or REPNE) and (ZF = 1)
THEN exit WHILE loop; FI;
OD;
F la g s Af fe ct e d
None; however, the CMPS and SCAS instructions do set the status flags in the EFLAGS register.
E x ce p t io n s (All O p e ra t in g Mo d e s)
Exceptions may be generated by an instruction associated with the prefix.
6 4 -B it Mo d e E x ce p t io n s
#GP(0) If the memory address is in a non-canonical form.
RETReturn fromProcedure
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-301
R E T R e t u rn fro m P ro ce d u re
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Transfers program control to a return address located on the top of the stack. The address is usually placed on the
stack by a CALL instruction, and the return is made to the instruction that follows the CALL instruction.
The optional source operand specifies the number of stack bytes to be released after the return address is popped;
the default is none. This operand can be used to release parameters from the stack that were passed to the called
procedure and are no longer needed. It must be used when the CALL instruction used to switch to a new procedure
uses a call gate with a non-zero word count to access the new procedure. Here, the source operand for the RET
instruction must specify the same number of bytes as is specified in the word count field of the call gate.
The RET instruction can be used to execute three different types of returns:
Near return A return to a calling procedure within the current code segment (the segment currently pointed
to by the CS register), sometimes referred to as an intrasegment return.
Far return A return to a calling procedure located in a different segment than the current code segment,
sometimes referred to as an intersegment return.
Inter-privilege-level far return A far return to a different privilege level than that of the currently
executing program or procedure.
The inter-privilege-level return type can only be executed in protected mode. See the section titled Calling Proce-
dures Using Call and RET in Chapter 6 of the Intel 64 and IA-32 Architectures Software Developers Manual,
Volume 1, for detailed information on near, far, and inter-privilege-level returns.
When executing a near return, the processor pops the return instruction pointer (offset) from the top of the stack
into the EIP register and begins program execution at the new instruction pointer. The CS register is unchanged.
When executing a far return, the processor pops the return instruction pointer from the top of the stack into the EIP
register, then pops the segment selector from the top of the stack into the CS register. The processor then begins
program execution in the new code segment at the new instruction pointer.
The mechanics of an inter-privilege-level far return are similar to an intersegment return, except that the
processor examines the privilege levels and access rights of the code and stack segments being returned to deter-
mine if the control transfer is allowed to be made. The DS, ES, FS, and GS segment registers are cleared by the RET
instruction during an inter-privilege-level return if they refer to segments that are not allowed to be accessed at the
new privilege level. Since a stack switch also occurs on an inter-privilege level return, the ESP and SS registers are
loaded from the stack.
If parameters are passed to the called procedure during an inter-privilege level call, the optional source operand
must be used with the RET instruction to release the parameters on the return. Here, the parameters are released
both from the called procedures stack and the calling procedures stack (that is, the stack being returned to).
In 64-bit mode, the default operation size of this instruction is the stack-address size, i.e. 64 bits.
O p co d e * I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
C3 RET NP Valid Valid Near return to calling procedure.
CB RET NP Valid Valid Far return to calling procedure.
C2 iw RET imm16 I Valid Valid Near return to calling procedure and pop
imm16 bytes from stack.
CA iw RET imm16 I Valid Valid Far return to calling procedure and pop imm16
bytes from stack.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
I imm16 NA NA NA
RETReturn fromProcedure
INSTRUCTION SET REFERENCE, N-Z
4-302 Vol. 2B
O p e ra t io n
(* Near return *)
IF instruction = near return
THEN;
IF OperandSize = 32
THEN
IF top 4 bytes of stack not within stack limits
THEN #SS(0); FI;
EIP Pop();
ELSE
IF OperandSize =64
THEN
IF top 8 bytes of stack not within stack limits
THEN #SS(0); FI;
RIP Pop();
ELSE (* OperandSize = 16 *)
IF top 2 bytes of stack not within stack limits
THEN #SS(0); FI;
tempEIP Pop();
tempEIP tempEIP AND 0000FFFFH;
IF tempEIP not within code segment limits
THEN #GP(0); FI;
EIP tempEIP;
FI;
FI;
IF instruction has immediate operand
THEN (* Release parameters fromstack *)
IF StackAddressSize = 32
THEN
ESP ESP + SRC;
ELSE
IF StackAddressSize = 64
THEN
RSP RSP + SRC;
ELSE (* StackAddressSize = 16 *)
SP SP + SRC;
FI;
FI;
FI;
FI;
(* Real-address mode or virtual-8086 mode *)
IF ((PE = 0) or (PE = 1 AND VM = 1)) and instruction = far return
THEN
IF OperandSize = 32
THEN
IF top 8 bytes of stack not within stack limits
THEN #SS(0); FI;
EIP Pop();
CS Pop(); (* 32-bit pop, high-order 16 bits discarded *)
ELSE (* OperandSize = 16 *)
IF top 4 bytes of stack not within stack limits
THEN #SS(0); FI;
RETReturn fromProcedure
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-303
tempEIP Pop();
tempEIP tempEIP AND 0000FFFFH;
IF tempEIP not within code segment limits
THEN #GP(0); FI;
EIP tempEIP;
CS Pop(); (* 16-bit pop *)
FI;
IF instruction has immediate operand
THEN (* Release parameters fromstack *)
SP SP + (SRC AND FFFFH);
FI;
FI;
(* Protected mode, not virtual-8086 mode *)
IF (PE = 1 and VM = 0 and IA32_EFER.LMA =0) and instruction = far return
THEN
IF OperandSize = 32
THEN
IF second doubleword on stack is not within stack limits
THEN #SS(0); FI;
ELSE (* OperandSize = 16 *)
IF second word on stack is not within stack limits
THEN #SS(0); FI;
FI;
IF return code segment selector is NULL
THEN #GP(0); FI;
IF return code segment selector addresses descriptor beyond descriptor table limit
THEN #GP(selector); FI;
Obtain descriptor to which return code segment selector points fromdescriptor table;
IF return code segment descriptor is not a code segment
THEN #GP(selector); FI;
IF return code segment selector RPL < CPL
THEN #GP(selector); FI;
IF return code segment descriptor is conforming
and return code segment DPL > return code segment selector RPL
THEN #GP(selector); FI;
IF return code segment descriptor is non-conforming and return code
segment DPL return code segment selector RPL
THEN #GP(selector); FI;
IF return code segment descriptor is not present
THEN #NP(selector); FI:
IF return code segment selector RPL > CPL
THEN GOTO RETURN-OUTER-PRIVILEGE-LEVEL;
ELSE GOTO RETURN-TO-SAME-PRIVILEGE-LEVEL;
FI;
FI;
RETURN-SAME-PRIVILEGE-LEVEL:
IF the return instruction pointer is not within the return code segment limit
THEN #GP(0); FI;
IF OperandSize = 32
THEN
EIP Pop();
CS Pop(); (* 32-bit pop, high-order 16 bits discarded *)
RETReturn fromProcedure
INSTRUCTION SET REFERENCE, N-Z
4-304 Vol. 2B
ELSE (* OperandSize = 16 *)
EIP Pop();
EIP EIP AND 0000FFFFH;
CS Pop(); (* 16-bit pop *)
FI;
IF instruction has immediate operand
THEN (* Release parameters fromstack *)
IF StackAddressSize = 32
THEN
ESP ESP + SRC;
ELSE (* StackAddressSize = 16 *)
SP SP + SRC;
FI;
FI;
RETURN-OUTER-PRIVILEGE-LEVEL:
IF top (16 + SRC) bytes of stack are not within stack limits (OperandSize = 32)
or top (8 + SRC) bytes of stack are not within stack limits (OperandSize = 16)
THEN #SS(0); FI;
Read return segment selector;
IF stack segment selector is NULL
THEN #GP(0); FI;
IF return stack segment selector index is not within its descriptor table limits
THEN #GP(selector); FI;
Read segment descriptor pointed to by return segment selector;
IF stack segment selector RPL RPL of the return code segment selector
or stack segment is not a writable data segment
or stack segment descriptor DPL RPL of the return code segment selector
THEN #GP(selector); FI;
IF stack segment not present
THEN #SS(StackSegmentSelector); FI;
IF the return instruction pointer is not within the return code segment limit
THEN #GP(0); FI;
CPL ReturnCodeSegmentSelector(RPL);
IF OperandSize = 32
THEN
EIP Pop();
CS Pop(); (* 32-bit pop, high-order 16 bits discarded; segment descriptor loaded *)
CS(RPL) CPL;
IF instruction has immediate operand
THEN (* Release parameters fromcalled procedures stack *)
IF StackAddressSize = 32
THEN
ESP ESP + SRC;
ELSE (* StackAddressSize = 16 *)
SP SP + SRC;
FI;
FI;
tempESP Pop();
tempSS Pop(); (* 32-bit pop, high-order 16 bits discarded; seg. descriptor loaded *)
ESP tempESP;
SS tempSS;
ELSE (* OperandSize = 16 *)
EIP Pop();
RETReturn fromProcedure
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-305
EIP EIP AND 0000FFFFH;
CS Pop(); (* 16-bit pop; segment descriptor loaded *)
CS(RPL) CPL;
IF instruction has immediate operand
THEN (* Release parameters fromcalled procedures stack *)
IF StackAddressSize = 32
THEN
ESP ESP + SRC;
ELSE (* StackAddressSize = 16 *)
SP SP + SRC;
FI;
FI;
tempESP Pop();
tempSS Pop(); (* 16-bit pop; segment descriptor loaded *)
ESP tempESP;
SS tempSS;
FI;
FOR each of segment register (ES, FS, GS, and DS)
DO
IF segment register points to data or non-conforming code segment
and CPL > segment descriptor DPL (* DPL in hidden part of segment register *)
THEN SegmentSelector 0; (* Segment selector invalid *)
FI;
OD;
IF instruction has immediate operand
THEN (* Release parameters fromcalling procedures stack *)
IF StackAddressSize = 32
THEN
ESP ESP + SRC;
ELSE (* StackAddressSize = 16 *)
SP SP + SRC;
FI;
FI;
(* IA-32e Mode *)
IF (PE = 1 and VM = 0 and IA32_EFER.LMA =1) and instruction = far return
THEN
IF OperandSize = 32
THEN
IF second doubleword on stack is not within stack limits
THEN #SS(0); FI;
IF first or second doubleword on stack is not in canonical space
THEN #SS(0); FI;
ELSE
IF OperandSize =16
THEN
IF second word on stack is not within stack limits
THEN #SS(0); FI;
IF first or second word on stack is not in canonical space
THEN #SS(0); FI;
ELSE (* OperandSize = 64 *)
IF first or second quadword on stack is not in canonical space
RETReturn fromProcedure
INSTRUCTION SET REFERENCE, N-Z
4-306 Vol. 2B
THEN #SS(0); FI;
FI
FI;
IF return code segment selector is NULL
THEN GP(0); FI;
IF return code segment selector addresses descriptor beyond descriptor table limit
THEN GP(selector); FI;
IF return code segment selector addresses descriptor in non-canonical space
THEN GP(selector); FI;
Obtain descriptor to which return code segment selector points fromdescriptor table;
IF return code segment descriptor is not a code segment
THEN #GP(selector); FI;
IF return code segment descriptor has L-bit =1 and D-bit =1
THEN #GP(selector); FI;
IF return code segment selector RPL < CPL
THEN #GP(selector); FI;
IF return code segment descriptor is conforming
and return code segment DPL > return code segment selector RPL
THEN #GP(selector); FI;
IF return code segment descriptor is non-conforming
and return code segment DPL return code segment selector RPL
THEN #GP(selector); FI;
IF return code segment descriptor is not present
THEN #NP(selector); FI:
IF return code segment selector RPL > CPL
THEN GOTO IA-32E-MODE-RETURN-OUTER-PRIVILEGE-LEVEL;
ELSE GOTO IA-32E-MODE-RETURN-SAME-PRIVILEGE-LEVEL;
FI;
FI;
IA-32E-MODE-RETURN-SAME-PRIVILEGE-LEVEL:
IF the return instruction pointer is not within the return code segment limit
THEN #GP(0); FI;
IF the return instruction pointer is not within canonical address space
THEN #GP(0); FI;
IF OperandSize = 32
THEN
EIP Pop();
CS Pop(); (* 32-bit pop, high-order 16 bits discarded *)
ELSE
IF OperandSize =16
THEN
EIP Pop();
EIP EIP AND 0000FFFFH;
CS Pop(); (* 16-bit pop *)
ELSE (* OperandSize = 64 *)
RIP Pop();
CS Pop(); (* 64-bit pop, high-order 48 bits discarded *)
FI;
FI;
IF instruction has immediate operand
THEN (* Release parameters fromstack *)
IF StackAddressSize = 32
THEN
RETReturn fromProcedure
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-307
ESP ESP + SRC;
ELSE
IF StackAddressSize =16
THEN
SP SP + SRC;
ELSE (* StackAddressSize = 64 *)
RSP RSP + SRC;
FI;
FI;
FI;
IA-32E-MODE-RETURN-OUTER-PRIVILEGE-LEVEL:
IF top (16 + SRC) bytes of stack are not within stack limits (OperandSize = 32)
or top (8 + SRC) bytes of stack are not within stack limits (OperandSize = 16)
THEN #SS(0); FI;
IF top (16 + SRC) bytes of stack are not in canonical address space (OperandSize = 32)
or top (8 + SRC) bytes of stack are not in canonical address space (OperandSize = 16)
or top (32 +SRC) bytes of stack are not in canonical address space (OperandSize =64)
THEN #SS(0); FI;
Read return stack segment selector;
IF stack segment selector is NULL
THEN
IF new CS descriptor L-bit =0
THEN #GP(selector);
IF stack segment selector RPL =3
THEN #GP(selector);
FI;
IF return stack segment descriptor is not within descriptor table limits
THEN #GP(selector); FI;
IF return stack segment descriptor is in non-canonical address space
THEN #GP(selector); FI;
Read segment descriptor pointed to by return segment selector;
IF stack segment selector RPL RPL of the return code segment selector
or stack segment is not a writable data segment
or stack segment descriptor DPL RPL of the return code segment selector
THEN #GP(selector); FI;
IF stack segment not present
THEN #SS(StackSegmentSelector); FI;
IF the return instruction pointer is not within the return code segment limit
THEN #GP(0); FI:
IF the return instruction pointer is not within canonical address space
THEN #GP(0); FI;
CPL ReturnCodeSegmentSelector(RPL);
IF OperandSize = 32
THEN
EIP Pop();
CS Pop(); (* 32-bit pop, high-order 16 bits discarded, segment descriptor loaded *)
CS(RPL) CPL;
IF instruction has immediate operand
THEN (* Release parameters fromcalled procedures stack *)
IF StackAddressSize = 32
THEN
ESP ESP + SRC;
ELSE
RETReturn fromProcedure
INSTRUCTION SET REFERENCE, N-Z
4-308 Vol. 2B
IF StackAddressSize =16
THEN
SP SP + SRC;
ELSE (* StackAddressSize = 64 *)
RSP RSP + SRC;
FI;
FI;
FI;
tempESP Pop();
tempSS Pop(); (* 32-bit pop, high-order 16 bits discarded, segment descriptor loaded *)
ESP tempESP;
SS tempSS;
ELSE
IF OperandSize =16
THEN
EIP Pop();
EIP EIP AND 0000FFFFH;
CS Pop(); (* 16-bit pop; segment descriptor loaded *)
CS(RPL) CPL;
IF instruction has immediate operand
THEN (* Release parameters fromcalled procedures stack *)
IF StackAddressSize = 32
THEN
ESP ESP + SRC;
ELSE
IF StackAddressSize =16
THEN
SP SP + SRC;
ELSE (* StackAddressSize = 64 *)
RSP RSP + SRC;
FI;
FI;
FI;
tempESP Pop();
tempSS Pop(); (* 16-bit pop; segment descriptor loaded *)
ESP tempESP;
SS tempSS;
ELSE (* OperandSize = 64 *)
RIP Pop();
CS Pop(); (* 64-bit pop; high-order 48 bits discarded; seg. descriptor loaded *)
CS(RPL) CPL;
IF instruction has immediate operand
THEN (* Release parameters fromcalled procedures stack *)
RSP RSP + SRC;
FI;
tempESP Pop();
tempSS Pop(); (* 64-bit pop; high-order 48 bits discarded; seg. desc. loaded *)
ESP tempESP;
SS tempSS;
FI;
FI;
FOR each of segment register (ES, FS, GS, and DS)
DO
RETReturn fromProcedure
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-309
IF segment register points to data or non-conforming code segment
and CPL > segment descriptor DPL; (* DPL in hidden part of segment register *)
THEN SegmentSelector 0; (* SegmentSelector invalid *)
FI;
OD;
IF instruction has immediate operand
THEN (* Release parameters fromcalling procedures stack *)
IF StackAddressSize = 32
THEN
ESP ESP + SRC;
ELSE
IF StackAddressSize =16
THEN
SP SP + SRC;
ELSE (* StackAddressSize = 64 *)
RSP RSP + SRC;
FI;
FI;
FI;
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the return code or stack segment selector NULL.
If the return instruction pointer is not within the return code segment limit
#GP(selector) If the RPL of the return code segment selector is less then the CPL.
If the return code or stack segment selector index is not within its descriptor table limits.
If the return code segment descriptor does not indicate a code segment.
If the return code segment is non-conforming and the segment selectors DPL is not equal to
the RPL of the code segments segment selector
If the return code segment is conforming and the segment selectors DPL greater than the RPL
of the code segments segment selector
If the stack segment is not a writable data segment.
If the stack segment selector RPL is not equal to the RPL of the return code segment selector.
If the stack segment descriptor DPL is not equal to the RPL of the return code segment
selector.
#SS(0) If the top bytes of stack are not within stack limits.
If the return stack segment is not present.
#NP(selector) If the return code segment is not present.
#PF(fault-code) If a page fault occurs.
#AC(0) If an unaligned memory access occurs when the CPL is 3 and alignment checking is enabled.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If the return instruction pointer is not within the return code segment limit
#SS If the top bytes of stack are not within stack limits.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If the return instruction pointer is not within the return code segment limit
RETReturn fromProcedure
INSTRUCTION SET REFERENCE, N-Z
4-310 Vol. 2B
#SS(0) If the top bytes of stack are not within stack limits.
#PF(fault-code) If a page fault occurs.
#AC(0) If an unaligned memory access occurs when alignment checking is enabled.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same as 64-bit mode exceptions.
6 4 -B it Mo d e E x ce p t io n s
#GP(0) If the return instruction pointer is non-canonical.
If the return instruction pointer is not within the return code segment limit.
If the stack segment selector is NULL going back to compatibility mode.
If the stack segment selector is NULL going back to CPL3 64-bit mode.
If a NULL stack segment selector RPL is not equal to CPL going back to non-CPL3 64-bit mode.
If the return code segment selector is NULL.
#GP(selector) If the proposed segment descriptor for a code segment does not indicate it is a code segment.
If the proposed new code segment descriptor has both the D-bit and L-bit set.
If the DPL for a nonconforming-code segment is not equal to the RPL of the code segment
selector.
If CPL is greater than the RPL of the code segment selector.
If the DPL of a conforming-code segment is greater than the return code segment selector
RPL.
If a segment selector index is outside its descriptor table limits.
If a segment descriptor memory address is non-canonical.
If the stack segment is not a writable data segment.
If the stack segment descriptor DPL is not equal to the RPL of the return code segment
selector.
If the stack segment selector RPL is not equal to the RPL of the return code segment selector.
#SS(0) If an attempt to pop a value off the stack violates the SS limit.
If an attempt to pop a value off the stack causes a non-canonical address to be referenced.
#NP(selector) If the return code or stack segment is not present.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
RORX Rotate Right Logical Without Affecting Flags
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-311
R O R X R o t a t e R ig h t Lo g ica l Wit h o u t Affe ct in g F la g s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Rotates the bits of second operand right by the count value specified in imm8 without affecting arithmetic flags.
The RORX instruction does not read or write the arithmetic flags.
This instruction is not supported in real mode and virtual-8086 mode. The operand size is always 32 bits if not in
64-bit mode. In 64-bit mode operand size 64 requires VEX.W1. VEX.W1 is ignored in non-64-bit modes. An
attempt to execute this instruction with VEX.L not equal to 0 will cause #UD.
O p e ra t io n
IF (OperandSize =32)
y imm8 AND 1FH;
DEST (SRC >>y) | (SRC <<(32-y));
ELSEIF (OperandSize =64 )
y imm8 AND 3FH;
DEST (SRC >>y) | (SRC <<(64-y));
ENDIF
F la g s Af fe ct e d
None
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
Auto-generated from high-level language.
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Section 2.5.1, Exception Conditions for VEX-Encoded GPR Instructions, Table 2-29; additionally
#UD If VEX.W = 1.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.LZ.F2.0F3A.W0 F0 /r ib RMI V/V BMI2 Rotate 32-bit r/m32 right imm8 times without affecting arithmetic
flags.
RORX r32, r/m32, imm8
VEX.LZ.F2.0F3A.W1 F0 /r ib RMI V/N.E. BMI2 Rotate 64-bit r/m64 right imm8 times without affecting arithmetic
flags.
RORX r64, r/m64, imm8
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RMI ModRM:reg (w) ModRM:r/m (r) Imm8 NA
ROUNDPD Round Packed Double Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-312 Vol. 2B
R O U N D P D R o u n d P a cke d D o u b le P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Round the 2 double-precision floating-point values in the source operand (second operand) using the rounding
mode specified in the immediate operand (third operand) and place the results in the destination operand (first
operand). The rounding process rounds each input floating-point value to an integer value and returns the integer
result as a single-precision floating-point value.
The immediate operand specifies control fields for the rounding operation, three bit fields are defined and shown in
Figure 4-20. Bit 3 of the immediate byte controls processor behavior for a precision exception, bit 2 selects the
source of rounding mode control. Bits 1:0 specify a non-sticky rounding-mode value (Table 4-14 lists the encoded
values for rounding-mode field).
The Precision Floating-Point Exception is signaled according to the immediate operand. If any source operand is an
SNaN then it will be converted to a QNaN. If DAZ is set to 1 then denormals will be converted to zero before
rounding.
128-bit Legacy SSE version: The second source can be an XMM register or 128-bit memory location. The destina-
tion is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding YMM
register destination are unmodified.
VEX.128 encoded version: the source operand second source operand or a 128-bit memory location. The destina-
tion operand is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
VEX.256 encoded version: The source operand is a YMM register or a 256-bit memory location. The destination
operand is a YMM register.
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b, otherwise instructions will #UD.
O p co d e */
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 3A 09 /r ib
ROUNDPD xmm1, xmm2/m128, imm8
RMI V/V SSE4_1 Round packed double precision floating-point
values in xmm2/m128 and place the result in
xmm1. The rounding mode is determined by
imm8.
VEX.128.66.0F3A.WIG 09 /r ib
VROUNDPD xmm1, xmm2/m128, imm8
RMI V/V AVX Round packed double-precision floating-point
values in xmm2/m128 and place the result in
xmm1. The rounding mode is determined by
imm8.
VEX.256.66.0F3A.WIG 09 /r ib
VROUNDPD ymm1, ymm2/m256, imm8
RMI V/V AVX Round packed double-precision floating-point
values in ymm2/m256 and place the result in
ymm1. The rounding mode is determined by
imm8.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RMI ModRM:reg (w) ModRM:r/m (r) imm8 NA
ROUNDPD Round Packed Double Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-313
O p e ra t io n
IF (imm[2] =1)
THEN // rounding mode is determined by MXCSR.RC
DEST[63:0] ConvertDPFPToInteger_M(SRC[63:0]);
DEST[127:64] ConvertDPFPToInteger_M(SRC[127:64]);
ELSE // rounding mode is determined by IMM8.RC
DEST[63:0] ConvertDPFPToInteger_Imm(SRC[63:0]);
DEST[127:64] ConvertDPFPToInteger_Imm(SRC[127:64]);
FI
R O U N D P D (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[63:0] RoundToInteger(SRC[63:0]], ROUND_CONTROL)
DEST[127:64] RoundToInteger(SRC[127:64]], ROUND_CONTROL)
DEST[VLMAX-1:128] (Unmodified)
VR O U N D P D (VE X.1 2 8 e n co d e d v e rsio n )
DEST[63:0] RoundToInteger(SRC[63:0]], ROUND_CONTROL)
DEST[127:64] RoundToInteger(SRC[127:64]], ROUND_CONTROL)
DEST[VLMAX-1:128] 0
VR O U N D P D (VE X.2 5 6 e n co d e d v e rsio n )
DEST[63:0] RoundToInteger(SRC[63:0], ROUND_CONTROL)
DEST[127:64] RoundToInteger(SRC[127:64]], ROUND_CONTROL)
DEST[191:128] RoundToInteger(SRC[191:128]], ROUND_CONTROL)
DEST[255:192] RoundToInteger(SRC[255:192] ], ROUND_CONTROL)
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
__m128 _mm_round_pd(__m128d s1, int iRoundMode);
F ig u re 4 -2 0 . B it C o n t ro l F ie ld s o f I m m e d ia t e B y t e fo r R O U N D x x I n st ru ct io n
Ta b le 4 -1 4 . R o u n d in g Mo d e s a n d E n co d in g o f R o u n d in g C o n t ro l (R C ) F ie ld
R o u n d in g
Mo d e
R C F ie ld
S e t t in g
D e scrip t io n
Round to
nearest (even)
00B Rounded result is the closest to the infinitely precise result. If two values are equally close, the result is
the even value (i.e., the integer value with the least-significant bit of zero).
Round down
(toward )
01B Rounded result is closest to but no greater than the infinitely precise result.
Round up
(toward +)
10B Rounded result is closest to but no less than the infinitely precise result.
Round toward
zero (Truncate)
11B Rounded result is closest to but no greater in absolute value than the infinitely precise result.
8
RS Rounding select; 1: MXCSR.RC, 0: Imm8.RC
RC Rounding mode
3 2 1 0
P Precision Mask; 0: normal, 1: inexact
Reserved
ROUNDPD Round Packed Double Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-314 Vol. 2B
__m128 _mm_floor_pd(__m128d s1);
__m128 _mm_ceil_pd(__m128d s1)
__m256 _mm256_round_pd(__m256d s1, int iRoundMode);
__m256 _mm256_floor_pd(__m256d s1);
__m256 _mm256_ceil_pd(__m256d s1)
S I MD F lo a t in g -P o in t E x ce p t io n s
Invalid (signaled only if SRC = SNaN)
Precision (signaled only if imm[3] = 0; if imm[3] = 1, then the Precision Mask in the MXSCSR is ignored and preci-
sion exception is not signaled.)
Note that Denormal is not signaled by ROUNDPD.
O t h e r E x ce p t io n s
See Exceptions Type 2; additionally
#UD If VEX.vvvv != 1111B.
ROUNDPS Round Packed Single Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-315
R O U N D P S R o u n d P a cke d S in g le P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Round the 4 single-precision floating-point values in the source operand (second operand) using the rounding
mode specified in the immediate operand (third operand) and place the results in the destination operand (first
operand). The rounding process rounds each input floating-point value to an integer value and returns the integer
result as a single-precision floating-point value.
The immediate operand specifies control fields for the rounding operation, three bit fields are defined and shown in
Figure 4-20. Bit 3 of the immediate byte controls processor behavior for a precision exception, bit 2 selects the
source of rounding mode control. Bits 1:0 specify a non-sticky rounding-mode value (Table 4-14 lists the encoded
values for rounding-mode field).
The Precision Floating-Point Exception is signaled according to the immediate operand. If any source operand is an
SNaN then it will be converted to a QNaN. If DAZ is set to 1 then denormals will be converted to zero before
rounding.
128-bit Legacy SSE version: The second source can be an XMM register or 128-bit memory location. The destina-
tion is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding YMM
register destination are unmodified.
VEX.128 encoded version: the source operand second source operand or a 128-bit memory location. The destina-
tion operand is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
VEX.256 encoded version: The source operand is a YMM register or a 256-bit memory location. The destination
operand is a YMM register.
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b otherwise instructions will #UD.
O p co d e */
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 3A 08
/r ib
ROUNDPS xmm1, xmm2/m128, imm8
RMI V/V SSE4_1 Round packed single precision floating-point
values in xmm2/m128 and place the result in
xmm1. The rounding mode is determined by
imm8.
VEX.128.66.0F3A.WIG 08 /r ib
VROUNDPS xmm1, xmm2/m128, imm8
RMI V/V AVX Round packed single-precision floating-point
values in xmm2/m128 and place the result in
xmm1. The rounding mode is determined by
imm8.
VEX.256.66.0F3A.WIG 08 /r ib
VROUNDPS ymm1, ymm2/m256, imm8
RMI V/V AVX Round packed single-precision floating-point
values in ymm2/m256 and place the result in
ymm1. The rounding mode is determined by
imm8.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RMI ModRM:reg (w) ModRM:r/m (r) imm8 NA
ROUNDPS Round Packed Single Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-316 Vol. 2B
O p e ra t io n
IF (imm[2] =1)
THEN // rounding mode is determined by MXCSR.RC
DEST[31:0] ConvertSPFPToInteger_M(SRC[31:0]);
DEST[63:32] ConvertSPFPToInteger_M(SRC[63:32]);
DEST[95:64] ConvertSPFPToInteger_M(SRC[95:64]);
DEST[127:96] ConvertSPFPToInteger_M(SRC[127:96]);
ELSE // rounding mode is determined by IMM8.RC
DEST[31:0] ConvertSPFPToInteger_Imm(SRC[31:0]);
DEST[63:32] ConvertSPFPToInteger_Imm(SRC[63:32]);
DEST[95:64] ConvertSPFPToInteger_Imm(SRC[95:64]);
DEST[127:96] ConvertSPFPToInteger_Imm(SRC[127:96]);
FI;
R O U N D P S (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[31:0] RoundToInteger(SRC[31:0], ROUND_CONTROL)
DEST[63:32] RoundToInteger(SRC[63:32], ROUND_CONTROL)
DEST[95:64] RoundToInteger(SRC[95:64]], ROUND_CONTROL)
DEST[127:96] RoundToInteger(SRC[127:96]], ROUND_CONTROL)
DEST[VLMAX-1:128] (Unmodified)
VR O U N D P S (V E X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] RoundToInteger(SRC[31:0], ROUND_CONTROL)
DEST[63:32] RoundToInteger(SRC[63:32], ROUND_CONTROL)
DEST[95:64] RoundToInteger(SRC[95:64]], ROUND_CONTROL)
DEST[127:96] RoundToInteger(SRC[127:96]], ROUND_CONTROL)
DEST[VLMAX-1:128] 0
VR O U N D P S (V E X.2 5 6 e n co d e d v e rsio n )
DEST[31:0] RoundToInteger(SRC[31:0], ROUND_CONTROL)
DEST[63:32] RoundToInteger(SRC[63:32], ROUND_CONTROL)
DEST[95:64] RoundToInteger(SRC[95:64]], ROUND_CONTROL)
DEST[127:96] RoundToInteger(SRC[127:96]], ROUND_CONTROL)
DEST[159:128] RoundToInteger(SRC[159:128]], ROUND_CONTROL)
DEST[191:160] RoundToInteger(SRC[191:160]], ROUND_CONTROL)
DEST[223:192] RoundToInteger(SRC[223:192] ], ROUND_CONTROL)
DEST[255:224] RoundToInteger(SRC[255:224] ], ROUND_CONTROL)
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
__m128 _mm_round_ps(__m128 s1, int iRoundMode);
__m128 _mm_floor_ps(__m128 s1);
__m128 _mm_ceil_ps(__m128 s1)
__m256 _mm256_round_ps(__m256 s1, int iRoundMode);
__m256 _mm256_floor_ps(__m256 s1);
__m256 _mm256_ceil_ps(__m256 s1)
ROUNDPS Round Packed Single Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-317
S I MD F lo a t in g -P o in t E x ce p t io n s
Invalid (signaled only if SRC = SNaN)
Precision (signaled only if imm[3] = 0; if imm[3] = 1, then the Precision Mask in the MXSCSR is ignored and preci-
sion exception is not signaled.)
Note that Denormal is not signaled by ROUNDPS.
O t h e r E x ce p t io n s
See Exceptions Type 2; additionally
#UD If VEX.vvvv != 1111B.
ROUNDSD Round Scalar Double Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-318 Vol. 2B
R O U N D S D R o u n d S ca la r D o u b le P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Round the DP FP value in the lower qword of the source operand (second operand) using the rounding mode spec-
ified in the immediate operand (third operand) and place the result in the destination operand (first operand). The
rounding process rounds a double-precision floating-point input to an integer value and returns the integer result
as a double precision floating-point value in the lowest position. The upper double precision floating-point value in
the destination is retained.
The immediate operand specifies control fields for the rounding operation, three bit fields are defined and shown in
Figure 4-20. Bit 3 of the immediate byte controls processor behavior for a precision exception, bit 2 selects the
source of rounding mode control. Bits 1:0 specify a non-sticky rounding-mode value (Table 4-14 lists the encoded
values for rounding-mode field).
The Precision Floating-Point Exception is signaled according to the immediate operand. If any source operand is an
SNaN then it will be converted to a QNaN. If DAZ is set to 1 then denormals will be converted to zero before
rounding.
128-bit Legacy SSE version: The first source operand and the destination operand are the same. Bits (VLMAX-
1:64) of the corresponding YMM destination register remain unchanged.
VEX.128 encoded version: Bits (VLMAX-1:128) of the destination YMM register are zeroed.
O p e ra t io n
IF (imm[2] =1)
THEN // rounding mode is determined by MXCSR.RC
DEST[63:0] ConvertDPFPToInteger_M(SRC[63:0]);
ELSE // rounding mode is determined by IMM8.RC
DEST[63:0] ConvertDPFPToInteger_Imm(SRC[63:0]);
FI;
DEST[127:63] remains unchanged ;
R O U N D S D (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[63:0] RoundToInteger(SRC[63:0], ROUND_CONTROL)
DEST[VLMAX-1:64] (Unmodified)
O p co d e */
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 3A 0B /r ib
ROUNDSD xmm1, xmm2/m64, imm8
RMI V/V SSE4_1 Round the low packed double precision
floating-point value in xmm2/m64 and place
the result in xmm1. The rounding mode is
determined by imm8.
VEX.NDS.LIG.66.0F3A.WIG 0B /r ib
VROUNDSD xmm1, xmm2, xmm3/m64, imm8
RVMI V/V AVX Round the low packed double precision
floating-point value in xmm3/m64 and place
the result in xmm1. The rounding mode is
determined by imm8. Upper packed double
precision floating-point value (bits[127:64])
from xmm2 is copied to xmm1[127:64].
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RMI ModRM:reg (w) ModRM:r/m (r) imm8 NA
RVMI ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) imm8
ROUNDSD Round Scalar Double Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-319
VR O U N D S D (VE X.1 2 8 e n co d e d v e rsio n )
DEST[63:0] RoundToInteger(SRC2[63:0], ROUND_CONTROL)
DEST[127:64] SRC1[127:64]
DEST[VLMAX-1:128] 0
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
ROUNDSD: __m128d mm_round_sd(__m128d dst, __m128d s1, int iRoundMode);
__m128d mm_floor_sd(__m128d dst, __m128d s1);
__m128d mm_ceil_sd(__m128d dst, __m128d s1);
S I MD F lo a t in g -P o in t E x ce p t io n s
Invalid (signaled only if SRC = SNaN)
Precision (signaled only if imm[3] = 0; if imm[3] = 1, then the Precision Mask in the MXSCSR is ignored and preci-
sion exception is not signaled.)
Note that Denormal is not signaled by ROUNDSD.
O t h e r E x ce p t io n s
See Exceptions Type 3.
ROUNDSS Round Scalar Single Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-320 Vol. 2B
R O U N D S S R o u n d S ca la r S in g le P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Round the single-precision floating-point value in the lowest dword of the source operand (second operand) using
the rounding mode specified in the immediate operand (third operand) and place the result in the destination
operand (first operand). The rounding process rounds a single-precision floating-point input to an integer value and
returns the result as a single-precision floating-point value in the lowest position. The upper three single-precision
floating-point values in the destination are retained.
The immediate operand specifies control fields for the rounding operation, three bit fields are defined and shown in
Figure 4-20. Bit 3 of the immediate byte controls processor behavior for a precision exception, bit 2 selects the
source of rounding mode control. Bits 1:0 specify a non-sticky rounding-mode value (Table 4-14 lists the encoded
values for rounding-mode field).
The Precision Floating-Point Exception is signaled according to the immediate operand. If any source operand is an
SNaN then it will be converted to a QNaN. If DAZ is set to 1 then denormals will be converted to zero before
rounding.
128-bit Legacy SSE version: The first source operand and the destination operand are the same. Bits (VLMAX-
1:32) of the corresponding YMM destination register remain unchanged.
VEX.128 encoded version: Bits (VLMAX-1:128) of the destination YMM register are zeroed.
O p e ra t io n
IF (imm[2] =1)
THEN // rounding mode is determined by MXCSR.RC
DEST[31:0] ConvertSPFPToInteger_M(SRC[31:0]);
ELSE // rounding mode is determined by IMM8.RC
DEST[31:0] ConvertSPFPToInteger_Imm(SRC[31:0]);
FI;
DEST[127:32] remains unchanged ;
R O U N D S S (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[31:0] RoundToInteger(SRC[31:0], ROUND_CONTROL)
DEST[VLMAX-1:32] (Unmodified)
O p co d e */
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 3A 0A /r ib
ROUNDSS xmm1, xmm2/m32, imm8
RMI V/V SSE4_1 Round the low packed single precision
floating-point value in xmm2/m32 and place
the result in xmm1. The rounding mode is
determined by imm8.
VEX.NDS.LIG.66.0F3A.WIG 0A /r ib
VROUNDSS xmm1, xmm2, xmm3/m32, imm8
RVMI V/V AVX Round the low packed single precision
floating-point value in xmm3/m32 and place
the result in xmm1. The rounding mode is
determined by imm8. Also, upper packed
single precision floating-point values
(bits[127:32]) from xmm2 are copied to
xmm1[127:32].
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RMI ModRM:reg (w) ModRM:r/m (r) imm8 NA
RVMI ModRM:reg (w) VEX.vvvv (r) ModRM:r/m(r) imm8
ROUNDSS Round Scalar Single Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-321
VR O U N D S S (V E X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] RoundToInteger(SRC2[31:0], ROUND_CONTROL)
DEST[127:32] SRC1[127:32]
DEST[VLMAX-1:128] 0
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
ROUNDSS: __m128 mm_round_ss(__m128 dst, __m128 s1, int iRoundMode);
__m128 mm_floor_ss(__m128 dst, __m128 s1);
__m128 mm_ceil_ss(__m128 dst, __m128 s1);
S I MD F lo a t in g -P o in t E x ce p t io n s
Invalid (signaled only if SRC = SNaN)
Precision (signaled only if imm[3] = 0; if imm[3] = 1, then the Precision Mask in the MXSCSR is ignored and preci-
sion exception is not signaled.)
Note that Denormal is not signaled by ROUNDSS.
O t h e r E x ce p t io n s
See Exceptions Type 3.
RSMResume fromSystemManagement Mode
INSTRUCTION SET REFERENCE, N-Z
4-322 Vol. 2B
R S MR e su m e fro m S y st e m Ma n a g e m e n t Mo d e
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Returns program control from system management mode (SMM) to the application program or operating-system
procedure that was interrupted when the processor received an SMM interrupt. The processors state is restored
from the dump created upon entering SMM. If the processor detects invalid state information during state restora-
tion, it enters the shutdown state. The following invalid information can cause a shutdown:
Any reserved bit of CR4 is set to 1.
Any illegal combination of bits in CR0, such as (PG=1 and PE=0) or (NW=1 and CD=0).
(Intel Pentium and Intel486 processors only.) The value stored in the state dump base field is not a 32-KByte
aligned address.
The contents of the model-specific registers are not affected by a return from SMM.
The SMM state map used by RSM supports resuming processor context for non-64-bit modes and 64-bit mode.
See Chapter 34, System Management Mode, in the Intel 64 and IA-32 Architectures Software Developers
Manual, Volume 3C, for more information about SMM and the behavior of the RSM instruction.
O p e ra t io n
ReturnFromSMM;
IF (IA-32e mode supported) or (CPUID DisplayFamily_DisplayModel =06H_0CH )
THEN
ProcessorState Restore(SMMDump(IA-32e SMM STATE MAP));
Else
ProcessorState Restore(SMMDump(Non-32-Bit-Mode SMM STATE MAP));
FI
F la g s Af fe ct e d
All.
P ro t e ct e d Mo d e E x ce p t io n s
#UD If an attempt is made to execute this instruction when the processor is not in SMM.
If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as in protected mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
O p co d e * I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F AA RSM NP Invalid Valid Resume operation of interrupted program.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
RSMResume fromSystemManagement Mode
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-323
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
RSQRTPSCompute Reciprocals of Square Roots of Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-324 Vol. 2B
R S Q R T P S C o m p u t e R e cip ro ca ls o f S q u a re R o o t s o f P a cke d S in g le -P re cisio n F lo a t in g -P o in t
Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a SIMD computation of the approximate reciprocals of the square roots of the four packed single-preci-
sion floating-point values in the source operand (second operand) and stores the packed single-precision floating-
point results in the destination operand. The source operand can be an XMM register or a 128-bit memory location.
The destination operand is an XMM register. See Figure 10-5 in the Intel 64 and IA-32 Architectures Software
Developers Manual, Volume 1, for an illustration of a SIMD single-precision floating-point operation.
The relative error for this approximation is:
|Relative Error| 1.5 2
12

The RSQRTPS instruction is not affected by the rounding control bits in the MXCSR register. When a source value is
a 0.0, an of the sign of the source value is returned. A denormal source value is treated as a 0.0 (of the same
sign). When a source value is a negative value (other than 0.0), a floating-point indefinite is returned. When a
source value is an SNaN or QNaN, the SNaN is converted to a QNaN or the source QNaN is returned.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
128-bit Legacy SSE version: The second source can be an XMM register or an 128-bit memory location. The desti-
nation is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding
YMM register destination are unmodified.
VEX.128 encoded version: the first source operand is an XMM register or 128-bit memory location. The destination
operand is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand can be a YMM
register or a 256-bit memory location. The destination operand is a YMM register.
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b, otherwise instructions will #UD.
O p co d e */
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 52 /r
RSQRTPS xmm1, xmm2/m128
RM V/V SSE Computes the approximate reciprocals of the
square roots of the packed single-precision
floating-point values in xmm2/m128 and
stores the results in xmm1.
VEX.128.0F.WIG 52 /r
VRSQRTPS xmm1, xmm2/m128
RM V/V AVX Computes the approximate reciprocals of the
square roots of packed single-precision values
in xmm2/mem and stores the results in xmm1.
VEX.256.0F.WIG 52 /r
VRSQRTPS ymm1, ymm2/m256
RM V/V AVX Computes the approximate reciprocals of the
square roots of packed single-precision values
in ymm2/mem and stores the results in ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
RSQRTPSCompute Reciprocals of Square Roots of Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-325
O p e ra t io n
R S Q R T P S (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[31:0] APPROXIMATE(1/SQRT(SRC[31:0]))
DEST[63:32] APPROXIMATE(1/SQRT(SRC1[63:32]))
DEST[95:64] APPROXIMATE(1/SQRT(SRC1[95:64]))
DEST[127:96] APPROXIMATE(1/SQRT(SRC2[127:96]))
DEST[VLMAX-1:128] (Unmodified)
VR S Q R T P S (V E X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] APPROXIMATE(1/SQRT(SRC[31:0]))
DEST[63:32] APPROXIMATE(1/SQRT(SRC1[63:32]))
DEST[95:64] APPROXIMATE(1/SQRT(SRC1[95:64]))
DEST[127:96] APPROXIMATE(1/SQRT(SRC2[127:96]))
DEST[VLMAX-1:128] 0
VR S Q R T P S (V E X.2 5 6 e n co d e d v e rsio n )
DEST[31:0] APPROXIMATE(1/SQRT(SRC[31:0]))
DEST[63:32] APPROXIMATE(1/SQRT(SRC1[63:32]))
DEST[95:64] APPROXIMATE(1/SQRT(SRC1[95:64]))
DEST[127:96] APPROXIMATE(1/SQRT(SRC2[127:96]))
DEST[159:128] APPROXIMATE(1/SQRT(SRC2[159:128]))
DEST[191:160] APPROXIMATE(1/SQRT(SRC2[191:160]))
DEST[223:192] APPROXIMATE(1/SQRT(SRC2[223:192]))
DEST[255:224] APPROXIMATE(1/SQRT(SRC2[255:224]))
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
RSQRTPS: __m128 _mm_rsqrt_ps(__m128 a)
RSQRTPS: __m256 _mm256_rsqrt_ps (__m256 a);
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.vvvv != 1111B.
RSQRTSSCompute Reciprocal of Square Root of Scalar Single-Precision Floating-Point Value
INSTRUCTION SET REFERENCE, N-Z
4-326 Vol. 2B
R S Q R T S S C o m p u t e R e cip ro ca l o f S q u a re R o o t o f S ca la r S in g le -P re cisio n F lo a t in g -P o in t Va lu e
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Computes an approximate reciprocal of the square root of the low single-precision floating-point value in the
source operand (second operand) stores the single-precision floating-point result in the destination operand. The
source operand can be an XMM register or a 32-bit memory location. The destination operand is an XMM register.
The three high-order doublewords of the destination operand remain unchanged. See Figure 10-6 in the Intel 64
and IA-32 Architectures Software Developers Manual, Volume 1, for an illustration of a scalar single-precision
floating-point operation.
The relative error for this approximation is:
|Relative Error| 1.5 2
12

The RSQRTSS instruction is not affected by the rounding control bits in the MXCSR register. When a source value is
a 0.0, an of the sign of the source value is returned. A denormal source value is treated as a 0.0 (of the same
sign). When a source value is a negative value (other than 0.0), a floating-point indefinite is returned. When a
source value is an SNaN or QNaN, the SNaN is converted to a QNaN or the source QNaN is returned.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
128-bit Legacy SSE version: The first source operand and the destination operand are the same. Bits (VLMAX-
1:32) of the corresponding YMM destination register remain unchanged.
VEX.128 encoded version: Bits (VLMAX-1:128) of the destination YMM register are zeroed.
O p e ra t io n
R S Q R T S S (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[31:0] APPROXIMATE(1/SQRT(SRC2[31:0]))
DEST[VLMAX-1:32] (Unmodified)
VR S Q R T S S (V E X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] APPROXIMATE(1/SQRT(SRC2[31:0]))
DEST[127:32] SRC1[31:0]
DEST[VLMAX-1:128] 0
O p co d e */
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
F3 0F 52 /r
RSQRTSS xmm1, xmm2/m32
RM V/V SSE Computes the approximate reciprocal of the
square root of the low single-precision
floating-point value in xmm2/m32 and stores
the results in xmm1.
VEX.NDS.LIG.F3.0F.WIG 52 /r
VRSQRTSS xmm1, xmm2, xmm3/m32
RVM V/V AVX Computes the approximate reciprocal of the
square root of the low single precision
floating-point value in xmm3/m32 and stores
the results in xmm1. Also, upper single
precision floating-point values (bits[127:32])
from xmm2 are copied to xmm1[127:32].
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
RSQRTSSCompute Reciprocal of Square Root of Scalar Single-Precision Floating-Point Value
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-327
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
RSQRTSS: __m128 _mm_rsqrt_ss(__m128 a)
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 5.
SAHFStore AH into Flags
INSTRUCTION SET REFERENCE, N-Z
4-328 Vol. 2B
S AH F S t o re AH in t o F la g s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Loads the SF, ZF, AF, PF, and CF flags of the EFLAGS register with values from the corresponding bits in the AH
register (bits 7, 6, 4, 2, and 0, respectively). Bits 1, 3, and 5 of register AH are ignored; the corresponding reserved
bits (1, 3, and 5) in the EFLAGS register remain as shown in the Operation section below.
This instruction executes as described above in compatibility mode and legacy mode. It is valid in 64-bit mode only
if CPUID.80000001H:ECX.LAHF-SAHF[bit 0] = 1.
O p e ra t io n
IF IA-64 Mode
THEN
IF CPUID.80000001H.ECX[0] =1;
THEN
RFLAGS(SF:ZF:0:AF:0:PF:1:CF) AH;
ELSE
#UD;
FI
ELSE
EFLAGS(SF:ZF:0:AF:0:PF:1:CF) AH;
FI;
F la g s Af fe ct e d
The SF, ZF, AF, PF, and CF flags are loaded with values from the AH register. Bits 1, 3, and 5 of the EFLAGS register
are unaffected, with the values remaining 1, 0, and 0, respectively.
P ro t e ct e d Mo d e E x ce p t io n s
None.
R e a l-Ad d re ss Mo d e E x ce p t io n s
None.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
None.
C o m p a t ib ilit y Mo d e E x ce p t io n s
None.
O p co d e * I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
9E SAHF NP Invalid* Valid Loads SF, ZF, AF, PF, and CF from AH into
EFLAGS register.
N O T E S :
* Valid in specific steppings. See Description section.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
SAHFStore AH into Flags
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-329
6 4 -B it Mo d e E x ce p t io n s
#UD If CPUID.80000001H.ECX[0] = 0.
If the LOCK prefix is used.
SAL/SAR/SHL/SHRShift
INSTRUCTION SET REFERENCE, N-Z
4-330 Vol. 2B
S AL/S AR /S H L/S H R S h ift
O p co d e *** I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
D0 /4 SAL r/m8, 1 M1 Valid Valid Multiply r/m8 by 2, once.
REX +D0 /4 SAL r/m8**, 1 M1 Valid N.E. Multiply r/m8 by 2, once.
D2 /4 SAL r/m8, CL MC Valid Valid Multiply r/m8 by 2, CL times.
REX +D2 /4 SAL r/m8**, CL MC Valid N.E. Multiply r/m8 by 2, CL times.
C0 /4 ib SAL r/m8, imm8 MI Valid Valid Multiply r/m8 by 2, imm8 times.
REX +C0 /4 ib SAL r/m8**, imm8 MI Valid N.E. Multiply r/m8 by 2, imm8 times.
D1 /4 SAL r/m16, 1 M1 Valid Valid Multiply r/m16 by 2, once.
D3 /4 SAL r/m16, CL MC Valid Valid Multiply r/m16 by 2, CL times.
C1 /4 ib SAL r/m16, imm8 MI Valid Valid Multiply r/m16 by 2, imm8 times.
D1 /4 SAL r/m32, 1 M1 Valid Valid Multiply r/m32 by 2, once.
REX.W +D1 /4 SAL r/m64, 1 M1 Valid N.E. Multiply r/m64 by 2, once.
D3 /4 SAL r/m32, CL MC Valid Valid Multiply r/m32 by 2, CL times.
REX.W +D3 /4 SAL r/m64, CL MC Valid N.E. Multiply r/m64 by 2, CL times.
C1 /4 ib SAL r/m32, imm8 MI Valid Valid Multiply r/m32 by 2, imm8 times.
REX.W +C1 /4 ib SAL r/m64, imm8 MI Valid N.E. Multiply r/m64 by 2, imm8 times.
D0 /7 SAR r/m8, 1 M1 Valid Valid Signed divide* r/m8 by 2, once.
REX +D0 /7 SAR r/m8**, 1 M1 Valid N.E. Signed divide* r/m8 by 2, once.
D2 /7 SAR r/m8, CL MC Valid Valid Signed divide* r/m8 by 2, CL times.
REX +D2 /7 SAR r/m8**, CL MC Valid N.E. Signed divide* r/m8 by 2, CL times.
C0 /7 ib SAR r/m8, imm8 MI Valid Valid Signed divide* r/m8 by 2, imm8 time.
REX +C0 /7 ib SAR r/m8**, imm8 MI Valid N.E. Signed divide* r/m8 by 2, imm8 times.
D1 /7 SAR r/m16,1 M1 Valid Valid Signed divide* r/m16 by 2, once.
D3 /7 SAR r/m16, CL MC Valid Valid Signed divide* r/m16 by 2, CL times.
C1 /7 ib SAR r/m16, imm8 MI Valid Valid Signed divide*

r/m16 by 2, imm8 times.
D1 /7 SAR r/m32, 1 M1 Valid Valid Signed divide* r/m32 by 2, once.
REX.W +D1 /7 SAR r/m64, 1 M1 Valid N.E. Signed divide* r/m64 by 2, once.
D3 /7 SAR r/m32, CL MC Valid Valid Signed divide*

r/m32 by 2, CL times.
REX.W +D3 /7 SAR r/m64, CL MC Valid N.E. Signed divide*

r/m64 by 2, CL times.
C1 /7 ib SAR r/m32, imm8 MI Valid Valid Signed divide*

r/m32 by 2, imm8 times.
REX.W +C1 /7 ib SAR r/m64, imm8 MI Valid N.E. Signed divide*

r/m64 by 2, imm8 times
D0 /4 SHL r/m8, 1 M1 Valid Valid Multiply r/m8 by 2, once.
REX +D0 /4 SHL r/m8**, 1 M1 Valid N.E. Multiply r/m8 by 2, once.
D2 /4 SHL r/m8, CL MC Valid Valid Multiply r/m8 by 2, CL times.
REX +D2 /4 SHL r/m8**, CL MC Valid N.E. Multiply r/m8 by 2, CL times.
C0 /4 ib SHL r/m8, imm8 MI Valid Valid Multiply r/m8 by 2, imm8 times.
REX +C0 /4 ib SHL r/m8**, imm8 MI Valid N.E. Multiply r/m8 by 2, imm8 times.
D1 /4 SHL r/m16,1 M1 Valid Valid Multiply r/m16 by 2, once.
D3 /4 SHL r/m16, CL MC Valid Valid Multiply r/m16 by 2, CL times.
C1 /4 ib SHL r/m16, imm8 MI Valid Valid Multiply r/m16 by 2, imm8 times.
D1 /4 SHL r/m32,1 M1 Valid Valid Multiply r/m32 by 2, once.
SAL/SAR/SHL/SHRShift
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-331
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Shifts the bits in the first operand (destination operand) to the left or right by the number of bits specified in the
second operand (count operand). Bits shifted beyond the destination operand boundary are first shifted into the CF
flag, then discarded. At the end of the shift operation, the CF flag contains the last bit shifted out of the destination
operand.
The destination operand can be a register or a memory location. The count operand can be an immediate value or
the CL register. The count is masked to 5 bits (or 6 bits if in 64-bit mode and REX.W is used). The count range is
limited to 0 to 31 (or 63 if 64-bit mode and REX.W is used). A special opcode encoding is provided for a count of 1.
The shift arithmetic left (SAL) and shift logical left (SHL) instructions perform the same operation; they shift the
bits in the destination operand to the left (toward more significant bit locations). For each shift count, the most
significant bit of the destination operand is shifted into the CF flag, and the least significant bit is cleared (see
Figure 7-7 in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1).
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
REX.W +D1 /4 SHL r/m64,1 M1 Valid N.E. Multiply r/m64 by 2, once.
D3 /4 SHL r/m32, CL MC Valid Valid Multiply r/m32 by 2, CL times.
REX.W +D3 /4 SHL r/m64, CL MC Valid N.E. Multiply r/m64 by 2, CL times.
C1 /4 ib SHL r/m32, imm8 MI Valid Valid Multiply r/m32 by 2, imm8 times.
REX.W +C1 /4 ib SHL r/m64, imm8 MI Valid N.E. Multiply r/m64 by 2, imm8 times.
D0 /5 SHR r/m8,1 M1 Valid Valid Unsigned divide r/m8 by 2, once.
REX +D0 /5 SHR r/m8**, 1 M1 Valid N.E. Unsigned divide r/m8 by 2, once.
D2 /5 SHR r/m8, CL MC Valid Valid Unsigned divide r/m8 by 2, CL times.
REX +D2 /5 SHR r/m8**, CL MC Valid N.E. Unsigned divide r/m8 by 2, CL times.
C0 /5 ib SHR r/m8, imm8 MI Valid Valid Unsigned divide r/m8 by 2, imm8 times.
REX +C0 /5 ib SHR r/m8**, imm8 MI Valid N.E. Unsigned divide r/m8 by 2, imm8 times.
D1 /5 SHR r/m16, 1 M1 Valid Valid Unsigned divide r/m16 by 2, once.
D3 /5 SHR r/m16, CL MC Valid Valid Unsigned divide r/m16 by 2, CL times
C1 /5 ib SHR r/m16, imm8 MI Valid Valid Unsigned divide r/m16 by 2, imm8 times.
D1 /5 SHR r/m32, 1 M1 Valid Valid Unsigned divide r/m32 by 2, once.
REX.W +D1 /5 SHR r/m64, 1 M1 Valid N.E. Unsigned divide r/m64 by 2, once.
D3 /5 SHR r/m32, CL MC Valid Valid Unsigned divide r/m32 by 2, CL times.
REX.W +D3 /5 SHR r/m64, CL MC Valid N.E. Unsigned divide r/m64 by 2, CL times.
C1 /5 ib SHR r/m32, imm8 MI Valid Valid Unsigned divide r/m32 by 2, imm8 times.
REX.W +C1 /5 ib SHR r/m64, imm8 MI Valid N.E. Unsigned divide r/m64 by 2, imm8 times.
N O T E S :
* Not the same form of division as IDIV; rounding is toward negative infinity.
** In 64-bit mode, r/m8 can not be encoded to access the following byte registers if a REX prefix is used: AH, BH, CH, DH.
***See IA-32 Architecture Compatibility section below.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
M1 ModRM:r/m (r, w) 1 NA NA
MC ModRM:r/m (r, w) CL NA NA
MI ModRM:r/m (r, w) imm8 NA NA
SAL/SAR/SHL/SHRShift
INSTRUCTION SET REFERENCE, N-Z
4-332 Vol. 2B
The shift arithmetic right (SAR) and shift logical right (SHR) instructions shift the bits of the destination operand to
the right (toward less significant bit locations). For each shift count, the least significant bit of the destination
operand is shifted into the CF flag, and the most significant bit is either set or cleared depending on the instruction
type. The SHR instruction clears the most significant bit (see Figure 7-8 in the Intel 64 and IA-32 Architectures
Software Developers Manual, Volume 1); the SAR instruction sets or clears the most significant bit to correspond
to the sign (most significant bit) of the original value in the destination operand. In effect, the SAR instruction fills
the empty bit positions shifted value with the sign of the unshifted value (see Figure 7-9 in the Intel 64 and IA-32
Architectures Software Developers Manual, Volume 1).
The SAR and SHR instructions can be used to perform signed or unsigned division, respectively, of the destination
operand by powers of 2. For example, using the SAR instruction to shift a signed integer 1 bit to the right divides
the value by 2.
Using the SAR instruction to perform a division operation does not produce the same result as the IDIV instruction.
The quotient from the IDIV instruction is rounded toward zero, whereas the quotient of the SAR instruction is
rounded toward negative infinity. This difference is apparent only for negative numbers. For example, when the
IDIV instruction is used to divide -9 by 4, the result is -2 with a remainder of -1. If the SAR instruction is used to
shift -9 right by two bits, the result is -3 and the remainder is +3; however, the SAR instruction stores only the
most significant bit of the remainder (in the CF flag).
The OF flag is affected only on 1-bit shifts. For left shifts, the OF flag is set to 0 if the most-significant bit of the
result is the same as the CF flag (that is, the top two bits of the original operand were the same); otherwise, it is
set to 1. For the SAR instruction, the OF flag is cleared for all 1-bit shifts. For the SHR instruction, the OF flag is set
to the most-significant bit of the original operand.
In 64-bit mode, the instructions default operation size is 32 bits and the mask width for CL is 5 bits. Using a REX
prefix in the form of REX.R permits access to additional registers (R8-R15). Using a REX prefix in the form of REX.W
promotes operation to 64-bits and sets the mask width for CL to 6 bits. See the summary chart at the beginning of
this section for encoding data and limits.
I A-3 2 Arch it e ct u re C o m p a t ib ilit y
The 8086 does not mask the shift count. However, all other IA-32 processors (starting with the Intel 286 processor)
do mask the shift count to 5 bits, resulting in a maximum count of 31. This masking is done in all operating modes
(including the virtual-8086 mode) to reduce the maximum execution time of the instructions.
O p e ra t io n
IF 64-Bit Mode and using REX.W
THEN
countMASK 3FH;
ELSE
countMASK 1FH;
FI
tempCOUNT (COUNT AND countMASK);
tempDEST DEST;
WHILE (tempCOUNT 0)
DO
IF instruction is SAL or SHL
THEN
CF MSB(DEST);
ELSE (* Instruction is SAR or SHR *)
CF LSB(DEST);
FI;
IF instruction is SAL or SHL
THEN
DEST DEST 2;
ELSE
IF instruction is SAR
SAL/SAR/SHL/SHRShift
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-333
THEN
DEST DEST / 2; (* Signed divide, rounding toward negative infinity *)
ELSE (* Instruction is SHR *)
DEST DEST / 2 ; (* Unsigned divide *)
FI;
FI;
tempCOUNT tempCOUNT 1;
OD;
(* Determine overflow for the various instructions *)
IF (COUNT and countMASK) = 1
THEN
IF instruction is SAL or SHL
THEN
OF MSB(DEST) XOR CF;
ELSE
IF instruction is SAR
THEN
OF 0;
ELSE (* Instruction is SHR *)
OF MSB(tempDEST);
FI;
FI;
ELSE IF (COUNT AND countMASK) = 0
THEN
All flags unchanged;
ELSE (* COUNT not 1 or 0 *)
OF undefined;
FI;
FI;
F la g s Af fe ct e d
The CF flag contains the value of the last bit shifted out of the destination operand; it is undefined for SHL and SHR
instructions where the count is greater than or equal to the size (in bits) of the destination operand. The OF flag is
affected only for 1-bit shifts (see Description above); otherwise, it is undefined. The SF, ZF, and PF flags are set
according to the result. If the count is 0, the flags are not affected. For a non-zero count, the AF flag is undefined.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the destination is located in a non-writable segment.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used.
SAL/SAR/SHL/SHRShift
INSTRUCTION SET REFERENCE, N-Z
4-334 Vol. 2B
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
SARX/SHLX/SHRX Shift Without Affecting Flags
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-335
S AR X/S H LX/S H R X S h ift Wit h o u t Affe ct in g F la g s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Shifts the bits of the first source operand (the second operand) to the left or right by a COUNT value specified in
the second source operand (the third operand). The result is written to the destination operand (the first operand).
The shift arithmetic right (SARX) and shift logical right (SHRX) instructions shift the bits of the destination operand
to the right (toward less significant bit locations), SARX keeps and propagates the most significant bit (sign bit)
while shifting.
The logical shift left (SHLX) shifts the bits of the destination operand to the left (toward more significant bit loca-
tions).
This instruction is not supported in real mode and virtual-8086 mode. The operand size is always 32 bits if not in
64-bit mode. In 64-bit mode operand size 64 requires VEX.W1. VEX.W1 is ignored in non-64-bit modes. An
attempt to execute this instruction with VEX.L not equal to 0 will cause #UD.
If the value specified in the first source operand exceeds OperandSize -1, the COUNT value is masked.
SARX,SHRX, and SHLX instructions do not update flags.
O p e ra t io n
TEMP SRC1;
IF VEX.W1 and CS.L =1
THEN
countMASK 3FH;
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.NDS
1
.LZ.F3.0F38.W0 F7 /r
N O T E S :
1. ModRM:r/mis used to encode the first source operand (second operand) and VEX.vvvv encodes the second source operand (third oper-
and).
RMV V/V BMI2 Shift r/m32 arithmetically right with count specified in r32b.
SARX r32a, r/m32, r32b
VEX.NDS
1
.LZ.66.0F38.W0 F7 /r
RMV V/V BMI2 Shift r/m32 logically left with count specified in r32b.
SHLX r32a, r/m32, r32b
VEX.NDS
1
.LZ.F2.0F38.W0 F7 /r
RMV V/V BMI2 Shift r/m32 logically right with count specified in r32b.
SHRX r32a, r/m32, r32b
VEX.NDS
1
.LZ.F3.0F38.W1 F7 /r
RMV V/N.E. BMI2 Shift r/m64 arithmetically right with count specified in r64b.
SARX r64a, r/m64, r64b
VEX.NDS
1
.LZ.66.0F38.W1 F7 /r
RMV V/N.E. BMI2 Shift r/m64 logically left with count specified in r64b.
SHLX r64a, r/m64, r64b
VEX.NDS
1
.LZ.F2.0F38.W1 F7 /r
RMV V/N.E. BMI2 Shift r/m64 logically right with count specified in r64b.
SHRX r64a, r/m64, r64b
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RMV ModRM:reg (w) ModRM:r/m (r) VEX.vvvv (r) NA
SARX/SHLX/SHRX Shift Without Affecting Flags
INSTRUCTION SET REFERENCE, N-Z
4-336 Vol. 2B
ELSE
countMASK 1FH;
FI
COUNT (SRC2 AND countMASK)
DEST[OperandSize -1] =TEMP[OperandSize -1];
DO WHILE (COUNT !=0)
IF instruction is SHLX
THEN
DEST[] DEST *2;
ELSE IF instruction is SHRX
THEN
DEST[] DEST /2; //unsigned divide
ELSE // SARX
DEST[] DEST /2; // signed divide, round toward negative infinity
FI;
COUNT COUNT - 1;
OD
F la g s Af fe ct e d
None.
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
Auto-generated from high-level language.
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Section 2.5.1, Exception Conditions for VEX-Encoded GPR Instructions, Table 2-29; additionally
#UD If VEX.W = 1.
SBBInteger Subtraction with Borrow
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-337
S B B I n t e g e r S u b t ra ct io n w it h B o rro w
I n st ru ct io n O p e ra n d E n co d in g
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
1C ib SBB AL, imm8 I Valid Valid Subtract with borrow imm8 from AL.
1D iw SBB AX, imm16 I Valid Valid Subtract with borrow imm16 from AX.
1D id SBB EAX, imm32 I Valid Valid Subtract with borrow imm32 from EAX.
REX.W +1D id SBB RAX, imm32 I Valid N.E. Subtract with borrow sign-extended imm.32
to 64-bits from RAX.
80 /3 ib SBB r/m8, imm8 MI Valid Valid Subtract with borrow imm8 from r/m8.
REX +80 /3 ib SBB r/m8*, imm8 MI Valid N.E. Subtract with borrow imm8 from r/m8.
81 /3 iw SBB r/m16, imm16 MI Valid Valid Subtract with borrow imm16 from r/m16.
81 /3 id SBB r/m32, imm32 MI Valid Valid Subtract with borrow imm32 from r/m32.
REX.W +81 /3 id SBB r/m64, imm32 MI Valid N.E. Subtract with borrow sign-extended imm32 to
64-bits from r/m64.
83 /3 ib SBB r/m16, imm8 MI Valid Valid Subtract with borrow sign-extended imm8
from r/m16.
83 /3 ib SBB r/m32, imm8 MI Valid Valid Subtract with borrow sign-extended imm8
from r/m32.
REX.W +83 /3 ib SBB r/m64, imm8 MI Valid N.E. Subtract with borrow sign-extended imm8
from r/m64.
18 /r SBB r/m8, r8 MR Valid Valid Subtract with borrow r8 from r/m8.
REX +18 /r SBB r/m8*, r8 MR Valid N.E. Subtract with borrow r8 from r/m8.
19 /r SBB r/m16, r16 MR Valid Valid Subtract with borrow r16 fromr/m16.
19 /r SBB r/m32, r32 MR Valid Valid Subtract with borrow r32 fromr/m32.
REX.W +19 /r SBB r/m64, r64 MR Valid N.E. Subtract with borrow r64 fromr/m64.
1A /r SBB r8, r/m8 RM Valid Valid Subtract with borrow r/m8 fromr8.
REX +1A /r SBB r8*, r/m8* RM Valid N.E. Subtract with borrow r/m8 fromr8.
1B /r SBB r16, r/m16 RM Valid Valid Subtract with borrow r/m16 from r16.
1B /r SBB r32, r/m32 RM Valid Valid Subtract with borrow r/m32 fromr32.
REX.W +1B /r SBB r64, r/m64 RM Valid N.E. Subtract with borrow r/m64 fromr64.
N O T E S :
* In 64-bit mode, r/m8 can not be encoded to access the following byte registers if a REX prefix is used: AH, BH, CH, DH.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
I AL/AX/EAX/RAX imm8/16/32 NA NA
MI ModRM:r/m (w) imm8/16/32 NA NA
MR ModRM:r/m (w) ModRM:reg (r) NA NA
RM ModRM:reg (w) ModRM:r/m(r) NA NA
SBBInteger Subtraction with Borrow
INSTRUCTION SET REFERENCE, N-Z
4-338 Vol. 2B
D e scrip t io n
Adds the source operand (second operand) and the carry (CF) flag, and subtracts the result from the destination
operand (first operand). The result of the subtraction is stored in the destination operand. The destination operand
can be a register or a memory location; the source operand can be an immediate, a register, or a memory location.
(However, two memory operands cannot be used in one instruction.) The state of the CF flag represents a borrow
from a previous subtraction.
When an immediate value is used as an operand, it is sign-extended to the length of the destination operand
format.
The SBB instruction does not distinguish between signed or unsigned operands. Instead, the processor evaluates
the result for both data types and sets the OF and CF flags to indicate a borrow in the signed or unsigned result,
respectively. The SF flag indicates the sign of the signed result.
The SBB instruction is usually executed as part of a multibyte or multiword subtraction in which a SUB instruction
is followed by a SBB instruction.
This instruction can be used with a LOCK prefix to allow the instruction to be executed atomically.
In 64-bit mode, the instructions default operation size is 32 bits. Using a REX prefix in the form of REX.R permits
access to additional registers (R8-R15). Using a REX prefix in the form of REX.W promotes operation to 64 bits. See
the summary chart at the beginning of this section for encoding data and limits.
O p e ra t io n
DEST (DEST (SRC + CF));
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
SBB: extern unsigned char _subborrow_u8(unsigned char c_in, unsigned char src1, unsigned char src2, unsigned char *diff_out);
SBB: extern unsigned char _subborrow_u16(unsigned char c_in, unsigned short src1, unsigned short src2, unsigned short
*diff_out);
SBB: extern unsigned char _subborrow_u32(unsigned char c_in, unsigned int src1, unsigned char int, unsigned int *diff_out);
SBB: extern unsigned char _subborrow_u64(unsigned char c_in, unsigned __int64 src1, unsigned __int64 src2, unsigned
__int64 *diff_out);
F la g s Af fe ct e d
The OF, SF, ZF, AF, PF, and CF flags are set according to the result.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the destination is located in a non-writable segment.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used but the destination is not a memory operand.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used but the destination is not a memory operand.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
SBBInteger Subtraction with Borrow
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-339
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used but the destination is not a memory operand.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used but the destination is not a memory operand.
SCAS/SCASB/SCASW/SCASDScan String
INSTRUCTION SET REFERENCE, N-Z
4-340 Vol. 2B
S C AS /S C AS B /S C AS W/S C AS D S ca n S t rin g
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
In non-64-bit modes and in default 64-bit mode: this instruction compares a byte, word, doubleword or quadword
specified using a memory operand with the value in AL, AX, or EAX. It then sets status flags in EFLAGS recording
the results. The memory operand address is read from ES:(E)DI register (depending on the address-size attribute
of the instruction and the current operational mode). Note that ES cannot be overridden with a segment override
prefix.
At the assembly-code level, two forms of this instruction are allowed. The explicit-operand form and the no-oper-
ands form. The explicit-operand form (specified using the SCAS mnemonic) allows a memory operand to be speci-
fied explicitly. The memory operand must be a symbol that indicates the size and location of the operand value. The
register operand is then automatically selected to match the size of the memory operand (AL register for byte
comparisons, AX for word comparisons, EAX for doubleword comparisons). The explicit-operand form is provided
to allow documentation. Note that the documentation provided by this form can be misleading. That is, the
memory operand symbol must specify the correct type (size) of the operand (byte, word, or doubleword) but it
does not have to specify the correct location. The location is always specified by ES:(E)DI.
The no-operands form of the instruction uses a short form of SCAS. Again, ES:(E)DI is assumed to be the memory
operand and AL, AX, or EAX is assumed to be the register operand. The size of operands is selected by the
mnemonic: SCASB (byte comparison), SCASW (word comparison), or SCASD (doubleword comparison).
After the comparison, the (E)DI register is incremented or decremented automatically according to the setting of
the DF flag in the EFLAGS register. If the DF flag is 0, the (E)DI register is incremented; if the DF flag is 1, the (E)DI
register is decremented. The register is incremented or decremented by 1 for byte operations, by 2 for word oper-
ations, and by 4 for doubleword operations.
SCAS, SCASB, SCASW, SCASD, and SCASQ can be preceded by the REP prefix for block comparisons of ECX bytes,
words, doublewords, or quadwords. Often, however, these instructions will be used in a LOOP construct that takes
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
AE SCAS m8 NP Valid Valid Compare AL with byte at ES:(E)DI or RDI, then
set status flags.*
AF SCAS m16 NP Valid Valid Compare AX with word at ES:(E)DI or RDI, then
set status flags.*
AF SCAS m32 NP Valid Valid Compare EAX with doubleword at ES(E)DI or
RDI then set status flags.*
REX.W +AF SCAS m64 NP Valid N.E. Compare RAX with quadword at RDI or EDI
then set status flags.
AE SCASB NP Valid Valid Compare AL with byte at ES:(E)DI or RDI then
set status flags.*
AF SCASW NP Valid Valid Compare AX with word at ES:(E)DI or RDI then
set status flags.*
AF SCASD NP Valid Valid Compare EAX with doubleword at ES:(E)DI or
RDI then set status flags.*
REX.W +AF SCASQ NP Valid N.E. Compare RAX with quadword at RDI or EDI
then set status flags.
N O T E S :
* In 64-bit mode, only 64-bit (RDI) and 32-bit (EDI) address sizes are supported. In non-64-bit mode, only 32-bit (EDI) and 16-bit (DI)
address sizes are supported.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
SCAS/SCASB/SCASW/SCASDScan String
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-341
some action based on the setting of status flags. See REP/REPE/REPZ /REPNE/REPNZRepeat String Operation
Prefix in this chapter for a description of the REP prefix.
In 64-bit mode, the instructions default address size is 64-bits, 32-bit address size is supported using the prefix
67H. Using a REX prefix in the form of REX.W promotes operation on doubleword operand to 64 bits. The 64-bit no-
operand mnemonic is SCASQ. Address of the memory operand is specified in either RDI or EDI, and
AL/AX/EAX/RAX may be used as the register operand. After a comparison, the destination register is incremented
or decremented by the current operand size (depending on the value of the DF flag). See the summary chart at the
beginning of this section for encoding data and limits.
O p e ra t io n
Non-64-bit Mode:
IF (Byte comparison)
THEN
temp AL SRC;
SetStatusFlags(temp);
THEN IF DF = 0
THEN (E)DI (E)DI + 1;
ELSE (E)DI (E)DI 1; FI;
ELSE IF (Word comparison)
THEN
temp AX SRC;
SetStatusFlags(temp);
IF DF = 0
THEN (E)DI (E)DI + 2;
ELSE (E)DI (E)DI 2; FI;
FI;
ELSE IF (Doubleword comparison)
THEN
temp EAX SRC;
SetStatusFlags(temp);
IF DF = 0
THEN (E)DI (E)DI + 4;
ELSE (E)DI (E)DI 4; FI;
FI;
FI;
64-bit Mode:
IF (Byte cmparison)
THEN
temp AL SRC;
SetStatusFlags(temp);
THEN IF DF = 0
THEN (R|E)DI (R|E)DI + 1;
ELSE (R|E)DI (R|E)DI 1; FI;
ELSE IF (Word comparison)
THEN
temp AX SRC;
SetStatusFlags(temp);
IF DF = 0
THEN (R|E)DI (R|E)DI + 2;
ELSE (R|E)DI (R|E)DI 2; FI;
FI;
SCAS/SCASB/SCASW/SCASDScan String
INSTRUCTION SET REFERENCE, N-Z
4-342 Vol. 2B
ELSE IF (Doubleword comparison)
THEN
temp EAX SRC;
SetStatusFlags(temp);
IF DF = 0
THEN (R|E)DI (R|E)DI + 4;
ELSE (R|E)DI (R|E)DI 4; FI;
FI;
ELSE IF (Quadword comparison using REX.W )
THEN
temp RAX SRC;
SetStatusFlags(temp);
IF DF = 0
THEN (R|E)DI (R|E)DI + 8;
ELSE (R|E)DI (R|E)DI 8;
FI;
FI;
F
F la g s Af fe ct e d
The OF, SF, ZF, AF, PF, and CF flags are set according to the temporary result of the comparison.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the limit of the ES segment.
If the ES register contains a NULL segment selector.
If an illegal memory operand effective address in the ES segment is given.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
SCAS/SCASB/SCASW/SCASDScan String
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-343
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
SETccSet Byte on Condition
INSTRUCTION SET REFERENCE, N-Z
4-344 Vol. 2B
S E TccS e t B y t e o n C o n d it io n
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 97 SETA r/m8 M Valid Valid Set byte if above (CF=0 and ZF=0).
REX +0F 97 SETA r/m8* M Valid N.E. Set byte if above (CF=0 and ZF=0).
0F 93 SETAE r/m8 M Valid Valid Set byte if above or equal (CF=0).
REX +0F 93 SETAE r/m8* M Valid N.E. Set byte if above or equal (CF=0).
0F 92 SETB r/m8 M Valid Valid Set byte if below (CF=1).
REX +0F 92 SETB r/m8* M Valid N.E. Set byte if below (CF=1).
0F 96 SETBE r/m8 M Valid Valid Set byte if below or equal (CF=1 or ZF=1).
REX +0F 96 SETBE r/m8* M Valid N.E. Set byte if below or equal (CF=1 or ZF=1).
0F 92 SETC r/m8 M Valid Valid Set byte if carry (CF=1).
REX +0F 92 SETC r/m8* M Valid N.E. Set byte if carry (CF=1).
0F 94 SETE r/m8 M Valid Valid Set byte if equal (ZF=1).
REX +0F 94 SETE r/m8* M Valid N.E. Set byte if equal (ZF=1).
0F 9F SETG r/m8 M Valid Valid Set byte if greater (ZF=0 and SF=OF).
REX +0F 9F SETG r/m8* M Valid N.E. Set byte if greater (ZF=0 and SF=OF).
0F 9D SETGE r/m8 M Valid Valid Set byte if greater or equal (SF=OF).
REX +0F 9D SETGE r/m8* M Valid N.E. Set byte if greater or equal (SF=OF).
0F 9C SETL r/m8 M Valid Valid Set byte if less (SF OF).
REX +0F 9C SETL r/m8* M Valid N.E. Set byte if less (SF OF).
0F 9E SETLE r/m8 M Valid Valid Set byte if less or equal (ZF=1 or SF OF).
REX +0F 9E SETLE r/m8* M Valid N.E. Set byte if less or equal (ZF=1 or SF OF).
0F 96 SETNA r/m8 M Valid Valid Set byte if not above (CF=1 or ZF=1).
REX +0F 96 SETNA r/m8* M Valid N.E. Set byte if not above (CF=1 or ZF=1).
0F 92 SETNAE r/m8 M Valid Valid Set byte if not above or equal (CF=1).
REX +0F 92 SETNAE r/m8* M Valid N.E. Set byte if not above or equal (CF=1).
0F 93 SETNB r/m8 M Valid Valid Set byte if not below (CF=0).
REX +0F 93 SETNB r/m8* M Valid N.E. Set byte if not below (CF=0).
0F 97 SETNBE r/m8 M Valid Valid Set byte if not below or equal (CF=0 and
ZF=0).
REX +0F 97 SETNBE r/m8* M Valid N.E. Set byte if not below or equal (CF=0 and
ZF=0).
0F 93 SETNC r/m8 M Valid Valid Set byte if not carry (CF=0).
REX +0F 93 SETNC r/m8* M Valid N.E. Set byte if not carry (CF=0).
0F 95 SETNE r/m8 M Valid Valid Set byte if not equal (ZF=0).
REX +0F 95 SETNE r/m8* M Valid N.E. Set byte if not equal (ZF=0).
0F 9E SETNG r/m8 M Valid Valid Set byte if not greater (ZF=1 or SF OF)
REX +0F 9E SETNG r/m8* M Valid N.E. Set byte if not greater (ZF=1 or SF OF).
0F 9C SETNGE r/m8 M Valid Valid Set byte if not greater or equal (SF OF).
REX +0F 9C SETNGE r/m8* M Valid N.E. Set byte if not greater or equal (SF OF).
0F 9D SETNL r/m8 M Valid Valid Set byte if not less (SF=OF).
REX +0F 9D SETNL r/m8* M Valid N.E. Set byte if not less (SF=OF).
0F 9F SETNLE r/m8 M Valid Valid Set byte if not less or equal (ZF=0 and SF=OF).
SETccSet Byte on Condition
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-345
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Sets the destination operand to 0 or 1 depending on the settings of the status flags (CF, SF, OF, ZF, and PF) in the
EFLAGS register. The destination operand points to a byte register or a byte in memory. The condition code suffix
(cc) indicates the condition being tested for.
The terms above and below are associated with the CF flag and refer to the relationship between two unsigned
integer values. The terms greater and less are associated with the SF and OF flags and refer to the relationship
between two signed integer values.
Many of the SETcc instruction opcodes have alternate mnemonics. For example, SETG (set byte if greater) and
SETNLE (set if not less or equal) have the same opcode and test for the same condition: ZF equals 0 and SF equals
OF. These alternate mnemonics are provided to make code more intelligible. Appendix B, EFLAGS Condition
Codes, in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1, shows the alternate
mnemonics for various test conditions.
Some languages represent a logical one as an integer with all bits set. This representation can be obtained by
choosing the logically opposite condition for the SETcc instruction, then decrementing the result. For example, to
test for overflow, use the SETNO instruction, then decrement the result.
REX +0F 9F SETNLE r/m8* M Valid N.E. Set byte if not less or equal (ZF=0 and SF=OF).
0F 91 SETNO r/m8 M Valid Valid Set byte if not overflow (OF=0).
REX +0F 91 SETNO r/m8* M Valid N.E. Set byte if not overflow (OF=0).
0F 9B SETNP r/m8 M Valid Valid Set byte if not parity (PF=0).
REX +0F 9B SETNP r/m8* M Valid N.E. Set byte if not parity (PF=0).
0F 99 SETNS r/m8 M Valid Valid Set byte if not sign (SF=0).
REX +0F 99 SETNS r/m8* M Valid N.E. Set byte if not sign (SF=0).
0F 95 SETNZ r/m8 M Valid Valid Set byte if not zero (ZF=0).
REX +0F 95 SETNZ r/m8* M Valid N.E. Set byte if not zero (ZF=0).
0F 90 SETO r/m8 M Valid Valid Set byte if overflow (OF=1)
REX +0F 90 SETO r/m8* M Valid N.E. Set byte if overflow (OF=1).
0F 9A SETP r/m8 M Valid Valid Set byte if parity (PF=1).
REX +0F 9A SETP r/m8* M Valid N.E. Set byte if parity (PF=1).
0F 9A SETPE r/m8 M Valid Valid Set byte if parity even (PF=1).
REX +0F 9A SETPE r/m8* M Valid N.E. Set byte if parity even (PF=1).
0F 9B SETPO r/m8 M Valid Valid Set byte if parity odd (PF=0).
REX +0F 9B SETPO r/m8* M Valid N.E. Set byte if parity odd (PF=0).
0F 98 SETS r/m8 M Valid Valid Set byte if sign (SF=1).
REX +0F 98 SETS r/m8* M Valid N.E. Set byte if sign (SF=1).
0F 94 SETZ r/m8 M Valid Valid Set byte if zero (ZF=1).
REX +0F 94 SETZ r/m8* M Valid N.E. Set byte if zero (ZF=1).
N O T E S :
* In 64-bit mode, r/m8 can not be encoded to access the following byte registers if a REX prefix is used: AH, BH, CH, DH.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
M ModRM:r/m (r) NA NA NA
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
SETccSet Byte on Condition
INSTRUCTION SET REFERENCE, N-Z
4-346 Vol. 2B
In IA-64 mode, the operand size is fixed at 8 bits. Use of REX prefix enable uniform addressing to additional byte
registers. Otherwise, this instructions operation is the same as in legacy mode and compatibility mode.
O p e ra t io n
IF condition
THEN DEST 1;
ELSE DEST 0;
FI;
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the destination is located in a non-writable segment.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#UD If the LOCK prefix is used.
SFENCEStore Fence
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-347
S F E N C E S t o re Fe n ce
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a serializing operation on all store-to-memory instructions that were issued prior the SFENCE instruction.
This serializing operation guarantees that every store instruction that precedes the SFENCE instruction in program
order becomes globally visible before any store instruction that follows the SFENCE instruction. The SFENCE
instruction is ordered with respect to store instructions, other SFENCE instructions, any LFENCE and MFENCE
instructions, and any serializing instructions (such as the CPUID instruction). It is not ordered with respect to load
instructions.
Weakly ordered memory types can be used to achieve higher processor performance through such techniques as
out-of-order issue, write-combining, and write-collapsing. The degree to which a consumer of data recognizes or
knows that the data is weakly ordered varies among applications and may be unknown to the producer of this data.
The SFENCE instruction provides a performance-efficient way of ensuring store ordering between routines that
produce weakly-ordered results and routines that consume this data.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
Wait_On_Following_Stores_Until(preceding_stores_globally_visible);
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
void _mm_sfence(void)
E x ce p t io n s (All O p e ra t in g Mo d e s)
#UD If CPUID.01H:EDX.SSE[bit 25] = 0.
If the LOCK prefix is used.
O p co d e * I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F AE /7 SFENCE NP Valid Valid Serializes store operations.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
SGDTStore Global Descriptor Table Register
INSTRUCTION SET REFERENCE, N-Z
4-348 Vol. 2B
S G D T S t o re G lo b a l D e scrip t o r Ta b le R e g ist e r
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Stores the content of the global descriptor table register (GDTR) in the destination operand. The destination
operand specifies a memory location.
In legacy or compatibility mode, the destination operand is a 6-byte memory location. If the operand-size attribute
is 16 bits, the limit is stored in the low 2 bytes and the 24-bit base address is stored in bytes 3-5, and byte 6 is zero-
filled. If the operand-size attribute is 32 bits, the 16-bit limit field of the register is stored in the low 2 bytes of the
memory location and the 32-bit base address is stored in the high 4 bytes.
In IA-32e mode, the operand size is fixed at 8+2 bytes. The instruction stores an 8-byte base and a 2-byte limit.
SGDT is useful only by operating-system software. However, it can be used in application programs without causing
an exception to be generated. See LGDT/LIDTLoad Global/Interrupt Descriptor Table Register in Chapter 3,
Intel 64 and IA-32 Architectures Software Developers Manual, Volume 2A, for information on loading the GDTR
and IDTR.
I A-3 2 Arch it e ct u re C o m p a t ib ilit y
The 16-bit form of the SGDT is compatible with the Intel 286 processor if the upper 8 bits are not referenced. The
Intel 286 processor fills these bits with 1s; the Pentium 4, Intel Xeon, P6 processor family, Pentium, Intel486, and
Intel386 processors fill these bits with 0s.
O p e ra t io n
IF instruction is SGDT
IF OperandSize = 16
THEN
DEST[0:15] GDTR(Limit);
DEST[16:39] GDTR(Base); (* 24 bits of base address stored *)
DEST[40:47] 0;
ELSE IF (32-bit Operand Size)
DEST[0:15] GDTR(Limit);
DEST[16:47] GDTR(Base); (* Full 32-bit base address stored *)
FI;
ELSE (* 64-bit Operand Size *)
DEST[0:15] GDTR(Limit);
DEST[16:79] GDTR(Base); (* Full 64-bit base address stored *)
FI;
FI;
F la g s Af fe ct e d
None.
O p co d e * I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 01 /0 SGDT m M Valid Valid Store GDTR to m.
N O T E S :
* See IA-32 Architecture Compatibility section below.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
M ModRM:r/m (w) NA NA NA
SGDTStore Global Descriptor Table Register
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-349
P ro t e ct e d Mo d e E x ce p t io n s
#UD If the destination operand is a register.
If the LOCK prefix is used.
#GP(0) If the destination is located in a non-writable segment.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register is used to access memory and it contains a NULL segment
selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#UD If the destination operand is a register.
If the LOCK prefix is used.
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#UD If the destination operand is a register.
If the LOCK prefix is used.
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#UD If the destination operand is a register.
If the LOCK prefix is used.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
SHLDDouble Precision Shift Left
INSTRUCTION SET REFERENCE, N-Z
4-350 Vol. 2B
S H LD D o u b le P re cisio n S h ift Le ft
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
The SHLD instruction is used for multi-precision shifts of 64 bits or more.
The instruction shifts the first operand (destination operand) to the left the number of bits specified by the third
operand (count operand). The second operand (source operand) provides bits to shift in from the right (starting
with bit 0 of the destination operand).
The destination operand can be a register or a memory location; the source operand is a register. The count
operand is an unsigned integer that can be stored in an immediate byte or in the CL register. If the count operand
is CL, the shift count is the logical AND of CL and a count mask. In non-64-bit modes and default 64-bit mode; only
bits 0 through 4 of the count are used. This masks the count to a value between 0 and 31. If a count is greater than
the operand size, the result is undefined.
If the count is 1 or greater, the CF flag is filled with the last bit shifted out of the destination operand. For a 1-bit
shift, the OF flag is set if a sign change occurred; otherwise, it is cleared. If the count operand is 0, flags are not
affected.
In 64-bit mode, the instructions default operation size is 32 bits. Using a REX prefix in the form of REX.R permits
access to additional registers (R8-R15). Using a REX prefix in the form of REX.W promotes operation to 64 bits
(upgrading the count mask to 6 bits). See the summary chart at the beginning of this section for encoding data and
limits.
O p e ra t io n
IF (In 64-Bit Mode and REX.W =1)
THEN COUNT COUNT MOD 64;
ELSE COUNT COUNT MOD 32;
FI
SIZE OperandSize;
IF COUNT = 0
THEN
No operation;
ELSE
O p co d e * I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F A4 /r ib SHLD r/m16, r16, imm8 MRI Valid Valid Shift r/m16 to left imm8 places while shifting
bits from r16 in from the right.
0F A5 /r SHLD r/m16, r16, CL MRC Valid Valid Shift r/m16 to left CL places while shifting bits
from r16 in from the right.
0F A4 /r ib SHLD r/m32, r32, imm8 MRI Valid Valid Shift r/m32 to left imm8 places while shifting
bits from r32 in from the right.
REX.W +0F A4 /r ib SHLD r/m64, r64, imm8 MRI Valid N.E. Shift r/m64 to left imm8 places while shifting
bits from r64 in from the right.
0F A5 /r SHLD r/m32, r32, CL MRC Valid Valid Shift r/m32 to left CL places while shifting bits
from r32 in from the right.
REX.W +0F A5 /r SHLD r/m64, r64, CL MRC Valid N.E. Shift r/m64 to left CL places while shifting
bits from r64 in from the right.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
MRI ModRM:r/m (w) ModRM:reg (r) imm8 NA
MRC ModRM:r/m (w) ModRM:reg (r) CL NA
SHLDDouble Precision Shift Left
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-351
IF COUNT > SIZE
THEN (* Bad parameters *)
DEST is undefined;
CF, OF, SF, ZF, AF, PF are undefined;
ELSE (* Perform the shift *)
CF BIT[DEST, SIZE COUNT];
(* Last bit shifted out on exit *)
FOR i SIZE 1 DOWN TO COUNT
DO
Bit(DEST, i) Bit(DEST, i COUNT);
OD;
FOR i COUNT 1 DOWN TO 0
DO
BIT[DEST, i] BIT[SRC, i COUNT + SIZE];
OD;
FI;
FI;
F la g s Af fe ct e d
If the count is 1 or greater, the CF flag is filled with the last bit shifted out of the destination operand and the SF,
ZF, and PF flags are set according to the value of the result. For a 1-bit shift, the OF flag is set if a sign change
occurred; otherwise, it is cleared. For shifts greater than 1 bit, the OF flag is undefined. If a shift occurs, the AF flag
is undefined. If the count operand is 0, the flags are not affected. If the count is greater than the operand size, the
flags are undefined.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the destination is located in a non-writable segment.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
SHLDDouble Precision Shift Left
INSTRUCTION SET REFERENCE, N-Z
4-352 Vol. 2B
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
SHRDDouble Precision Shift Right
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-353
S H R D D o u b le P re cisio n S h ift R ig h t
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
The SHRD instruction is useful for multi-precision shifts of 64 bits or more.
The instruction shifts the first operand (destination operand) to the right the number of bits specified by the third
operand (count operand). The second operand (source operand) provides bits to shift in from the left (starting with
the most significant bit of the destination operand).
The destination operand can be a register or a memory location; the source operand is a register. The count
operand is an unsigned integer that can be stored in an immediate byte or the CL register. If the count operand is
CL, the shift count is the logical AND of CL and a count mask. In non-64-bit modes and default 64-bit mode, the
width of the count mask is 5 bits. Only bits 0 through 4 of the count register are used (masking the count to a value
between 0 and 31). If the count is greater than the operand size, the result is undefined.
If the count is 1 or greater, the CF flag is filled with the last bit shifted out of the destination operand. For a 1-bit
shift, the OF flag is set if a sign change occurred; otherwise, it is cleared. If the count operand is 0, flags are not
affected.
In 64-bit mode, the instructions default operation size is 32 bits. Using a REX prefix in the form of REX.R permits
access to additional registers (R8-R15). Using a REX prefix in the form of REX.W promotes operation to 64 bits
(upgrading the count mask to 6 bits). See the summary chart at the beginning of this section for encoding data and
limits.
O p e ra t io n
IF (In 64-Bit Mode and REX.W =1)
THEN COUNT COUNT MOD 64;
ELSE COUNT COUNT MOD 32;
FI
SIZE OperandSize;
IF COUNT = 0
THEN
No operation;
ELSE
O p co d e * I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F AC /r ib SHRD r/m16, r16, imm8 MRI Valid Valid Shift r/m16 to right imm8 places while
shifting bits from r16 in from the left.
0F AD /r SHRD r/m16, r16, CL MRC Valid Valid Shift r/m16 to right CL places while shifting
bits fromr16 in from the left.
0F AC /r ib SHRD r/m32, r32, imm8 MRI Valid Valid Shift r/m32 to right imm8 places while
shifting bits from r32 in from the left.
REX.W +0F AC /r ib SHRD r/m64, r64, imm8 MRI Valid N.E. Shift r/m64 to right imm8 places while
shifting bits from r64 in from the left.
0F AD /r SHRD r/m32, r32, CL MRC Valid Valid Shift r/m32 to right CL places while shifting
bits fromr32 in from the left.
REX.W +0F AD /r SHRD r/m64, r64, CL MRC Valid N.E. Shift r/m64 to right CL places while shifting
bits fromr64 in from the left.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
MRI ModRM:r/m (w) ModRM:reg (r) imm8 NA
MRC ModRM:r/m (w) ModRM:reg (r) CL NA
SHRDDouble Precision Shift Right
INSTRUCTION SET REFERENCE, N-Z
4-354 Vol. 2B
IF COUNT > SIZE
THEN (* Bad parameters *)
DEST is undefined;
CF, OF, SF, ZF, AF, PF are undefined;
ELSE (* Perform the shift *)
CF BIT[DEST, COUNT 1]; (* Last bit shifted out on exit *)
FOR i 0 TO SIZE 1 COUNT
DO
BIT[DEST, i] BIT[DEST, i + COUNT];
OD;
FOR i SIZE COUNT TO SIZE 1
DO
BIT[DEST,i] BIT[SRC, i + COUNT SIZE];
OD;
FI;
FI;
F la g s Af fe ct e d
If the count is 1 or greater, the CF flag is filled with the last bit shifted out of the destination operand and the SF, ZF,
and PF flags are set according to the value of the result. For a 1-bit shift, the OF flag is set if a sign change occurred;
otherwise, it is cleared. For shifts greater than 1 bit, the OF flag is undefined. If a shift occurs, the AF flag is unde-
fined. If the count operand is 0, the flags are not affected. If the count is greater than the operand size, the flags
are undefined.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the destination is located in a non-writable segment.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
SHRDDouble Precision Shift Right
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-355
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
SHUFPDShuffle Packed Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-356 Vol. 2B
S H U F P D S h u ffle P a cke d D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Moves either of the two packed double-precision floating-point values from destination operand (first operand) into
the low quadword of the destination operand; moves either of the two packed double-precision floating-point
values from the source operand into to the high quadword of the destination operand (see Figure 4-21). The select
operand (third operand) determines which values are moved to the destination operand.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
128-bit Legacy SSE version: The source can be an XMM register or an 128-bit memory location. The destination is
not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding YMM
register destination are unmodified.
VEX.128 encoded version: the first source operand is an XMM register or 128-bit memory location. The destination
operand is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand can be a YMM
register or a 256-bit memory location. The destination operand is a YMM register.
O p co d e */
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F C6 /r ib
SHUFPD xmm1, xmm2/m128, imm8
RMI V/V SSE2 Shuffle packed double-precision floating-
point values selected by imm8 fromxmm1
and xmm2/m128 to xmm1.
VEX.NDS.128.66.0F.WIG C6 /r ib
VSHUFPD xmm1, xmm2, xmm3/m128, imm8
RVMI V/V AVX Shuffle Packed double-precision floating-
point values selected by imm8 fromxmm2
and xmm3/mem.
VEX.NDS.256.66.0F.WIG C6 /r ib
VSHUFPD ymm1, ymm2, ymm3/m256, imm8
RVMI V/V AVX Shuffle Packed double-precision floating-
point values selected by imm8 fromymm2
and ymm3/mem.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RMI ModRM:reg (r, w) ModRM:r/m (r) imm8 NA
RVMI ModRM:reg (w) VEX.vvvv (r) ModRM:r/m(r) imm8
F ig u re 4 -2 1 . S H U F P D S h u ff le O p e ra t io n
X1 X0
Y1 Y0
Y1 or Y0 X1 or X0
SRC
DEST
DEST
SHUFPDShuffle Packed Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-357
The source operand can be an XMM register or a 128-bit memory location. The destination operand is an XMM
register. The select operand is an 8-bit immediate: bit 0 selects which value is moved from the destination operand
to the result (where 0 selects the low quadword and 1 selects the high quadword) and bit 1 selects which value is
moved from the source operand to the result. Bits 2 through 7 of the select operand are reserved and must be set
to 0.
O p e ra t io n
IF SELECT[0] = 0
THEN DEST[63:0] DEST[63:0];
ELSE DEST[63:0] DEST[127:64]; FI;
IF SELECT[1] = 0
THEN DEST[127:64] SRC[63:0];
ELSE DEST[127:64] SRC[127:64]; FI;
S H U F P D (1 2 8 -b it Le g a cy S S E v e rsio n )
IF IMM0[0] =0
THEN DEST[63:0] SRC1[63:0]
ELSE DEST[63:0] SRC1[127:64] FI;
IF IMM0[1] =0
THEN DEST[127:64] SRC2[63:0]
ELSE DEST[127:64] SRC2[127:64] FI;
DEST[VLMAX-1:128] (Unmodified)
VS H U F P D (VE X.1 2 8 e n co d e d v e rsio n )
IF IMM0[0] =0
THEN DEST[63:0] SRC1[63:0]
ELSE DEST[63:0] SRC1[127:64] FI;
IF IMM0[1] =0
THEN DEST[127:64] SRC2[63:0]
ELSE DEST[127:64] SRC2[127:64] FI;
DEST[VLMAX-1:128] 0
VS H U F P D (VE X.2 5 6 e n co d e d v e rsio n )
IF IMM0[0] =0
THEN DEST[63:0] SRC1[63:0]
ELSE DEST[63:0] SRC1[127:64] FI;
IF IMM0[1] =0
THEN DEST[127:64] SRC2[63:0]
ELSE DEST[127:64] SRC2[127:64] FI;
IF IMM0[2] =0
THEN DEST[191:128] SRC1[191:128]
ELSE DEST[191:128] SRC1[255:192] FI;
IF IMM0[3] =0
THEN DEST[255:192] SRC2[191:128]
ELSE DEST[255:192] SRC2[255:192] FI;
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
SHUFPD: __m128d _mm_shuffle_pd(__m128d a, __m128d b, unsigned int imm8)
VSHUFPD: __m256d _mm256_shuffle_pd (__m256d a, __m256d b, const int select);
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
SHUFPDShuffle Packed Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-358 Vol. 2B
O t h e r E x ce p t io n s
See Exceptions Type 4.
SHUFPSShuffle Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-359
S H U F P S S h u ffle P a cke d S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Moves two of the four packed single-precision floating-point values from the destination operand (first operand)
into the low quadword of the destination operand; moves two of the four packed single-precision floating-point
values from the source operand (second operand) into to the high quadword of the destination operand (see
Figure 4-22). The select operand (third operand) determines which values are moved to the destination operand.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
128-bit Legacy SSE version: The source can be an XMM register or an 128-bit memory location. The destination is
not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding YMM
register destination are unmodified.
VEX.128 encoded version: the first source operand is an XMM register or 128-bit memory location. The destination
operand is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
determines which values are moved to the destination operand.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand can be a YMM
register or a 256-bit memory location. The destination operand is a YMM register.
O p co d e */
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F C6 /r ib
SHUFPS xmm1, xmm2/m128, imm8
RMI V/V SSE Shuffle packed single-precision floating-point
values selected by imm8 from xmm1 and
xmm1/m128 to xmm1.
VEX.NDS.128.0F.WIG C6 /r ib
VSHUFPS xmm1, xmm2, xmm3/m128, imm8
RVMI V/V AVX Shuffle Packed single-precision floating-point
values selected by imm8 from xmm2 and
xmm3/mem.
VEX.NDS.256.0F.WIG C6 /r ib
VSHUFPS ymm1, ymm2, ymm3/m256, imm8
RVMI V/V AVX Shuffle Packed single-precision floating-point
values selected by imm8 from ymm2 and
ymm3/mem.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RMI ModRM:reg (r, w) ModRM:r/m (r) imm8 NA
RVMI ModRM:reg (w) VEX.vvvv (r) ModRM:r/m(r) imm8
F ig u re 4 -2 2 . S H U F P S S h u f f le O p e ra t io n
X3 X2 X1 X0
Y3 Y2 Y1 Y0
Y3 ... Y0 Y3 ... Y0 X3 ... X0 X3 ... X0
DEST
SRC
DEST
SHUFPSShuffle Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-360 Vol. 2B
The source operand can be an XMM register or a 128-bit memory location. The destination operand is an XMM
register. The select operand is an 8-bit immediate: bits 0 and 1 select the value to be moved from the destination
operand to the low doubleword of the result, bits 2 and 3 select the value to be moved from the destination operand
to the second doubleword of the result, bits 4 and 5 select the value to be moved from the source operand to the
third doubleword of the result, and bits 6 and 7 select the value to be moved from the source operand to the high
doubleword of the result.
O p e ra t io n
CASE (SELECT[1:0]) OF
0: DEST[31:0] DEST[31:0];
1: DEST[31:0] DEST[63:32];
2: DEST[31:0] DEST[95:64];
3: DEST[31:0] DEST[127:96];
ESAC;
CASE (SELECT[3:2]) OF
0: DEST[63:32] DEST[31:0];
1: DEST[63:32] DEST[63:32];
2: DEST[63:32] DEST[95:64];
3: DEST[63:32] DEST[127:96];
ESAC;
CASE (SELECT[5:4]) OF
0: DEST[95:64] SRC[31:0];
1: DEST[95:64] SRC[63:32];
2: DEST[95:64] SRC[95:64];
3: DEST[95:64] SRC[127:96];
ESAC;
CASE (SELECT[7:6]) OF
0: DEST[127:96] SRC[31:0];
1: DEST[127:96] SRC[63:32];
2: DEST[127:96] SRC[95:64];
3: DEST[127:96] SRC[127:96];
ESAC;
S H U F P S (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[31:0] Select4(SRC1[127:0], imm8[1:0]);
DEST[63:32] Select4(SRC1[127:0], imm8[3:2]);
DEST[95:64] Select4(SRC2[127:0], imm8[5:4]);
DEST[127:96] Select4(SRC2[127:0], imm8[7:6]);
DEST[VLMAX-1:128] (Unmodified)
VS H U F P S (VE X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] Select4(SRC1[127:0], imm8[1:0]);
DEST[63:32] Select4(SRC1[127:0], imm8[3:2]);
DEST[95:64] Select4(SRC2[127:0], imm8[5:4]);
DEST[127:96] Select4(SRC2[127:0], imm8[7:6]);
DEST[VLMAX-1:128] 0
SHUFPSShuffle Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-361
VS H U F P S (VE X.2 5 6 e n co d e d v e rsio n )
DEST[31:0] Select4(SRC1[127:0], imm8[1:0]);
DEST[63:32] Select4(SRC1[127:0], imm8[3:2]);
DEST[95:64] Select4(SRC2[127:0], imm8[5:4]);
DEST[127:96] Select4(SRC2[127:0], imm8[7:6]);
DEST[159:128] Select4(SRC1[255:128], imm8[1:0]);
DEST[191:160] Select4(SRC1[255:128], imm8[3:2]);
DEST[223:192] Select4(SRC2[255:128], imm8[5:4]);
DEST[255:224] Select4(SRC2[255:128], imm8[7:6]);
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
SHUFPS: __m128 _mm_shuffle_ps(__m128 a, __m128 b, unsigned int imm8)
VSHUFPS: __m256 _mm256_shuffle_ps (__m256 a, __m256 b, const int select);
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4.
SIDTStore Interrupt Descriptor Table Register
INSTRUCTION SET REFERENCE, N-Z
4-362 Vol. 2B
S I D T S t o re I n t e rru p t D e scrip t o r Ta b le R e g ist e r
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Stores the content the interrupt descriptor table register (IDTR) in the destination operand. The destination
operand specifies a 6-byte memory location.
In non-64-bit modes, if the operand-size attribute is 32 bits, the 16-bit limit field of the register is stored in the low
2 bytes of the memory location and the 32-bit base address is stored in the high 4 bytes. If the operand-size
attribute is 16 bits, the limit is stored in the low 2 bytes and the 24-bit base address is stored in the third, fourth,
and fifth byte, with the sixth byte filled with 0s.
In 64-bit mode, the operand size fixed at 8+2 bytes. The instruction stores 8-byte base and 2-byte limit values.
SIDT is only useful in operating-system software; however, it can be used in application programs without causing
an exception to be generated. See LGDT/LIDTLoad Global/Interrupt Descriptor Table Register in Chapter 3,
Intel 64 and IA-32 Architectures Software Developers Manual, Volume 2A, for information on loading the GDTR
and IDTR.
I A-3 2 Arch it e ct u re C o m p a t ib ilit y
The 16-bit form of SIDT is compatible with the Intel 286 processor if the upper 8 bits are not referenced. The Intel
286 processor fills these bits with 1s; the Pentium 4, Intel Xeon, P6 processor family, Pentium, Intel486, and
Intel386 processors fill these bits with 0s.
O p e ra t io n
IF instruction is SIDT
THEN
IF OperandSize = 16
THEN
DEST[0:15] IDTR(Limit);
DEST[16:39] IDTR(Base); (* 24 bits of base address stored; *)
DEST[40:47] 0;
ELSE IF (32-bit Operand Size)
DEST[0:15] IDTR(Limit);
DEST[16:47] IDTR(Base); FI; (* Full 32-bit base address stored *)
ELSE (* 64-bit Operand Size *)
DEST[0:15] IDTR(Limit);
DEST[16:79] IDTR(Base); (* Full 64-bit base address stored *)
FI;
FI;
F la g s Af fe ct e d
None.
O p co d e * I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 01 /1 SIDT m M Valid Valid Store IDTR to m.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
M ModRM:r/m(w) NA NA NA
SIDTStore Interrupt Descriptor Table Register
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-363
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the destination is located in a non-writable segment.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register is used to access memory and it contains a NULL segment
selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#UD If the destination operand is a register.
If the LOCK prefix is used.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
SLDTStore Local Descriptor Table Register
INSTRUCTION SET REFERENCE, N-Z
4-364 Vol. 2B
S LD T S t o re Lo ca l D e scrip t o r Ta b le R e g ist e r
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Stores the segment selector from the local descriptor table register (LDTR) in the destination operand. The desti-
nation operand can be a general-purpose register or a memory location. The segment selector stored with this
instruction points to the segment descriptor (located in the GDT) for the current LDT. This instruction can only be
executed in protected mode.
Outside IA-32e mode, when the destination operand is a 32-bit register, the 16-bit segment selector is copied into
the low-order 16 bits of the register. The high-order 16 bits of the register are cleared for the Pentium 4, Intel Xeon,
and P6 family processors. They are undefined for Pentium, Intel486, and Intel386 processors. When the destina-
tion operand is a memory location, the segment selector is written to memory as a 16-bit quantity, regardless of
the operand size.
In compatibility mode, when the destination operand is a 32-bit register, the 16-bit segment selector is copied into
the low-order 16 bits of the register. The high-order 16 bits of the register are cleared. When the destination
operand is a memory location, the segment selector is written to memory as a 16-bit quantity, regardless of the
operand size.
In 64-bit mode, using a REX prefix in the form of REX.R permits access to additional registers (R8-R15). The
behavior of SLDT with a 64-bit register is to zero-extend the 16-bit selector and store it in the register. If the desti-
nation is memory and operand size is 64, SLDT will write the 16-bit selector to memory as a 16-bit quantity,
regardless of the operand size
O p e ra t io n
DEST LDTR(SegmentSelector);
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the destination is located in a non-writable segment.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register is used to access memory and it contains a NULL segment
selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#UD The SLDT instruction is not recognized in real-address mode.
O p co d e * I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 00 /0 SLDT r/m16 M Valid Valid Stores segment selector fromLDTR in r/m16.
REX.W +0F 00 /0 SLDT r64/m16 M Valid Valid Stores segment selector fromLDTR in
r64/m16.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
M ModRM:r/m (w) NA NA NA
SLDTStore Local Descriptor Table Register
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-365
If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#UD The SLDT instruction is not recognized in virtual-8086 mode.
If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
SMSWStore Machine Status Word
INSTRUCTION SET REFERENCE, N-Z
4-366 Vol. 2B
S MS WS t o re Ma ch in e S t a t u s Wo rd
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Stores the machine status word (bits 0 through 15 of control register CR0) into the destination operand. The desti-
nation operand can be a general-purpose register or a memory location.
In non-64-bit modes, when the destination operand is a 32-bit register, the low-order 16 bits of register CR0 are
copied into the low-order 16 bits of the register and the high-order 16 bits are undefined. When the destination
operand is a memory location, the low-order 16 bits of register CR0 are written to memory as a 16-bit quantity,
regardless of the operand size.
In 64-bit mode, the behavior of the SMSW instruction is defined by the following examples:
SMSW r16 operand size 16, store CR0[15:0] in r16
SMSW r32 operand size 32, zero-extend CR0[31:0], and store in r32
SMSW r64 operand size 64, zero-extend CR0[63:0], and store in r64
SMSW m16 operand size 16, store CR0[15:0] in m16
SMSW m16 operand size 32, store CR0[15:0] in m16 (not m32)
SMSW m16 operands size 64, store CR0[15:0] in m16 (not m64)
SMSW is only useful in operating-system software. However, it is not a privileged instruction and can be used in
application programs. The is provided for compatibility with the Intel 286 processor. Programs and procedures
intended to run on the Pentium 4, Intel Xeon, P6 family, Pentium, Intel486, and Intel386 processors should use the
MOV (control registers) instruction to load the machine status word.
See Changes to Instruction Behavior in VMX Non-Root Operation in Chapter 25 of the Intel 64 and IA-32 Archi-
tectures Software Developers Manual, Volume 3C, for more information about the behavior of this instruction in
VMX non-root operation.
O p e ra t io n
DEST CR0[15:0];
(* Machine status word *)
F la g s Af fe ct e d
None.
O p co d e * I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 01 /4 SMSW r/m16 M Valid Valid Store machine status word to r/m16.
0F 01 /4 SMSW r32/m16 M Valid Valid Store machine status word in low-order 16
bits of r32/m16; high-order 16 bits of r32 are
undefined.
REX.W +0F 01 /4 SMSW r64/m16 M Valid Valid Store machine status word in low-order 16
bits of r64/m16; high-order 16 bits of r32 are
undefined.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
M ModRM:r/m (w) NA NA NA
SMSWStore Machine Status Word
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-367
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the destination is located in a non-writable segment.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register is used to access memory and it contains a NULL segment
selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
SQRTPDCompute Square Roots of Packed Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-368 Vol. 2B
S Q R T P D C o m p u t e S q u a re R o o t s o f P a cke d D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a SIMD computation of the square roots of the two packed double-precision floating-point values in the
source operand (second operand) stores the packed double-precision floating-point results in the destination
operand. The source operand can be an XMM register or a 128-bit memory location. The destination operand is an
XMM register. See Figure 11-3 in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1,
for an illustration of a SIMD double-precision floating-point operation.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
128-bit Legacy SSE version: The second source can be an XMM register or 128-bit memory location. The destina-
tion is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding YMM
register destination are unmodified.
VEX.128 encoded version: the source operand second source operand or a 128-bit memory location. The destina-
tion operand is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
VEX.256 encoded version: The source operand is a YMM register or a 256-bit memory location. The destination
operand is a YMM register.
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b otherwise instructions will #UD.
O p e ra t io n
S Q R T P D (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[63:0] SQRT(SRC[63:0])
DEST[127:64] SQRT(SRC[127:64])
DEST[VLMAX-1:128] (Unmodified)
VS Q R T P D (V E X.1 2 8 e n co d e d v e rsio n )
DEST[63:0] SQRT(SRC[63:0])
DEST[127:64] SQRT(SRC[127:64])
DEST[VLMAX-1:128] 0
O p co d e */
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 51 /r
SQRTPD xmm1, xmm2/m128
RM V/V SSE2 Computes square roots of the packed double-
precision floating-point values in xmm2/m128
and stores the results in xmm1.
VEX.128.66.0F.WIG 51 /r
VSQRTPD xmm1, xmm2/m128
RM V/V AVX Computes Square Roots of the packed double-
precision floating-point values in xmm2/m128
and stores the result in xmm1.
VEX.256.66.0F.WIG 51/r
VSQRTPD ymm1, ymm2/m256
RM V/V AVX Computes Square Roots of the packed double-
precision floating-point values in ymm2/m256
and stores the result in ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m(r) NA NA
SQRTPDCompute Square Roots of Packed Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-369
VS Q R T P D (V E X.2 5 6 e n co d e d v e rsio n )
DEST[63:0] SQRT(SRC[63:0])
DEST[127:64] SQRT(SRC[127:64])
DEST[191:128] SQRT(SRC[191:128])
DEST[255:192] SQRT(SRC[255:192])
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
SQRTPD: __m128d _mm_sqrt_pd (m128d a)
SQRTPD: __m256d _mm256_sqrt_pd (__m256d a);
S I MD F lo a t in g -P o in t E x ce p t io n s
Invalid, Precision, Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 2; additionally
#UD If VEX.vvvv != 1111B.
SQRTPSCompute Square Roots of Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-370 Vol. 2B
S Q R T P S C o m p u t e S q u a re R o o t s o f P a cke d S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a SIMD computation of the square roots of the four packed single-precision floating-point values in the
source operand (second operand) stores the packed single-precision floating-point results in the destination
operand. The source operand can be an XMM register or a 128-bit memory location. The destination operand is an
XMM register. See Figure 10-5 in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1,
for an illustration of a SIMD single-precision floating-point operation.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
128-bit Legacy SSE version: The second source can be an XMM register or 128-bit memory location. The destina-
tion is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding YMM
register destination are unmodified.
VEX.128 encoded version: the source operand second source operand or a 128-bit memory location. The destina-
tion operand is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
VEX.256 encoded version: The source operand is a YMM register or a 256-bit memory location. The destination
operand is a YMM register.
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b otherwise instructions will #UD.
O p e ra t io n
S Q R T P S (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[31:0] SQRT(SRC[31:0])
DEST[63:32] SQRT(SRC[63:32])
DEST[95:64] SQRT(SRC[95:64])
DEST[127:96] SQRT(SRC[127:96])
DEST[VLMAX-1:128] (Unmodified)
VS Q R T P S (VE X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] SQRT(SRC[31:0])
DEST[63:32] SQRT(SRC[63:32])
DEST[95:64] SQRT(SRC[95:64])
DEST[127:96] SQRT(SRC[127:96])
DEST[VLMAX-1:128] 0
O p co d e */
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 51 /r
SQRTPS xmm1, xmm2/m128
RM V/V SSE Computes square roots of the packed single-
precision floating-point values in xmm2/m128
and stores the results in xmm1.
VEX.128.0F.WIG 51 /r
VSQRTPS xmm1, xmm2/m128
RM V/V AVX Computes Square Roots of the packed single-
precision floating-point values in xmm2/m128
and stores the result in xmm1.
VEX.256.0F.WIG 51/r
VSQRTPS ymm1, ymm2/m256
RM V/V AVX Computes Square Roots of the packed single-
precision floating-point values in ymm2/m256
and stores the result in ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
SQRTPSCompute Square Roots of Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-371
VS Q R T P S (VE X.2 5 6 e n co d e d v e rsio n )
DEST[31:0] SQRT(SRC[31:0])
DEST[63:32] SQRT(SRC[63:32])
DEST[95:64] SQRT(SRC[95:64])
DEST[127:96] SQRT(SRC[127:96])
DEST[159:128] SQRT(SRC[159:128])
DEST[191:160] SQRT(SRC[191:160])
DEST[223:192] SQRT(SRC[223:192])
DEST[255:224] SQRT(SRC[255:224])
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
SQRTPS: __m128 _mm_sqrt_ps(__m128 a)
SQRTPS: __m256 _mm256_sqrt_ps (__m256 a);
S I MD F lo a t in g -P o in t E x ce p t io n s
Invalid, Precision, Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 2; additionally
#UD If VEX.vvvv != 1111B.
SQRTSDCompute Square Root of Scalar Double-Precision Floating-Point Value
INSTRUCTION SET REFERENCE, N-Z
4-372 Vol. 2B
S Q R T S D C o m p u t e S q u a re R o o t o f S ca la r D o u b le -P re cisio n F lo a t in g -P o in t Va lu e
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Computes the square root of the low double-precision floating-point value in the source operand (second operand)
and stores the double-precision floating-point result in the destination operand. The source operand can be an XMM
register or a 64-bit memory location. The destination operand is an XMM register. The high quadword of the desti-
nation operand remains unchanged. See Figure 11-4 in the Intel 64 and IA-32 Architectures Software Devel-
opers Manual, Volume 1, for an illustration of a scalar double-precision floating-point operation.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
128-bit Legacy SSE version: The first source operand and the destination operand are the same. Bits (VLMAX-
1:64) of the corresponding YMM destination register remain unchanged.
VEX.128 encoded version: Bits (VLMAX-1:128) of the destination YMM register are zeroed.
O p e ra t io n
S Q R T S D (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[63:0] SQRT(SRC[63:0])
DEST[VLMAX-1:64] (Unmodified)
VS Q R T S D (VE X.1 2 8 e n co d e d v e rsio n )
DEST[63:0] SQRT(SRC2[63:0])
DEST[127:64] SRC1[127:64]
DEST[VLMAX-1:128] 0
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
SQRTSD: __m128d _mm_sqrt_sd (m128d a, m128d b)
S I MD F lo a t in g -P o in t E x ce p t io n s
Invalid, Precision, Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 3.
O p co d e */
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
F2 0F 51 /r
SQRTSD xmm1, xmm2/m64
RM V/V SSE2 Computes square root of the low double-
precision floating-point value in xmm2/m64
and stores the results in xmm1.
VEX.NDS.LIG.F2.0F.WIG 51/r
VSQRTSD xmm1,xmm2, xmm3/m64
RVM V/V AVX Computes square root of the low double-
precision floating point value in xmm3/m64
and stores the results in xmm2. Also, upper
double precision floating-point value
(bits[127:64]) fromxmm2 are copied to
xmm1[127:64].
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m(r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m(r) NA
SQRTSSCompute Square Root of Scalar Single-Precision Floating-Point Value
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-373
S Q R T S S C o m p u t e S q u a re R o o t o f S ca la r S in g le -P re cisio n F lo a t in g -P o in t Va lu e
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Computes the square root of the low single-precision floating-point value in the source operand (second operand)
and stores the single-precision floating-point result in the destination operand. The source operand can be an XMM
register or a 32-bit memory location. The destination operand is an XMM register. The three high-order double-
words of the destination operand remain unchanged. See Figure 10-6 in the Intel 64 and IA-32 Architectures
Software Developers Manual, Volume 1, for an illustration of a scalar single-precision floating-point operation.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
128-bit Legacy SSE version: The first source operand and the destination operand are the same. Bits (VLMAX-
1:32) of the corresponding YMM destination register remain unchanged.
VEX.128 encoded version: Bits (VLMAX-1:128) of the destination YMM register are zeroed.
O p e ra t io n
S Q R T S S (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[31:0] SQRT(SRC2[31:0])
DEST[VLMAX-1:32] (Unmodified)
VS Q R T S S (VE X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] SQRT(SRC2[31:0])
DEST[127:32] SRC1[127:32]
DEST[VLMAX-1:128] 0
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
SQRTSS: __m128 _mm_sqrt_ss(__m128 a)
S I MD F lo a t in g -P o in t E x ce p t io n s
Invalid, Precision, Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 3.
O p co d e */
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
F3 0F 51 /r
SQRTSS xmm1, xmm2/m32
RM V/V SSE Computes square root of the low single-
precision floating-point value in xmm2/m32
and stores the results in xmm1.
VEX.NDS.LIG.F3.0F.WIG 51/r
VSQRTSS xmm1, xmm2, xmm3/m32
RVM V/V AVX Computes square root of the low single-
precision floating-point value in xmm3/m32
and stores the results in xmm1. Also, upper
single precision floating-point values
(bits[127:32]) fromxmm2 are copied to
xmm1[127:32].
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
STCSet Carry Flag
INSTRUCTION SET REFERENCE, N-Z
4-374 Vol. 2B
S T C S e t C a rry F la g
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Sets the CF flag in the EFLAGS register.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
CF 1;
F la g s Af fe ct e d
The CF flag is set. The OF, ZF, SF, AF, and PF flags are unaffected.
E x ce p t io n s (All O p e ra t in g Mo d e s)
#UD If the LOCK prefix is used.
O p co d e * I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
F9 STC NP Valid Valid Set CF flag.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
STDSet Direction Flag
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-375
S T D S e t D ire ct io n F la g
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Sets the DF flag in the EFLAGS register. When the DF flag is set to 1, string operations decrement the index regis-
ters (ESI and/or EDI).
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
DF 1;
F la g s Af fe ct e d
The DF flag is set. The CF, OF, ZF, SF, AF, and PF flags are unaffected.
E x ce p t io n s (All O p e ra t in g Mo d e s)
#UD If the LOCK prefix is used.
O p co d e * I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
FD STD NP Valid Valid Set DF flag.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
STISet Interrupt Flag
INSTRUCTION SET REFERENCE, N-Z
4-376 Vol. 2B
S T I S e t I n t e rru p t F la g
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
If protected-mode virtual interrupts are not enabled, STI sets the interrupt flag (IF) in the EFLAGS register. After
the IF flag is set, the processor begins responding to external, maskable interrupts after the next instruction is
executed. The delayed effect of this instruction is provided to allow interrupts to be enabled just before returning
from a procedure (or subroutine). For instance, if an STI instruction is followed by an RET instruction, the RET
instruction is allowed to execute before external interrupts are recognized
1
. If the STI instruction is followed by a
CLI instruction (which clears the IF flag), the effect of the STI instruction is negated.
The IF flag and the STI and CLI instructions do not prohibit the generation of exceptions and NMI interrupts. NMI
interrupts (and SMIs) may be blocked for one macroinstruction following an STI.
When protected-mode virtual interrupts are enabled, CPL is 3, and IOPL is less than 3; STI sets the VIF flag in the
EFLAGS register, leaving IF unaffected.
Table 4-15 indicates the action of the STI instruction depending on the processors mode of operation and the
CPL/IOPL settings of the running program or procedure.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p co d e * I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
FB STI NP Valid Valid Set interrupt flag; external, maskable
interrupts enabled at the end of the next
instruction.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
1. The STI instruction delays recognition of interrupts only if it is executed with EFLAGS.IF =0. In a sequence of STI instructions, only
the first instruction in the sequence is guaranteed to delay interrupts.
In the following instruction sequence, interrupts may be recognized before RET executes:
STI
STI
RET
Ta b le 4 -1 5 . D e cisio n Ta b le fo r S T I R e su lt s
P E V M I O P L C P L P V I VI P VME S T I R e su lt
0 X X X X X X I F = 1
1 0 CPL X X X X I F = 1
1 0 < CPL 3 1 0 X VI F = 1
1 0 < CPL < 3 X X X G P Fa u lt
1 0 < CPL X 0 X X G P Fa u lt
1 0 < CPL X X 1 X G P Fa u lt
1 1 3 X X X X I F = 1
1 1 < 3 X X 0 1 VI F = 1
1 1 < 3 X X 1 X G P Fa u lt
1 1 < 3 X X X 0 G P Fa u lt
N O T E S :
X =This setting has no impact.
STISet Interrupt Flag
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-377
O p e ra t io n
IF PE = 0 (* Executing in real-address mode *)
THEN
IF 1; (* Set Interrupt Flag *)
ELSE (* Executing in protected mode or virtual-8086 mode *)
IF VM = 0 (* Executing in protected mode*)
THEN
IF IOPL CPL
THEN
IF 1; (* Set Interrupt Flag *)
ELSE
IF (IOPL < CPL) and (CPL = 3) and (VIP = 0)
THEN
VIF 1; (* Set Virtual Interrupt Flag *)
ELSE
#GP(0);
FI;
FI;
ELSE (* Executing in Virtual-8086 mode *)
IF IOPL = 3
THEN
IF 1; (* Set Interrupt Flag *)
ELSE
IF ((IOPL < 3) and (VIP = 0) and (VME = 1))
THEN
VIF 1; (* Set Virtual Interrupt Flag *)
ELSE
#GP(0); (* Trap to virtual-8086 monitor *)
FI;)
FI;
FI;
FI;
F la g s Af fe ct e d
The IF flag is set to 1; or the VIF flag is set to 1.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the CPL is greater (has less privilege) than the IOPL of the current program or procedure.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
STMXCSRStore MXCSR Register State
INSTRUCTION SET REFERENCE, N-Z
4-378 Vol. 2B
S T MXC S R S t o re MXC S R R e g ist e r S t a t e
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Stores the contents of the MXCSR control and status register to the destination operand. The destination operand
is a 32-bit memory location. The reserved bits in the MXCSR register are stored as 0s.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
VEX.L must be 0, otherwise instructions will #UD.
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b, otherwise instructions will #UD.
O p e ra t io n
m32 MXCSR;
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
_mm_getcsr(void)
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 5; additionally
#UD If VEX.L= 1,
If VEX.vvvv != 1111B.
O p co d e */
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F AE /3
STMXCSR m32
M V/V SSE Store contents of MXCSR register to m32.
VEX.LZ.0F.WIG AE /3
VSTMXCSR m32
M V/V AVX Store contents of MXCSR register to m32.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
M ModRM:r/m (w) NA NA NA
STOS/STOSB/STOSW/STOSD/STOSQStore String
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-379
S T O S /S T O S B /S T O S W/S T O S D /S T O S Q S t o re S t rin g
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
In non-64-bit and default 64-bit mode; stores a byte, word, or doubleword from the AL, AX, or EAX register
(respectively) into the destination operand. The destination operand is a memory location, the address of which is
read from either the ES:EDI or ES:DI register (depending on the address-size attribute of the instruction and the
mode of operation). The ES segment cannot be overridden with a segment override prefix.
At the assembly-code level, two forms of the instruction are allowed: the explicit-operands form and the no-
operands form. The explicit-operands form (specified with the STOS mnemonic) allows the destination operand to
be specified explicitly. Here, the destination operand should be a symbol that indicates the size and location of the
destination value. The source operand is then automatically selected to match the size of the destination operand
(the AL register for byte operands, AX for word operands, EAX for doubleword operands). The explicit-operands
form is provided to allow documentation; however, note that the documentation provided by this form can be
misleading. That is, the destination operand symbol must specify the correct type (size) of the operand (byte,
word, or doubleword), but it does not have to specify the correct location. The location is always specified by the
ES:(E)DI register. These must be loaded correctly before the store string instruction is executed.
The no-operands form provides short forms of the byte, word, doubleword, and quadword versions of the STOS
instructions. Here also ES:(E)DI is assumed to be the destination operand and AL, AX, or EAX is assumed to be the
source operand. The size of the destination and source operands is selected by the mnemonic: STOSB (byte read
from register AL), STOSW (word from AX), STOSD (doubleword from EAX).
After the byte, word, or doubleword is transferred from the register to the memory location, the (E)DI register is
incremented or decremented according to the setting of the DF flag in the EFLAGS register. If the DF flag is 0, the
register is incremented; if the DF flag is 1, the register is decremented (the register is incremented or decremented
by 1 for byte operations, by 2 for word operations, by 4 for doubleword operations).
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
AA STOS m8 NA Valid Valid For legacy mode, store AL at address ES:(E)DI;
For 64-bit mode store AL at address RDI or
EDI.
AB STOS m16 NA Valid Valid For legacy mode, store AX at address ES:(E)DI;
For 64-bit mode store AX at address RDI or
EDI.
AB STOS m32 NA Valid Valid For legacy mode, store EAX at address
ES:(E)DI; For 64-bit mode store EAX at address
RDI or EDI.
REX.W +AB STOS m64 NA Valid N.E. Store RAX at address RDI or EDI.
AA STOSB NA Valid Valid For legacy mode, store AL at address ES:(E)DI;
For 64-bit mode store AL at address RDI or
EDI.
AB STOSW NA Valid Valid For legacy mode, store AX at address ES:(E)DI;
For 64-bit mode store AX at address RDI or
EDI.
AB STOSD NA Valid Valid For legacy mode, store EAX at address
ES:(E)DI; For 64-bit mode store EAX at address
RDI or EDI.
REX.W +AB STOSQ NA Valid N.E. Store RAX at address RDI or EDI.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NA NA NA NA NA
STOS/STOSB/STOSW/STOSD/STOSQStore String
INSTRUCTION SET REFERENCE, N-Z
4-380 Vol. 2B
N O T E
To improve performance, more recent processors support modifications to the processors
operation during the string store operations initiated with STOS and STOSB. See Section 7.3.9.3 in
the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1 for additional
information on fast-string operation.
In 64-bit mode, the default address size is 64 bits, 32-bit address size is supported using the prefix 67H. Using a
REX prefix in the form of REX.W promotes operation on doubleword operand to 64 bits. The promoted no-operand
mnemonic is STOSQ. STOSQ (and its explicit operands variant) store a quadword from the RAX register into the
destination addressed by RDI or EDI. See the summary chart at the beginning of this section for encoding data and
limits.
The STOS, STOSB, STOSW, STOSD, STOSQ instructions can be preceded by the REP prefix for block loads of ECX
bytes, words, or doublewords. More often, however, these instructions are used within a LOOP construct because
data needs to be moved into the AL, AX, or EAX register before it can be stored. See REP/REPE/REPZ
/REPNE/REPNZRepeat String Operation Prefix in this chapter for a description of the REP prefix.
O p e ra t io n
Non-64-bit Mode:
IF (Byte store)
THEN
DEST AL;
THEN IF DF = 0
THEN (E)DI (E)DI + 1;
ELSE (E)DI (E)DI 1;
FI;
ELSE IF (Word store)
THEN
DEST AX;
THEN IF DF = 0
THEN (E)DI (E)DI + 2;
ELSE (E)DI (E)DI 2;
FI;
FI;
ELSE IF (Doubleword store)
THEN
DEST EAX;
THEN IF DF = 0
THEN (E)DI (E)DI + 4;
ELSE (E)DI (E)DI 4;
FI;
FI;
FI;
64-bit Mode:
IF (Byte store)
THEN
DEST AL;
THEN IF DF = 0
THEN (R|E)DI (R|E)DI + 1;
ELSE (R|E)DI (R|E)DI 1;
FI;
ELSE IF (Word store)
STOS/STOSB/STOSW/STOSD/STOSQStore String
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-381
THEN
DEST AX;
THEN IF DF = 0
THEN (R|E)DI (R|E)DI + 2;
ELSE (R|E)DI (R|E)DI 2;
FI;
FI;
ELSE IF (Doubleword store)
THEN
DEST EAX;
THEN IF DF = 0
THEN (R|E)DI (R|E)DI + 4;
ELSE (R|E)DI (R|E)DI 4;
FI;
FI;
ELSE IF (Quadword store using REX.W )
THEN
DEST RAX;
THEN IF DF = 0
THEN (R|E)DI (R|E)DI + 8;
ELSE (R|E)DI (R|E)DI 8;
FI;
FI;
FI;
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the destination is located in a non-writable segment.
If a memory operand effective address is outside the limit of the ES segment.
If the ES register contains a NULL segment selector.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the ES segment limit.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the ES segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
STOS/STOSB/STOSW/STOSD/STOSQStore String
INSTRUCTION SET REFERENCE, N-Z
4-382 Vol. 2B
6 4 -B it Mo d e E x ce p t io n s
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
STRStore Task Register
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-383
S T R S t o re Ta sk R e g ist e r
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Stores the segment selector from the task register (TR) in the destination operand. The destination operand can be
a general-purpose register or a memory location. The segment selector stored with this instruction points to the
task state segment (TSS) for the currently running task.
When the destination operand is a 32-bit register, the 16-bit segment selector is copied into the lower 16 bits of the
register and the upper 16 bits of the register are cleared. When the destination operand is a memory location, the
segment selector is written to memory as a 16-bit quantity, regardless of operand size.
In 64-bit mode, operation is the same. The size of the memory operand is fixed at 16 bits. In register stores, the
2-byte TR is zero extended if stored to a 64-bit register.
The STR instruction is useful only in operating-system software. It can only be executed in protected mode.
O p e ra t io n
DEST TR(SegmentSelector);
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the destination is a memory operand that is located in a non-writable segment or if the
effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register is used to access memory and it contains a NULL segment
selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#UD The STR instruction is not recognized in real-address mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#UD The STR instruction is not recognized in virtual-8086 mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 00 /1 STR r/m16 M Valid Valid Stores segment selector from TR in r/m16.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
M ModRM:r/m (w) NA NA NA
STRStore Task Register
INSTRUCTION SET REFERENCE, N-Z
4-384 Vol. 2B
6 4 -B it Mo d e E x ce p t io n s
#GP(0) If the memory address is in a non-canonical form.
#SS(U) If the stack address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
SUBSubtract
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-385
S U B S u b t ra ct
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Subtracts the second operand (source operand) from the first operand (destination operand) and stores the result
in the destination operand. The destination operand can be a register or a memory location; the source operand
can be an immediate, register, or memory location. (However, two memory operands cannot be used in one
instruction.) When an immediate value is used as an operand, it is sign-extended to the length of the destination
operand format.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
2C ib SUB AL, imm8 I Valid Valid Subtract imm8 fromAL.
2D iw SUB AX, imm16 I Valid Valid Subtract imm16 from AX.
2D id SUB EAX, imm32 I Valid Valid Subtract imm32 from EAX.
REX.W +2D id SUB RAX, imm32 I Valid N.E. Subtract imm32 sign-extended to 64-bits
fromRAX.
80 /5 ib SUB r/m8, imm8 MI Valid Valid Subtract imm8 fromr/m8.
REX +80 /5 ib SUB r/m8*, imm8 MI Valid N.E. Subtract imm8 fromr/m8.
81 /5 iw SUB r/m16, imm16 MI Valid Valid Subtract imm16 from r/m16.
81 /5 id SUB r/m32, imm32 MI Valid Valid Subtract imm32 from r/m32.
REX.W +81 /5 id SUB r/m64, imm32 MI Valid N.E. Subtract imm32 sign-extended to 64-bits
fromr/m64.
83 /5 ib SUB r/m16, imm8 MI Valid Valid Subtract sign-extended imm8 from r/m16.
83 /5 ib SUB r/m32, imm8 MI Valid Valid Subtract sign-extended imm8 from r/m32.
REX.W +83 /5 ib SUB r/m64, imm8 MI Valid N.E. Subtract sign-extended imm8 from r/m64.
28 /r SUB r/m8, r8 MR Valid Valid Subtract r8 fromr/m8.
REX +28 /r SUB r/m8*, r8* MR Valid N.E. Subtract r8 fromr/m8.
29 /r SUB r/m16, r16 MR Valid Valid Subtract r16 from r/m16.
29 /r SUB r/m32, r32 MR Valid Valid Subtract r32 from r/m32.
REX.W +29 /r SUB r/m64, r64 MR Valid N.E. Subtract r64 from r/m64.
2A /r SUB r8, r/m8 RM Valid Valid Subtract r/m8 from r8.
REX +2A /r SUB r8*, r/m8* RM Valid N.E. Subtract r/m8 from r8.
2B /r SUB r16, r/m16 RM Valid Valid Subtract r/m16 from r16.
2B /r SUB r32, r/m32 RM Valid Valid Subtract r/m32 from r32.
REX.W +2B /r SUB r64, r/m64 RM Valid N.E. Subtract r/m64 from r64.
N O T E S :
* In 64-bit mode, r/m8 can not be encoded to access the following byte registers if a REX prefix is used: AH, BH, CH, DH.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
I AL/AX/EAX/RAX imm8/26/32 NA NA
MI ModRM:r/m (r, w) imm8/26/32 NA NA
MR ModRM:r/m (r, w) ModRM:reg (r) NA NA
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
SUBSubtract
INSTRUCTION SET REFERENCE, N-Z
4-386 Vol. 2B
The SUB instruction performs integer subtraction. It evaluates the result for both signed and unsigned integer
operands and sets the OF and CF flags to indicate an overflow in the signed or unsigned result, respectively. The SF
flag indicates the sign of the signed result.
In 64-bit mode, the instructions default operation size is 32 bits. Using a REX prefix in the form of REX.R permits
access to additional registers (R8-R15). Using a REX prefix in the form of REX.W promotes operation to 64 bits. See
the summary chart at the beginning of this section for encoding data and limits.
This instruction can be used with a LOCK prefix to allow the instruction to be executed atomically.
O p e ra t io n
DEST (DEST SRC);
F la g s Af fe ct e d
The OF, SF, ZF, AF, PF, and CF flags are set according to the result.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the destination is located in a non-writable segment.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used but the destination is not a memory operand.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used but the destination is not a memory operand.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used but the destination is not a memory operand.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used but the destination is not a memory operand.
SUBPDSubtract Packed Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-387
S U B P D S u b t ra ct P a cke d D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a SIMD subtract of the two packed double-precision floating-point values in the source operand (second
operand) from the two packed double-precision floating-point values in the destination operand (first operand),
and stores the packed double-precision floating-point results in the destination operand. The source operand can
be an XMM register or a 128-bit memory location. The destination operand is an XMM register. See Figure 11-3 in
the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1, for an illustration of a SIMD
double-precision floating-point operation.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
128-bit Legacy SSE version: T second source can be an XMM register or an 128-bit memory location. The destina-
tion is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding YMM
register destination are unmodified.
VEX.128 encoded version: the first source operand is an XMM register or 128-bit memory location. The destination
operand is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand can be a YMM
register or a 256-bit memory location. The destination operand is a YMM register.
O p e ra t io n
S U B P D (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[63:0] DEST[63:0] - SRC[63:0]
DEST[127:64] DEST[127:64] - SRC[127:64]
DEST[VLMAX-1:128] (Unmodified)
VS U B P D (VE X.1 2 8 e n co d e d v e rsio n )
DEST[63:0] SRC1[63:0] - SRC2[63:0]
DEST[127:64] SRC1[127:64] - SRC2[127:64]
DEST[VLMAX-1:128] 0
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 5C /r
SUBPD xmm1, xmm2/m128
RM V/V SSE2 Subtract packed double-precision floating-
point values in xmm2/m128 from xmm1.
VEX.NDS.128.66.0F.WIG 5C /r
VSUBPD xmm1,xmm2, xmm3/m128
RVM V/V AVX Subtract packed double-precision floating-
point values in xmm3/mem from xmm2 and
stores result in xmm1.
VEX.NDS.256.66.0F.WIG 5C /r
VSUBPD ymm1, ymm2, ymm3/m256
RVM V/V AVX Subtract packed double-precision floating-
point values in ymm3/mem from ymm2 and
stores result in ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
SUBPDSubtract Packed Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-388 Vol. 2B
VS U B P D (VE X.2 5 6 e n co d e d v e rsio n )
DEST[63:0] SRC1[63:0] - SRC2[63:0]
DEST[127:64] SRC1[127:64] - SRC2[127:64]
DEST[191:128] SRC1[191:128] - SRC2[191:128]
DEST[255:192] SRC1[255:192] - SRC2[255:192]
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
SUBPD: __m128d _mm_sub_pd (m128d a, m128d b)
VSUBPD: __m256d _mm256_sub_pd (__m256d a, __m256d b);
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 2.
SUBPSSubtract Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-389
S U B P S S u b t ra ct P a cke d S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a SIMD subtract of the four packed single-precision floating-point values in the source operand (second
operand) from the four packed single-precision floating-point values in the destination operand (first operand), and
stores the packed single-precision floating-point results in the destination operand. The source operand can be an
XMM register or a 128-bit memory location. The destination operand is an XMM register. See Figure 10-5 in the
Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1, for an illustration of a SIMD double-
precision floating-point operation.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
128-bit Legacy SSE version: The second source can be an XMM register or an 128-bit memory location. The desti-
nation is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding
YMM register destination are unmodified.
VEX.128 encoded version: the first source operand is an XMM register or 128-bit memory location. The destination
operand is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand can be a YMM
register or a 256-bit memory location. The destination operand is a YMM register.
O p e ra t io n
S U B P S (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[31:0] SRC1[31:0] - SRC2[31:0]
DEST[63:32] SRC1[63:32] - SRC2[63:32]
DEST[95:64] SRC1[95:64] - SRC2[95:64]
DEST[127:96] SRC1[127:96] - SRC2[127:96]
DEST[VLMAX-1:128] (Unmodified)
VS U B P S (VE X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] SRC1[31:0] - SRC2[31:0]
DEST[63:32] SRC1[63:32] - SRC2[63:32]
DEST[95:64] SRC1[95:64] - SRC2[95:64]
DEST[127:96] SRC1[127:96] - SRC2[127:96]
DEST[VLMAX-1:128] 0
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 5C /r
SUBPS xmm1 xmm2/m128
RM V/V SSE Subtract packed single-precision floating-point
values in xmm2/mem from xmm1.
VEX.NDS.128.0F.WIG 5C /r
VSUBPS xmm1,xmm2, xmm3/m128
RVM V/V AVX Subtract packed single-precision floating-point
values in xmm3/mem from xmm2 and stores
result in xmm1.
VEX.NDS.256.0F.WIG 5C /r
VSUBPS ymm1, ymm2, ymm3/m256
RVM V/V AVX Subtract packed single-precision floating-point
values in ymm3/mem from ymm2 and stores
result in ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
SUBPSSubtract Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-390 Vol. 2B
VS U B P S (VE X.2 5 6 e n co d e d v e rsio n )
DEST[31:0] SRC1[31:0] - SRC2[31:0]
DEST[63:32] SRC1[63:32] - SRC2[63:32]
DEST[95:64] SRC1[95:64] - SRC2[95:64]
DEST[127:96] SRC1[127:96] - SRC2[127:96]
DEST[159:128] SRC1[159:128] - SRC2[159:128]
DEST[191:160] SRC1[191:160] - SRC2[191:160]
DEST[223:192] SRC1[223:192] - SRC2[223:192]
DEST[255:224] SRC1[255:224] - SRC2[255:224].
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
SUBPS: __m128 _mm_sub_ps(__m128 a, __m128 b)
VSUBPS: __m256 _mm256_sub_ps (__m256 a, __m256 b);
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 2.
SUBSDSubtract Scalar Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-391
S U B S D S u b t ra ct S ca la r D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Subtracts the low double-precision floating-point value in the source operand (second operand) from the low
double-precision floating-point value in the destination operand (first operand), and stores the double-precision
floating-point result in the destination operand. The source operand can be an XMM register or a 64-bit memory
location. The destination operand is an XMM register. The high quadword of the destination operand remains
unchanged. See Figure 11-4 in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1, for
an illustration of a scalar double-precision floating-point operation.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
128-bit Legacy SSE version: The destination and first source operand are the same. Bits (VLMAX-1:64) of the
corresponding YMM destination register remain unchanged.
VEX.128 encoded version: Bits (127:64) of the XMM register destination are copied from corresponding bits in the
first source operand. Bits (VLMAX-1:128) of the destination YMM register are zeroed.
O p e ra t io n
S U B S D (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[63:0] DEST[63:0] - SRC[63:0]
DEST[VLMAX-1:64] (Unmodified)
VS U B S D (VE X.1 2 8 e n co d e d v e rsio n )
DEST[63:0] SRC1[63:0] - SRC2[63:0]
DEST[127:64] SRC1[127:64]
DEST[VLMAX-1:128] 0
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
SUBSD: __m128d _mm_sub_sd (m128d a, m128d b)
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 3.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
F2 0F 5C /r
SUBSD xmm1, xmm2/m64
RM V/V SSE2 Subtracts the low double-precision floating-
point values in xmm2/mem64 from xmm1.
VEX.NDS.LIG.F2.0F.WIG 5C /r
VSUBSD xmm1,xmm2, xmm3/m64
RVM V/V AVX Subtract the low double-precision floating-
point value in xmm3/mem from xmm2 and
store the result in xmm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
SUBSSSubtract Scalar Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-392 Vol. 2B
S U B S S S u b t ra ct S ca la r S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Subtracts the low single-precision floating-point value in the source operand (second operand) from the low single-
precision floating-point value in the destination operand (first operand), and stores the single-precision floating-
point result in the destination operand. The source operand can be an XMM register or a 32-bit memory location.
The destination operand is an XMM register. The three high-order doublewords of the destination operand remain
unchanged. See Figure 10-6 in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1, for
an illustration of a scalar single-precision floating-point operation.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
128-bit Legacy SSE version: The destination and first source operand are the same. Bits (VLMAX-1:32) of the
corresponding YMM destination register remain unchanged.
VEX.128 encoded version: Bits (127:32) of the XMM register destination are copied from corresponding bits in the
first source operand. Bits (VLMAX-1:128) of the destination YMM register are zeroed.
O p e ra t io n
S U B S S (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[31:0] DEST[31:0] - SRC[31:0]
DEST[VLMAX-1:32] (Unmodified)
VS U B S S (VE X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] SRC1[31:0] - SRC2[31:0]
DEST[127:32] SRC1[127:32]
DEST[VLMAX-1:128] 0
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
SUBSS: __m128 _mm_sub_ss(__m128 a, __m128 b)
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 3.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
F3 0F 5C /r
SUBSS xmm1, xmm2/m32
RM V/V SSE Subtract the lower single-precision floating-
point values in xmm2/m32 from xmm1.
VEX.NDS.LIG.F3.0F.WIG 5C /r
VSUBSS xmm1,xmm2, xmm3/m32
RVM V/V AVX Subtract the low single-precision floating-
point value in xmm3/memfrom xmm2 and
store the result in xmm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
SWAPGSSwap GS Base Register
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-393
S WAP G S S w a p G S B a se R e g ist e r
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
SWAPGS exchanges the current GS base register value with the value contained in MSR address C0000102H
(IA32_KERNEL_GS_BASE). The SWAPGS instruction is a privileged instruction intended for use by system soft-
ware.
When using SYSCALL to implement system calls, there is no kernel stack at the OS entry point. Neither is there a
straightforward method to obtain a pointer to kernel structures from which the kernel stack pointer could be read.
Thus, the kernel cannot save general purpose registers or reference memory.
By design, SWAPGS does not require any general purpose registers or memory operands. No registers need to be
saved before using the instruction. SWAPGS exchanges the CPL 0 data pointer from the IA32_KERNEL_GS_BASE
MSR with the GS base register. The kernel can then use the GS prefix on normal memory references to access
kernel data structures. Similarly, when the OS kernel is entered using an interrupt or exception (where the kernel
stack is already set up), SWAPGS can be used to quickly get a pointer to the kernel data structures.
The IA32_KERNEL_GS_BASE MSR itself is only accessible using RDMSR/WRMSR instructions. Those instructions
are only accessible at privilege level 0. The WRMSR instruction ensures that the IA32_KERNEL_GS_BASE MSR
contains a canonical address.
O p e ra t io n
IF CS.L 1 (* Not in 64-Bit Mode *)
THEN
#UD; FI;
IF CPL 0
THEN #GP(0); FI;
tmp GS.base;
GS.base IA32_KERNEL_GS_BASE;
IA32_KERNEL_GS_BASE tmp;
F la g s Af fe ct e d
None
P ro t e ct e d Mo d e E x ce p t io n s
#UD If Mode 64-Bit.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#UD If Mode 64-Bit.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#UD If Mode 64-Bit.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 01 F8 SWAPGS NP Valid Invalid Exchanges the current GS base register value
with the value contained in MSR address
C0000102H.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
SWAPGSSwap GS Base Register
INSTRUCTION SET REFERENCE, N-Z
4-394 Vol. 2B
C o m p a t ib ilit y Mo d e E x ce p t io n s
#UD If Mode 64-Bit.
6 4 -B it Mo d e E x ce p t io n s
#GP(0) If CPL 0.
If the LOCK prefix is used.
SYSCALLFast SystemCall
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-395
S YS C ALLFa st S y st e m C a ll
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
SYSCALL invokes an OS system-call handler at privilege level 0. It does so by loading RIP from the IA32_LSTAR
MSR (after saving the address of the instruction following SYSCALL into RCX). (The WRMSR instruction ensures
that the IA32_LSTAR MSR always contain a canonical address.)
SYSCALL also saves RFLAGS into R11 and then masks RFLAGS using the IA32_FMASK MSR (MSR address
C0000084H); specifically, the processor clears in RFLAGS every bit corresponding to a bit that is set in the
IA32_FMASK MSR.
SYSCALL loads the CS and SS selectors with values derived from bits 47:32 of the IA32_STAR MSR. However, the
CS and SS descriptor caches are not loaded from the descriptors (in GDT or LDT) referenced by those selectors.
Instead, the descriptor caches are loaded with fixed values. See the Operation section for details. It is the respon-
sibility of OS software to ensure that the descriptors (in GDT or LDT) referenced by those selector values corre-
spond to the fixed values loaded into the descriptor caches; the SYSCALL instruction does not ensure this
correspondence.
The SYSCALL instruction does not save the stack pointer (RSP). If the OS system-call handler will change the stack
pointer, it is the responsibility of software to save the previous value of the stack pointer. This might be done prior
to executing SYSCALL, with software restoring the stack pointer with the instruction following SYSCALL (which will
be executed after SYSRET). Alternatively, the OS system-call handler may save the stack pointer and restore it
before executing SYSRET.
O p e ra t io n
IF (CS.L 1 ) or (IA32_EFER.LMA 1) or (IA32_EFER.SCE 1)
(* Not in 64-Bit Mode or SYSCALL/SYSRET not enabled in IA32_EFER *)
THEN #UD;
FI;
RCX RIP; (* Will contain address of next instruction *)
RIP IA32_LSTAR;
R11 RFLAGS;
RFLAGS RFLAGS AND NOT(IA32_FMASK);
CS.Selector IA32_STAR[47:32] AND FFFCH(* Operating system provides CS; RPL forced to 0 *)
(* Set rest of CS to a fixed value *)
CS.Base 0; (* Flat segment *)
CS.Limit FFFFFH; (* With 4-KByte granularity, implies a 4-GByte limit *)
CS.Type 11; (* Execute/read code, accessed *)
CS.S 1;
CS.DPL 0;
CS.P 1;
CS.L 1; (* Entry is to 64-bit mode *)
CS.D 0; (* Required if CS.L =1 *)
CS.G 1; (* 4-KByte granularity *)
CPL 0;
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 05 SYSCALL NP Valid Invalid Fast call to privilege level 0 system
procedures.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
SYSCALLFast SystemCall
INSTRUCTION SET REFERENCE, N-Z
4-396 Vol. 2B
SS.Selector IA32_STAR[47:32] + 8; (* SS just above CS *)
(* Set rest of SS to a fixed value *)
SS.Base 0; (* Flat segment *)
SS.Limit FFFFFH; (* With 4-KByte granularity, implies a 4-GByte limit *)
SS.Type 3; (* Read/write data, accessed *)
SS.S 1;
SS.DPL 0;
SS.P 1;
SS.B 1; (* 32-bit stack segment *)
SS.G 1; (* 4-KByte granularity *)
F la g s Af fe ct e d
All.
P ro t e ct e d Mo d e E x ce p t io n s
#UD The SYSCALL instruction is not recognized in protected mode.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#UD The SYSCALL instruction is not recognized in real-address mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#UD The SYSCALL instruction is not recognized in virtual-8086 mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
#UD The SYSCALL instruction is not recognized in compatibility mode.
6 4 -B it Mo d e E x ce p t io n s
#UD If IA32_EFER.SCE = 0.
If the LOCK prefix is used.
SYSENTERFast SystemCall
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-397
S YS E N T E R Fa st S y st e m C a ll
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Executes a fast call to a level 0 system procedure or routine. SYSENTER is a companion instruction to SYSEXIT. The
instruction is optimized to provide the maximum performance for system calls from user code running at privilege
level 3 to operating system or executive procedures running at privilege level 0.
When executed in IA-32e mode, the SYSENTER instruction transitions the logical processor to 64-bit mode; other-
wise, the logical processor remains in protected mode.
Prior to executing the SYSENTER instruction, software must specify the privilege level 0 code segment and code
entry point, and the privilege level 0 stack segment and stack pointer by writing values to the following MSRs:
IA32_SYSENTER_CS (MSR address 174H) The lower 16 bits of this MSR are the segment selector for the
privilege level 0 code segment. This value is also used to determine the segment selector of the privilege level
0 stack segment (see the Operation section). This value cannot indicate a null selector.
IA32_SYSENTER_EIP (MSR address 175H) The value of this MSR is loaded into RIP (thus, this value
references the first instruction of the selected operating procedure or routine). In protected mode, only
bits 31:0 are loaded.
IA32_SYSENTER_ESP (MSR address 176H) The value of this MSR is loaded into RSP (thus, this value
contains the stack pointer for the privilege level 0 stack). This value cannot represent a non-canonical address.
In protected mode, only bits 31:0 are loaded.
These MSRs can be read from and written to using RDMSR/WRMSR. The WRMSR instruction ensures that the
IA32_SYSENTER_EIP and IA32_SYSENTER_ESP MSRs always contain canonical addresses.
While SYSENTER loads the CS and SS selectors with values derived from the IA32_SYSENTER_CS MSR, the CS and
SS descriptor caches are not loaded from the descriptors (in GDT or LDT) referenced by those selectors. Instead,
the descriptor caches are loaded with fixed values. See the Operation section for details. It is the responsibility of
OS software to ensure that the descriptors (in GDT or LDT) referenced by those selector values correspond to the
fixed values loaded into the descriptor caches; the SYSENTER instruction does not ensure this correspondence.
The SYSENTER instruction can be invoked from all operating modes except real-address mode.
The SYSENTER and SYSEXIT instructions are companion instructions, but they do not constitute a call/return pair.
When executing a SYSENTER instruction, the processor does not save state information for the user code (e.g., the
instruction pointer), and neither the SYSENTER nor the SYSEXIT instruction supports passing parameters on the
stack.
To use the SYSENTER and SYSEXIT instructions as companion instructions for transitions between privilege level 3
code and privilege level 0 operating system procedures, the following conventions must be followed:
The segment descriptors for the privilege level 0 code and stack segments and for the privilege level 3 code and
stack segments must be contiguous in a descriptor table. This convention allows the processor to compute the
segment selectors from the value entered in the SYSENTER_CS_MSR MSR.
The fast system call stub routines executed by user code (typically in shared libraries or DLLs) must save the
required return IP and processor state information if a return to the calling procedure is required. Likewise, the
operating system or executive procedures called with SYSENTER instructions must have access to and use this
saved return and state information when returning to the user code.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 34 SYSENTER NP Valid Valid Fast call to privilege level 0 system
procedures.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
SYSENTERFast SystemCall
INSTRUCTION SET REFERENCE, N-Z
4-398 Vol. 2B
The SYSENTER and SYSEXIT instructions were introduced into the IA-32 architecture in the Pentium II processor.
The availability of these instructions on a processor is indicated with the SYSENTER/SYSEXIT present (SEP) feature
flag returned to the EDX register by the CPUID instruction. An operating system that qualifies the SEP flag must
also qualify the processor family and model to ensure that the SYSENTER/SYSEXIT instructions are actually
present. For example:
IF CPUID SEP bit is set
THEN IF (Family = 6) and (Model < 3) and (Stepping < 3)
THEN
SYSENTER/SYSEXIT_Not_Supported; FI;
ELSE
SYSENTER/SYSEXIT_Supported; FI;
FI;
When the CPUID instruction is executed on the Pentium Pro processor (model 1), the processor returns a the SEP
flag as set, but does not support the SYSENTER/SYSEXIT instructions.
O p e ra t io n
IF CR0.PE = 0 OR IA32_SYSENTER_CS[15:2] = 0 THEN #GP(0); FI;
RFLAGS.VM 0; (* Ensures protected mode execution *)
RFLAGS.IF 0; (* Mask interrupts *)
IF in IA-32e mode
THEN
RSP IA32_SYSENTER_ESP;
RIP IA32_SYSENTER_EIP;
ELSE
ESP IA32_SYSENTER_ESP[31:0];
EIP IA32_SYSENTER_EIP[31:0];
FI;
CS.Selector IA32_SYSENTER_CS[15:0] AND FFFCH;
(* Operating system provides CS; RPL forced to 0 *)
(* Set rest of CS to a fixed value *)
CS.Base 0; (* Flat segment *)
CS.Limit FFFFFH; (* With 4-KByte granularity, implies a 4-GByte limit *)
CS.Type 11; (* Execute/read code, accessed *)
CS.S 1;
CS.DPL 0;
CS.P 1;
IF in IA-32e mode
THEN
CS.L 1; (* Entry is to 64-bit mode *)
CS.D 0; (* Required if CS.L =1 *)
ELSE
CS.L 0;
CS.D 1; (* 32-bit code segment*)
FI;
CS.G 1; (* 4-KByte granularity *)
CPL 0;
SS.Selector CS.Selector + 8; (* SS just above CS *)
(* Set rest of SS to a fixed value *)
SS.Base 0; (* Flat segment *)
SS.Limit FFFFFH; (* With 4-KByte granularity, implies a 4-GByte limit *)
SS.Type 3; (* Read/write data, accessed *)
SYSENTERFast SystemCall
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-399
SS.S 1;
SS.DPL 0;
SS.P 1;
SS.B 1; (* 32-bit stack segment*)
SS.G 1; (* 4-KByte granularity *)
F la g s Af fe ct e d
VM, IF (see Operation above)
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If IA32_SYSENTER_CS[15:2] = 0.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP The SYSENTER instruction is not recognized in real-address mode.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
SYSEXITFast Return fromFast SystemCall
INSTRUCTION SET REFERENCE, N-Z
4-400 Vol. 2B
S YS E XI T Fa st R e t u rn fro m Fa st S y st e m C a ll
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Executes a fast return to privilege level 3 user code. SYSEXIT is a companion instruction to the SYSENTER instruc-
tion. The instruction is optimized to provide the maximum performance for returns from system procedures
executing at protections levels 0 to user procedures executing at protection level 3. It must be executed from code
executing at privilege level 0.
With a 64-bit operand size, SYSEXIT remains in 64-bit mode; otherwise, it either enters compatibility mode (if the
logical processor is in IA-32e mode) or remains in protected mode (if it is not).
Prior to executing SYSEXIT, software must specify the privilege level 3 code segment and code entry point, and the
privilege level 3 stack segment and stack pointer by writing values into the following MSR and general-purpose
registers:
IA32_SYSENTER_CS (MSR address 174H) Contains a 32-bit value that is used to determine the segment
selectors for the privilege level 3 code and stack segments (see the Operation section)
RDX The canonical address in this register is loaded into RIP (thus, this value references the first instruction
to be executed in the user code). If the return is not to 64-bit mode, only bits 31:0 are loaded.
ECX The canonical address in this register is loaded into RSP (thus, this value contains the stack pointer for
the privilege level 3 stack). If the return is not to 64-bit mode, only bits 31:0 are loaded.
The IA32_SYSENTER_CS MSR can be read from and written to using RDMSR and WRMSR.
While SYSEXIT loads the CS and SS selectors with values derived from the IA32_SYSENTER_CS MSR, the CS and
SS descriptor caches are not loaded from the descriptors (in GDT or LDT) referenced by those selectors. Instead,
the descriptor caches are loaded with fixed values. See the Operation section for details. It is the responsibility of
OS software to ensure that the descriptors (in GDT or LDT) referenced by those selector values correspond to the
fixed values loaded into the descriptor caches; the SYSEXIT instruction does not ensure this correspondence.
The SYSEXIT instruction can be invoked from all operating modes except real-address mode and virtual-8086
mode.
The SYSENTER and SYSEXIT instructions were introduced into the IA-32 architecture in the Pentium II processor.
The availability of these instructions on a processor is indicated with the SYSENTER/SYSEXIT present (SEP) feature
flag returned to the EDX register by the CPUID instruction. An operating system that qualifies the SEP flag must
also qualify the processor family and model to ensure that the SYSENTER/SYSEXIT instructions are actually
present. For example:
IF CPUID SEP bit is set
THEN IF (Family = 6) and (Model < 3) and (Stepping < 3)
THEN
SYSENTER/SYSEXIT_Not_Supported; FI;
ELSE
SYSENTER/SYSEXIT_Supported; FI;
FI;
When the CPUID instruction is executed on the Pentium Pro processor (model 1), the processor returns a the SEP
flag as set, but does not support the SYSENTER/SYSEXIT instructions.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 35 SYSEXIT NP Valid Valid Fast return to privilege level 3 user code.
REX.W +0F 35 SYSEXIT NP Valid Valid Fast return to 64-bit mode privilege level 3
user code.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
SYSEXITFast Return fromFast SystemCall
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-401
O p e ra t io n
IF IA32_SYSENTER_CS[15:2] = 0 OR CR0.PE = 0 OR CPL 0 THEN #GP(0); FI;
IF operand size is 64-bit
THEN (* Return to 64-bit mode *)
RSP RCX;
RIP RDX;
ELSE (* Return to protected mode or compatibility mode *)
RSP ECX;
RIP EDX;
FI;
IF operand size is 64-bit (* Operating system provides CS; RPL forced to 3 *)
THEN CS.Selector IA32_SYSENTER_CS[15:0] +32;
ELSE CS.Selector IA32_SYSENTER_CS[15:0] +16;
FI;
CS.Selector CS.Selector OR 3; (* RPL forced to 3 *)
(* Set rest of CS to a fixed value *)
CS.Base 0; (* Flat segment *)
CS.Limit FFFFFH; (* With 4-KByte granularity, implies a 4-GByte limit *)
CS.Type 11; (* Execute/read code, accessed *)
CS.S 1;
CS.DPL 3;
CS.P 1;
IF operand size is 64-bit
THEN (* return to 64-bit mode *)
CS.L 1; (* 64-bit code segment *)
CS.D 0; (* Required if CS.L =1 *)
ELSE (* return to protected mode or compatibility mode *)
CS.L 0;
CS.D 1; (* 32-bit code segment*)
FI;
CS.G 1; (* 4-KByte granularity *)
CPL 3;
SS.Selector CS.Selector +8; (* SS just above CS *)
(* Set rest of SS to a fixed value *)
SS.Base 0; (* Flat segment *)
SS.Limit FFFFFH; (* With 4-KByte granularity, implies a 4-GByte limit *)
SS.Type 3; (* Read/write data, accessed *)
SS.S 1;
SS.DPL 3;
SS.P 1;
SS.B 1; (* 32-bit stack segment*)
SS.G 1; (* 4-KByte granularity *)
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If IA32_SYSENTER_CS[15:2] = 0.
If CPL 0.
#UD If the LOCK prefix is used.
SYSEXITFast Return fromFast SystemCall
INSTRUCTION SET REFERENCE, N-Z
4-402 Vol. 2B
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP The SYSEXIT instruction is not recognized in real-address mode.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) The SYSEXIT instruction is not recognized in virtual-8086 mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#GP(0) If IA32_SYSENTER_CS = 0.
If CPL 0.
If RCX or RDX contains a non-canonical address.
#UD If the LOCK prefix is used.
SYSRETReturn FromFast SystemCall
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-403
S YS R E T R e t u rn F ro m Fa st S y st e m C a ll
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
SYSRET is a companion instruction to the SYSCALL instruction. It returns from an OS system-call handler to user
code at privilege level 3. It does so by loading RIP from RCX and loading RFLAGS from R11.
1
With a 64-bit operand
size, SYSRET remains in 64-bit mode; otherwise, it enters compatibility mode and only the low 32 bits of the regis-
ters are loaded.
SYSRET loads the CS and SS selectors with values derived from bits 63:48 of the IA32_STAR MSR. However, the
CS and SS descriptor caches are not loaded from the descriptors (in GDT or LDT) referenced by those selectors.
Instead, the descriptor caches are loaded with fixed values. See the Operation section for details. It is the respon-
sibility of OS software to ensure that the descriptors (in GDT or LDT) referenced by those selector values corre-
spond to the fixed values loaded into the descriptor caches; the SYSRET instruction does not ensure this
correspondence.
The SYSRET instruction does not modify the stack pointer (ESP or RSP). For that reason, it is necessary for soft-
ware to switch to the user stack. The OS may load the user stack pointer (if it was saved after SYSCALL) before
executing SYSRET; alternatively, user code may load the stack pointer (if it was saved before SYSCALL) after
receiving control from SYSRET.
If the OS loads the stack pointer before executing SYSRET, it must ensure that the handler of any interrupt or
exception delivered between restoring the stack pointer and successful execution of SYSRET is not invoked with the
user stack. It can do so using approaches such as the following:
External interrupts. The OS can prevent an external interrupt from being delivered by clearing EFLAGS.IF
before loading the user stack pointer.
Nonmaskable interrupts (NMIs). The OS can ensure that the NMI handler is invoked with the correct stack by
using the interrupt stack table (IST) mechanism for gate 2 (NMI) in the IDT (see Section 6.14.5, Interrupt
Stack Table, in Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3A).
General-protection exceptions (#GP). The SYSRET instruction generates #GP(0) if the value of RCX is not
canonical. The OS can address this possibility using one or more of the following approaches:
Confirming that the value of RCX is canonical before executing SYSRET.
Using paging to ensure that the SYSCALL instruction will never save a non-canonical value into RCX.
Using the IST mechanism for gate 13 (#GP) in the IDT.
O p e ra t io n
IF (CS.L 1 ) or (IA32_EFER.LMA 1) or (IA32_EFER.SCE 1)
(* Not in 64-Bit Mode or SYSCALL/SYSRET not enabled in IA32_EFER *)
THEN #UD; FI;
IF (CPL 0) OR (RCX is not canonical) THEN #GP(0); FI;
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 07 SYSRET NP Valid Invalid Return to compatibility mode fromfast
system call
REX.W +0F 07 SYSRET NP Valid Invalid Return to 64-bit mode fromfast system call
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
1. Regardless of the value of R11, the RF and VM flags are always 0 in RFLAGS after execution of SYSRET. In addition, all reserved bits
in RFLAGS retain the fixed values.
SYSRETReturn FromFast SystemCall
INSTRUCTION SET REFERENCE, N-Z
4-404 Vol. 2B
IF (operand size is 64-bit)
THEN (* Return to 64-Bit Mode *)
RIP RCX;
ELSE (* Return to Compatibility Mode *)
RIP ECX;
FI;
RFLAGS (R11 & 3C7FD7H) | 2; (* Clear RF, VM, reserved bits; set bit 2 *)
IF (operand size is 64-bit)
THEN CS.Selector IA32_STAR[63:48]+16;
ELSE CS.Selector IA32_STAR[63:48];
FI;
CS.Selector CS.Selector OR 3; (* RPL forced to 3 *)
(* Set rest of CS to a fixed value *)
CS.Base 0; (* Flat segment *)
CS.Limit FFFFFH; (* With 4-KByte granularity, implies a 4-GByte limit *)
CS.Type 11; (* Execute/read code, accessed *)
CS.S 1;
CS.DPL 3;
CS.P 1;
IF (operand size is 64-bit)
THEN (* Return to 64-Bit Mode *)
CS.L 1; (* 64-bit code segment *)
CS.D 0; (* Required if CS.L =1 *)
ELSE (* Return to Compatibility Mode *)
CS.L 0; (* Compatibility mode *)
CS.D 1; (* 32-bit code segment *)
FI;
CS.G 1; (* 4-KByte granularity *)
CPL 0;
SS.Selector (IA32_STAR[63:48]+8) OR 3; (* RPL forced to 3 *)
(* Set rest of SS to a fixed value *)
SS.Base 0; (* Flat segment *)
SS.Limit FFFFFH; (* With 4-KByte granularity, implies a 4-GByte limit *)
SS.Type 3; (* Read/write data, accessed *)
SS.S 1;
SS.DPL 3;
SS.P 1;
SS.B 1; (* 32-bit stack segment*)
SS.G 1; (* 4-KByte granularity *)
F la g s Af fe ct e d
All.
P ro t e ct e d Mo d e E x ce p t io n s
#UD The SYSRET instruction is not recognized in protected mode.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#UD The SYSRET instruction is not recognized in real-address mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#UD The SYSRET instruction is not recognized in virtual-8086 mode.
SYSRETReturn FromFast SystemCall
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-405
C o m p a t ib ilit y Mo d e E x ce p t io n s
#UD The SYSRET instruction is not recognized in compatibility mode.
6 4 -B it Mo d e E x ce p t io n s
#UD If IA32_EFER.SCE = 0.
If the LOCK prefix is used.
#GP(0) If CPL 0.
If RCX contains a non-canonical address.
TESTLogical Compare
INSTRUCTION SET REFERENCE, N-Z
4-406 Vol. 2B
T E S T Lo g ica l C o m p a re
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Computes the bit-wise logical AND of first operand (source 1 operand) and the second operand (source 2 operand)
and sets the SF, ZF, and PF status flags according to the result. The result is then discarded.
In 64-bit mode, using a REX prefix in the form of REX.R permits access to additional registers (R8-R15). Using a
REX prefix in the form of REX.W promotes operation to 64 bits. See the summary chart at the beginning of this
section for encoding data and limits.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
A8 ib TEST AL, imm8 I Valid Valid AND imm8 with AL; set SF, ZF, PF according to
result.
A9 iw TEST AX, imm16 I Valid Valid AND imm16 with AX; set SF, ZF, PF according
to result.
A9 id TEST EAX, imm32 I Valid Valid AND imm32 with EAX; set SF, ZF, PF according
to result.
REX.W +A9 id TEST RAX, imm32 I Valid N.E. AND imm32 sign-extended to 64-bits with
RAX; set SF, ZF, PF according to result.
F6 /0 ib TEST r/m8, imm8 MI Valid Valid AND imm8 with r/m8; set SF, ZF, PF according
to result.
REX +F6 /0 ib TEST r/m8*, imm8 MI Valid N.E. AND imm8 with r/m8; set SF, ZF, PF according
to result.
F7 /0 iw TEST r/m16, imm16 MI Valid Valid AND imm16 with r/m16; set SF, ZF, PF
according to result.
F7 /0 id TEST r/m32, imm32 MI Valid Valid AND imm32 with r/m32; set SF, ZF, PF
according to result.
REX.W +F7 /0 id TEST r/m64, imm32 MI Valid N.E. AND imm32 sign-extended to 64-bits with
r/m64; set SF, ZF, PF according to result.
84 /r TEST r/m8, r8 MR Valid Valid AND r8 with r/m8; set SF, ZF, PF according to
result.
REX +84 /r TEST r/m8*, r8* MR Valid N.E. AND r8 with r/m8; set SF, ZF, PF according to
result.
85 /r TEST r/m16, r16 MR Valid Valid AND r16 with r/m16; set SF, ZF, PF according
to result.
85 /r TEST r/m32, r32 MR Valid Valid AND r32 with r/m32; set SF, ZF, PF according
to result.
REX.W +85 /r TEST r/m64, r64 MR Valid N.E. AND r64 with r/m64; set SF, ZF, PF according
to result.
N O T E S :
* In 64-bit mode, r/m8 can not be encoded to access the following byte registers if a REX prefix is used: AH, BH, CH, DH.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
I AL/AX/EAX/RAX imm8/16/32 NA NA
MI ModRM:r/m (r) imm8/16/32 NA NA
MR ModRM:r/m (r) ModRM:reg (r) NA NA
TESTLogical Compare
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-407
O p e ra t io n
TEMP SRC1 AND SRC2;
SF MSB(TEMP);
IF TEMP = 0
THEN ZF 1;
ELSE ZF 0;
FI:
PF BitwiseXNOR(TEMP[0:7]);
CF 0;
OF 0;
(* AF is undefined *)
F la g s Af fe ct e d
The OF and CF flags are set to 0. The SF, ZF, and PF flags are set according to the result (see the Operation
section above). The state of the AF flag is undefined.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
TZCNT Count the Number of Trailing Zero Bits
INSTRUCTION SET REFERENCE, N-Z
4-408 Vol. 2B
T Z C N T C o u n t t h e N u m b e r o f T ra ilin g Z e ro B it s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
TZCNT counts the number of trailing least significant zero bits in source operand (second operand) and returns the
result in destination operand (first operand). TZCNT is an extension of the BSF instruction. The key difference
between TZCNT and BSF instruction is that TZCNT provides operand size as output when source operand is zero
while in the case of BSF instruction, if source operand is zero, the content of destination operand are undefined. On
processors that do not support TZCNT, the instruction byte encoding is executed as BSF.
O p e ra t io n
temp 0
DEST 0
DO WHILE ( (temp <OperandSize) and (SRC[ temp] =0) )
temp temp +1
DEST DEST+1
OD
IF DEST =OperandSize
CF 1
ELSE
CF 0
FI
IF DEST =0
ZF 1
ELSE
ZF 0
FI
F la g s Af fe ct e d
ZF is set to 1 in case of zero output (least significant bit of the source is set), and to 0 otherwise, CF is set to 1 if
the input was zero and cleared otherwise. OF, SF, PF and AF flags are undefined.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
F3 0F BC /r RM V/V BMI1 Count the number of trailing zero bits in r/m16, return result in r16.
TZCNT r16, r/m16
F3 0F BC /r RM V/V BMI1 Count the number of trailing zero bits in r/m32, return result in r32.
TZCNT r32, r/m32
REX.W +F3 0F BC /r RM V/N.E. BMI1 Count the number of trailing zero bits in r/m64, return result in r64.
TZCNT r64, r/m64
Op/En Operand 1 Operand 2 Operand 3 Operand 4
A ModRM:reg (w) ModRM:r/m (r) NA NA
TZCNT Count the Number of Trailing Zero Bits
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-409
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
TZCNT: unsigned __int32 _tzcnt_u32(unsigned __int32 src);
TZCNT: unsigned __int64 _tzcnt_u64(unsigned __int64 src);
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) For an illegal memory operand effective address in the CS, DS, ES, FS or GS segments.
If the DS, ES, FS, or GS register is used to access memory and it contains a null segment
selector.
#SS(0) For an illegal address in the SS segment.
#PF (fault-code) For a page fault.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP(0) If any part of the operand lies outside of the effective address space from 0 to 0FFFFH.
#SS(0) For an illegal address in the SS segment.
V irt u a l 8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If any part of the operand lies outside of the effective address space from 0 to 0FFFFH.
#SS(0) For an illegal address in the SS segment.
#PF (fault-code) For a page fault.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in Protected Mode.
6 4 -B it Mo d e E x ce p t io n s
#GP(0) If the memory address is in a non-canonical form.
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#PF (fault-code) For a page fault.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
UCOMISDUnordered Compare Scalar Double-Precision Floating-Point Values and Set EFLAGS
INSTRUCTION SET REFERENCE, N-Z
4-410 Vol. 2B
U C O MI S D U n o rd e re d C o m p a re S ca la r D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s a n d S e t E F LAG S
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs an unordered compare of the double-precision floating-point values in the low quadwords of source
operand 1 (first operand) and source operand 2 (second operand), and sets the ZF, PF, and CF flags in the EFLAGS
register according to the result (unordered, greater than, less than, or equal). The OF, SF and AF flags in the
EFLAGS register are set to 0. The unordered result is returned if either source operand is a NaN (QNaN or SNaN).
The sign of zero is ignored for comparisons, so that 0.0 is equal to +0.0.
Source operand 1 is an XMM register; source operand 2 can be an XMM register or a 64 bit memory location.
The UCOMISD instruction differs from the COMISD instruction in that it signals a SIMD floating-point invalid oper-
ation exception (#I) only when a source operand is an SNaN. The COMISD instruction signals an invalid operation
exception if a source operand is either a QNaN or an SNaN.
The EFLAGS register is not updated if an unmasked SIMD floating-point exception is generated.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b, otherwise instructions will #UD.
O p e ra t io n
RESULT UnorderedCompare(SRC1[63:0] < > SRC2[63:0]) {
(* Set EFLAGS *)
CASE (RESULT) OF
UNORDERED: ZF, PF, CF 111;
GREATER_THAN: ZF, PF, CF 000;
LESS_THAN: ZF, PF, CF 001;
EQUAL: ZF, PF, CF 100;
ESAC;
OF, AF, SF 0;
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
int _mm_ucomieq_sd(__m128d a, __m128d b)
int _mm_ucomilt_sd(__m128d a, __m128d b)
int _mm_ucomile_sd(__m128d a, __m128d b)
int _mm_ucomigt_sd(__m128d a, __m128d b)
int _mm_ucomige_sd(__m128d a, __m128d b)
int _mm_ucomineq_sd(__m128d a, __m128d b)
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 2E /r
UCOMISD xmm1, xmm2/m64
RM V/V SSE2 Compares (unordered) the low double-
precision floating-point values in xmm1 and
xmm2/m64 and set the EFLAGS accordingly.
VEX.LIG.66.0F.WIG 2E /r
VUCOMISD xmm1, xmm2/m64
RM V/V AVX Compare low double precision floating-point
values in xmm1 and xmm2/mem64 and set
the EFLAGS flags accordingly.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r) ModRM:r/m (r) NA NA
UCOMISDUnordered Compare Scalar Double-Precision Floating-Point Values and Set EFLAGS
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-411
S I MD F lo a t in g -P o in t E x ce p t io n s
Invalid (if SNaN operands), Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 3; additionally
#UD If VEX.vvvv != 1111B.
UCOMISSUnordered Compare Scalar Single-Precision Floating-Point Values and Set EFLAGS
INSTRUCTION SET REFERENCE, N-Z
4-412 Vol. 2B
U C O MI S S U n o rd e re d C o m p a re S ca la r S in g le -P re cisio n F lo a t in g -P o in t Va lu e s a n d S e t E F LAG S
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs an unordered compare of the single-precision floating-point values in the low doublewords of the source
operand 1 (first operand) and the source operand 2 (second operand), and sets the ZF, PF, and CF flags in the
EFLAGS register according to the result (unordered, greater than, less than, or equal). The OF, SF and AF flags in
the EFLAGS register are set to 0. The unordered result is returned if either source operand is a NaN (QNaN or
SNaN). The sign of zero is ignored for comparisons, so that 0.0 is equal to +0.0.
Source operand 1 is an XMM register; source operand 2 can be an XMM register or a 32 bit memory location.
The UCOMISS instruction differs from the COMISS instruction in that it signals a SIMD floating-point invalid opera-
tion exception (#I) only when a source operand is an SNaN. The COMISS instruction signals an invalid operation
exception if a source operand is either a QNaN or an SNaN.
The EFLAGS register is not updated if an unmasked SIMD floating-point exception is generated.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b, otherwise instructions will #UD.
O p e ra t io n
RESULT UnorderedCompare(SRC1[31:0] <> SRC2[31:0]) {
(* Set EFLAGS *)
CASE (RESULT) OF
UNORDERED: ZF,PF,CF 111;
GREATER_THAN: ZF,PF,CF 000;
LESS_THAN: ZF,PF,CF 001;
EQUAL: ZF,PF,CF 100;
ESAC;
OF,AF,SF 0;
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
int _mm_ucomieq_ss(__m128 a, __m128 b)
int _mm_ucomilt_ss(__m128 a, __m128 b)
int _mm_ucomile_ss(__m128 a, __m128 b)
int _mm_ucomigt_ss(__m128 a, __m128 b)
int _mm_ucomige_ss(__m128 a, __m128 b)
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 2E /r
UCOMISS xmm1, xmm2/m32
RM V/V SSE Compare lower single-precision floating-point
value in xmm1 register with lower single-
precision floating-point value in xmm2/mem
and set the status flags accordingly.
VEX.LIG.0F.WIG 2E /r
VUCOMISS xmm1, xmm2/m32
RM V/V AVX Compare low single precision floating-point
values in xmm1 and xmm2/mem32 and set
the EFLAGS flags accordingly.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r) ModRM:r/m (r) NA NA
UCOMISSUnordered Compare Scalar Single-Precision Floating-Point Values and Set EFLAGS
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-413
int _mm_ucomineq_ss(__m128 a, __m128 b)
S I MD F lo a t in g -P o in t E x ce p t io n s
Invalid (if SNaN operands), Denormal.
O t h e r E x ce p t io n s
See Exceptions Type 3; additionally
#UD If VEX.vvvv != 1111B.
UD2Undefined Instruction
INSTRUCTION SET REFERENCE, N-Z
4-414 Vol. 2B
U D 2 U n d e fin e d I n st ru ct io n
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Generates an invalid opcode exception. This instruction is provided for software testing to explicitly generate an
invalid opcode exception. The opcode for this instruction is reserved for this purpose.
Other than raising the invalid opcode exception, this instruction has no effect on processor state or memory.
Even though it is the execution of the UD2 instruction that causes the invalid opcode exception, the instruction
pointer saved by delivery of the exception references the UD2 instruction (and not the following instruction).
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
#UD (* Generates invalid opcode exception *);
F la g s Af fe ct e d
None.
E x ce p t io n s (All O p e ra t in g Mo d e s)
#UD Raises an invalid opcode exception in all operating modes.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 0B UD2 NP Valid Valid Raise invalid opcode exception.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
UNPCKHPDUnpack and Interleave High Packed Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-415
U N P C K H P D U n p a ck a n d I n t e rle a v e H ig h P a cke d D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs an interleaved unpack of the high double-precision floating-point values from the source operand (second
operand) and the destination operand (first operand). See Figure 4-23.
When unpacking from a memory operand, an implementation may fetch only the appropriate 64 bits; however,
alignment to 16-byte boundary and normal segment checking will still be enforced.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
128-bit Legacy SSE version: The second source can be an XMM register or an 128-bit memory location. The desti-
nation is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding
YMM register destination are unmodified.
VEX.128 encoded version: the first source operand is an XMM register or 128-bit memory location. The destination
operand is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 15 /r
UNPCKHPD xmm1, xmm2/m128
RM V/V SSE2 Unpacks and Interleaves double-precision
floating-point values fromhigh quadwords of
xmm1 and xmm2/m128.
VEX.NDS.128.66.0F.WIG 15 /r
VUNPCKHPD xmm1,xmm2, xmm3/m128
RVM V/V AVX Unpacks and Interleaves double precision
floating-point values fromhigh quadwords of
xmm2 and xmm3/m128.
VEX.NDS.256.66.0F.WIG 15 /r
VUNPCKHPD ymm1,ymm2, ymm3/m256
RVM V/V AVX Unpacks and Interleaves double precision
floating-point values fromhigh quadwords of
ymm2 and ymm3/m256.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
F ig u re 4 -2 3 . U N P C K H P D I n st ru ct io n H ig h U n p a ck a n d I n t e rle a v e O p e ra t io n
X1 X0
Y1 Y0
Y1 X1
DEST
SRC
DEST
UNPCKHPDUnpack and Interleave High Packed Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-416 Vol. 2B
O p e ra t io n
U N P C K H P D (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[63:0] SRC1[127:64]
DEST[127:64] SRC2[127:64]
DEST[VLMAX-1:128] (Unmodified)
VU N P C K H P D (VE X.1 2 8 e n co d e d v e rsio n )
DEST[63:0] SRC1[127:64]
DEST[127:64] SRC2[127:64]
DEST[VLMAX-1:128] 0
VU N P C K H P D (VE X.2 5 6 e n co d e d v e rsio n )
DEST[63:0] SRC1[127:64]
DEST[127:64] SRC2[127:64]
DEST[191:128]SRC1[255:192]
DEST[255:192]SRC2[255:192]
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
UNPCKHPD: __m128d _mm_unpackhi_pd(__m128d a, __m128d b)
UNPCKHPD: __m256d _mm256_unpackhi_pd(__m256d a, __m256d b)
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4.
UNPCKHPSUnpack and Interleave High Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-417
U N P C K H P S U n p a ck a n d I n t e rle a v e H ig h P a cke d S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs an interleaved unpack of the high-order single-precision floating-point values from the source operand
(second operand) and the destination operand (first operand). See Figure 4-24. The source operand can be an
XMM register or a 128-bit memory location; the destination operand is an XMM register.
When unpacking from a memory operand, an implementation may fetch only the appropriate 64 bits; however,
alignment to 16-byte boundary and normal segment checking will still be enforced.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
128-bit Legacy SSE version: T second source can be an XMM register or an 128-bit memory location. The destina-
tion is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding YMM
register destination are unmodified.
VEX.128 encoded version: the first source operand is an XMM register or 128-bit memory location. The destination
operand is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 15 /r
UNPCKHPS xmm1, xmm2/m128
RM V/V SSE Unpacks and Interleaves single-precision
floating-point values fromhigh quadwords of
xmm1 and xmm2/mem into xmm1.
VEX.NDS.128.0F.WIG 15 /r
VUNPCKHPS xmm1,xmm2, xmm3/m128
RVM V/V AVX Unpacks and Interleaves single-precision
floating-point values fromhigh quadwords of
xmm2 and xmm3/m128.
VEX.NDS.256.0F.WIG 15 /r
VUNPCKHPS ymm1,ymm2,ymm3/m256
RVM V/V AVX Unpacks and Interleaves single-precision
floating-point values fromhigh quadwords of
ymm2 and ymm3/m256.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m(r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
F ig u re 4 -2 4 . U N P C K H P S I n st ru ct io n H ig h U n p a ck a n d I n t e rle a v e O p e ra t io n
X3 X2 X1 X0
Y3 Y2 Y1 Y0
Y3 X3 Y2 X2
DEST
SRC
DEST
UNPCKHPSUnpack and Interleave High Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-418 Vol. 2B
O p e ra t io n
U N P C K H P S (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[31:0] SRC1[95:64]
DEST[63:32] SRC2[95:64]
DEST[95:64] SRC1[127:96]
DEST[127:96] SRC2[127:96]
DEST[VLMAX-1:128] (Unmodified)
VU N P C K H P S (V E X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] SRC1[95:64]
DEST[63:32] SRC2[95:64]
DEST[95:64] SRC1[127:96]
DEST[127:96] SRC2[127:96]
DEST[VLMAX-1:128] 0
VU N P C K H P S (V E X.2 5 6 e n co d e d v e rsio n )
DEST[31:0] SRC1[95:64]
DEST[63:32] SRC2[95:64]
DEST[95:64] SRC1[127:96]
DEST[127:96] SRC2[127:96]
DEST[159:128] SRC1[223:192]
DEST[191:160] SRC2[223:192]
DEST[223:192] SRC1[255:224]
DEST[255:224] SRC2[255:224]
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
UNPCKHPS: __m128 _mm_unpackhi_ps(__m128 a, __m128 b)
UNPCKHPS: __m256 _mm256_unpackhi_ps (__m256 a, __m256 b);
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4.
UNPCKLPDUnpack and Interleave Low Packed Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-419
U N P C K LP D U n p a ck a n d I n t e rle a v e Lo w P a cke d D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs an interleaved unpack of the low double-precision floating-point values from the source operand (second
operand) and the destination operand (first operand). See Figure 4-25. The source operand can be an XMM
register or a 128-bit memory location; the destination operand is an XMM register.
When unpacking from a memory operand, an implementation may fetch only the appropriate 64 bits; however,
alignment to 16-byte boundary and normal segment checking will still be enforced.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
128-bit Legacy SSE version: T second source can be an XMM register or an 128-bit memory location. The destina-
tion is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding YMM
register destination are unmodified.
VEX.128 encoded version: the first source operand is an XMM register or 128-bit memory location. The destination
operand is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 14 /r
UNPCKLPD xmm1, xmm2/m128
RM V/V SSE2 Unpacks and Interleaves double-precision
floating-point values fromlow quadwords of
xmm1 and xmm2/m128.
VEX.NDS.128.66.0F.WIG 14 /r
VUNPCKLPD xmm1,xmm2, xmm3/m128
RVM V/V AVX Unpacks and Interleaves double precision
floating-point values low high quadwords of
xmm2 and xmm3/m128.
VEX.NDS.256.66.0F.WIG 14 /r
VUNPCKLPD ymm1,ymm2, ymm3/m256
RVM V/V AVX Unpacks and Interleaves double precision
floating-point values low high quadwords of
ymm2 and ymm3/m256.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
F ig u re 4 -2 5 . U N P C K LP D I n st ru ct io n Lo w U n p a ck a n d I n t e rle a v e O p e ra t io n
X1 X0
Y1 Y0
Y0 X0
DEST
SRC
DEST
UNPCKLPDUnpack and Interleave Low Packed Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-420 Vol. 2B
O p e ra t io n
U N P C K LP D (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[63:0] SRC1[63:0]
DEST[127:64] SRC2[63:0]
DEST[VLMAX-1:128] (Unmodified)
VU N P C K LP D (VE X.1 2 8 e n co d e d v e rsio n )
DEST[63:0] SRC1[63:0]
DEST[127:64] SRC2[63:0]
DEST[VLMAX-1:128] 0
VU N P C K LP D (VE X.2 5 6 e n co d e d v e rsio n )
DEST[63:0] SRC1[63:0]
DEST[127:64] SRC2[63:0]
DEST[191:128] SRC1[191:128]
DEST[255:192] SRC2[191:128]
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
UNPCKHPD: __m128d _mm_unpacklo_pd(__m128d a, __m128d b)
UNPCKLPD: __m256d _mm256_unpacklo_pd(__m256d a, __m256d b)
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4.
UNPCKLPSUnpack and Interleave Low Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-421
U N P C K LP S U n p a ck a n d I n t e rle a v e Lo w P a cke d S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs an interleaved unpack of the low-order single-precision floating-point values from the source operand
(second operand) and the destination operand (first operand). See Figure 4-26. The source operand can be an
XMM register or a 128-bit memory location; the destination operand is an XMM register.
When unpacking from a memory operand, an implementation may fetch only the appropriate 64 bits; however,
alignment to 16-byte boundary and normal segment checking will still be enforced.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
128-bit Legacy SSE version: The second source can be an XMM register or an 128-bit memory location. The desti-
nation is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding
YMM register destination are unmodified.
VEX.128 encoded version: The first source operand is an XMM register or 128-bit memory location. The destination
operand is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 14 /r
UNPCKLPS xmm1, xmm2/m128
RM V/V SSE Unpacks and Interleaves single-precision
floating-point values from low quadwords of
xmm1 and xmm2/mem into xmm1.
VEX.NDS.128.0F.WIG 14 /r
VUNPCKLPS xmm1,xmm2, xmm3/m128
RVM V/V AVX Unpacks and Interleaves single-precision
floating-point values from low quadwords of
xmm2 and xmm3/m128.
VEX.NDS.256.0F.WIG 14 /r
VUNPCKLPS ymm1,ymm2,ymm3/m256
RVM V/V AVX Unpacks and Interleaves single-precision
floating-point values from low quadwords of
ymm2 and ymm3/m256.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m(r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
F ig u re 4 -2 6 . U N P C K LP S I n st ru ct io n Lo w U n p a ck a n d I n t e rle a v e O p e ra t io n
X3 X2 X1 X0
Y3 Y2 Y1 Y0
Y1 X1 Y0 X0
DEST
SRC
DEST
UNPCKLPSUnpack and Interleave Low Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-422 Vol. 2B
O p e ra t io n
U N P C K LP S (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[31:0] SRC1[31:0]
DEST[63:32] SRC2[31:0]
DEST[95:64] SRC1[63:32]
DEST[127:96] SRC2[63:32]
DEST[VLMAX-1:128] (Unmodified)
VU N P C K LP S (V E X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] SRC1[31:0]
DEST[63:32] SRC2[31:0]
DEST[95:64] SRC1[63:32]
DEST[127:96] SRC2[63:32]
DEST[VLMAX-1:128] 0
UNPCKLPS (VEX.256 encoded version)
DEST[31:0] SRC1[31:0]
DEST[63:32] SRC2[31:0]
DEST[95:64] SRC1[63:32]
DEST[127:96] SRC2[63:32]
DEST[159:128] SRC1[159:128]
DEST[191:160] SRC2[159:128]
DEST[223:192] SRC1[191:160]
DEST[255:224] SRC2[191:160]
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
UNPCKLPS: __m128 _mm_unpacklo_ps(__m128 a, __m128 b)
UNPCKLPS: __m256 _mm256_unpacklo_ps (__m256 a, __m256 b);
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4.
VBROADCASTBroadcast Floating-Point Data
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-423
V B R O AD C AS T B ro a d ca st F lo a t in g -P o in t D a t a
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Load floating point values from the source operand (second operand) and broadcast to all elements of the destina-
tion operand (first operand).
VBROADCASTSD and VBROADCASTF128 are only supported as 256-bit wide versions. VBROADCASTSS is
supported in both 128-bit and 256-bit wide versions.
If CPUID.1:ECX.AVX[bit 28] =1, the destination operand is a YMM register. The source operand is either a 32-bit,
64-bit, or 128-bit memory location. Register source encodings are reserved and will #UD.
If CPUID.(EAX=07H, ECX=0H):EBX.AVX2[bit 5]=1, the destination operand is a YMM register. The source operand
is an XMM register, only the low 32-bit or 64-bit data element is used.
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b otherwise instructions will #UD. An
attempt to execute VBROADCASTSD or VBROADCASTF128 encoded with VEX.L= 0 will cause an #UD exception.
Attempts to execute any VBROADCAST* instruction with VEX.W = 1 will cause #UD.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.128.66.0F38.W0 18 /r
VBROADCASTSS xmm1, m32
RM V/V AVX Broadcast single-precision floating-point
element in mem to four locations in xmm1.
VEX.256.66.0F38.W0 18 /r
VBROADCASTSS ymm1, m32
RM V/V AVX Broadcast single-precision floating-point
element in mem to eight locations in ymm1.
VEX.256.66.0F38.W0 19 /r
VBROADCASTSD ymm1, m64
RM V/V AVX Broadcast double-precision floating-point
element in mem to four locations in ymm1.
VEX.256.66.0F38.W0 1A /r
VBROADCASTF128 ymm1, m128
RM V/V AVX Broadcast 128 bits of floating-point data in
mem to low and high 128-bits in ymm1.
VEX.128.66.0F38.W0 18/r
VBROADCASTSS xmm1, xmm2
RM V/V AVX2 Broadcast the low single-precision floating-
point element in the source operand to four
locations in xmm1.
VEX.256.66.0F38.W0 18 /r
VBROADCASTSS ymm1, xmm2
RM V/V AVX2 Broadcast low single-precision floating-point
element in the source operand to eight
locations in ymm1.
VEX.256.66.0F38.W0 19 /r
VBROADCASTSD ymm1, xmm2
RM V/V AVX2 Broadcast low double-precision floating-point
element in the source operand to four
locations in ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
VBROADCASTBroadcast Floating-Point Data
INSTRUCTION SET REFERENCE, N-Z
4-424 Vol. 2B
F ig u re 4 -2 7. V B R O AD C AS T S S O p e ra t io n (VE X.2 5 6 e n co d e d v e rsio n )
F ig u re 4 -2 8 . V B R O AD C AS T S S O p e ra t io n (1 2 8 -b it v e rsio n )
F ig u re 4 -2 9 . V B R O AD C AS T S D O p e ra t io n
DEST
m32
X0
X0 X0 X0 X0 X0 X0 X0 X0
DEST
m32
X0
X0 X0 X0 0 X0 0 0 0
DEST
m64
X0
X0 X0 X0 X0
VBROADCASTBroadcast Floating-Point Data
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-425
O p e ra t io n
VB R O AD C AS T S S (1 2 8 b it v e rsio n )
temp SRC[31:0]
DEST[31:0] temp
DEST[63:32] temp
DEST[95:64] temp
DEST[127:96] temp
DEST[VLMAX-1:128] 0
VB R O AD C AS T S S (VE X.2 5 6 e n co d e d v e rsio n )
temp SRC[31:0]
DEST[31:0] temp
DEST[63:32] temp
DEST[95:64] temp
DEST[127:96] temp
DEST[159:128] temp
DEST[191:160] temp
DEST[223:192] temp
DEST[255:224] temp
VB R O AD C AS T S D (VE X.2 5 6 e n co d e d v e rsio n )
temp SRC[63:0]
DEST[63:0] temp
DEST[127:64] temp
DEST[191:128] temp
DEST[255:192] temp
VB R O AD C AS T F 1 2 8
temp SRC[127:0]
DEST[127:0] temp
DEST[VLMAX-1:128] temp
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VBROADCASTSS: __m128 _mm_broadcast_ss(float *a);
VBROADCASTSS: __m256 _mm256_broadcast_ss(float *a);
VBROADCASTSD: __m256d _mm256_broadcast_sd(double *a);
F ig u re 4 -3 0 . V B R O AD C AS T F 1 2 8 O p e ra t io n
DEST
m128
X0
X0 X0
VBROADCASTBroadcast Floating-Point Data
INSTRUCTION SET REFERENCE, N-Z
4-426 Vol. 2B
VBROADCASTF128: __m256 _mm256_broadcast_ps(__m128 * a);
VBROADCASTF128: __m256d _mm256_broadcast_pd(__m128d * a);
F la g s Af fe ct e d
None.
O t h e r E x ce p t io n s
See Exceptions Type 6; additionally
#UD If VEX.L = 0 for VBROADCASTSD,
If VEX.L = 0 for VBROADCASTF128,
If VEX.W = 1.
VCVTPH2PSConvert 16-bit FP Values to Single-Precision FP Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-427
VC VT P H 2 P S C o n v e rt 1 6 -b it F P Va lu e s t o S in g le -P re cisio n F P Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Converts four/eight packed half precision (16-bits) floating-point values in the low-order 64/128 bits of an
XMM/YMM register or 64/128-bit memory location to four/eight packed single-precision floating-point values and
writes the converted values into the destination XMM/YMM register.
If case of a denormal operand, the correct normal result is returned. MXCSR.DAZ is ignored and is treated as if it
0. No denormal exception is reported on MXCSR.
128-bit version: The source operand is a XMM register or 64-bit memory location. The destination operand is a
XMM register. The upper bits (VLMAX-1:128) of the corresponding destination YMM register are zeroed.
256-bit version: The source operand is a XMM register or 128-bit memory location. The destination operand is a
YMM register.
The diagram below illustrates how data is converted from four packed half precision (in 64 bits) to four single
precision (in 128 bits) FP values.
Note: VEX.vvvv is reserved (must be 1111b).
O p e ra t io n
vCvt_h2s(SRC1[15:0])
{
RETURN Cvt_Half_Precision_To_Single_Precision(SRC1[15:0]);
}
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -
b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.256.66.0F38.W0 13 /r RM V/V F16C Convert eight packed half precision (16-bit)
floating-point values in xmm2/m128 to packed
single-precision floating-point value in ymm1.
VCVTPH2PS ymm1, xmm2/m128
VEX.128.66.0F38.W0 13 /r RM V/V F16C Convert four packed half precision (16-bit)
floating-point values in xmm2/m64 to packed
single-precision floating-point value in xmm1.
VCVTPH2PS xmm1, xmm2/m64
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
F ig u re 4 -3 1 . VC V T P H 2 P S (1 2 8 -b it Ve rsio n )
VH0 VH1 VH2 VH3
15 0 31 16 47 32 63 48 95 64 127 96
VS0 VS1 VS2 VS3
31 0 63 32 95 64 127 96
convert convert
convert convert
xmm2/mem64
xmm1
VCVTPH2PS xmm1, xmm2/mem64, imm8
VCVTPH2PSConvert 16-bit FP Values to Single-Precision FP Values
INSTRUCTION SET REFERENCE, N-Z
4-428 Vol. 2B
VC V T P H 2 P S (VE X.2 5 6 e n co d e d v e rsio n )
DEST[31:0] vCvt_h2s(SRC1[15:0]);
DEST[63:32] vCvt_h2s(SRC1[31:16]);
DEST[95:64] vCvt_h2s(SRC1[47:32]);
DEST[127:96] vCvt_h2s(SRC1[63:48]);
DEST[159:128] vCvt_h2s(SRC1[79:64]);
DEST[191:160] vCvt_h2s(SRC1[95:80]);
DEST[223:192] vCvt_h2s(SRC1[111:96]);
DEST[255:224] vCvt_h2s(SRC1[127:112]);
VC V T P H 2 P S (VE X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] vCvt_h2s(SRC1[15:0]);
DEST[63:32] vCvt_h2s(SRC1[31:16]);
DEST[95:64] vCvt_h2s(SRC1[47:32]);
DEST[127:96] vCvt_h2s(SRC1[63:48]);
DEST[VLMAX-1:128] 0
F la g s Af fe ct e d
None
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
__m128 _mm_cvtph_ps ( __m128i m1);
__m256 _mm256_cvtph_ps ( __m128i m1)
S I MD F lo a t in g -P o in t E x ce p t io n s
Invalid
O t h e r E x ce p t io n s
Exceptions Type 11 (do not report #AC); additionally
#UD If VEX.W=1.
VCVTPS2PHConvert Single-Precision FP value to 16-bit FP value
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-429
VC VT P S 2 P H C o n v e rt S in g le -P re cisio n F P v a lu e t o 1 6 -b it F P v a lu e
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Convert four or eight packed single-precision floating values in first source operand to four or eight packed half-
precision (16-bit) floating-point values. The rounding mode is specified using the immediate field (imm8).
Underflow results (i.e. tiny results) are converted to denormals. MXCSR.FTZ is ignored. If a source element is
denormal relative to input format with MXCSR.DAZ not set, DM masked and at least one of PM or UM unmasked; a
SIMD exception will be raised with DE, UE and PE set.
128-bit version: The source operand is a XMM register. The destination operand is a XMM register or 64-bit memory
location. The upper-bits vector register zeroing behavior of VEX prefix encoding still applies if the destination
operand is a xmm register. So the upper bits (255:64) of corresponding YMM register are zeroed.
256-bit version: The source operand is a YMM register. The destination operand is a XMM register or 128-bit
memory location. The upper-bits vector register zeroing behavior of VEX prefix encoding still applies if the destina-
tion operand is a xmm register. So the upper bits (255:128) of the corresponding YMM register are zeroed.
Note: VEX.vvvv is reserved (must be 1111b).
The diagram below illustrates how data is converted from four packed single precision (in 128 bits) to four half
precision (in 64 bits) FP values.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -
b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.256.66.0F3A.W0 1D /r ib MR V/V F16C Convert eight packed single-precision
floating-point value in ymm2 to packed
half-precision (16-bit) floating-point value
in xmm1/mem. Imm8 provides rounding
controls.
VCVTPS2PH xmm1/m128, ymm2, imm8
VEX.128.66.0F3A.W0.1D /r ib MR V/V F16C Convert four packed single-precision float-
ing-point value in xmm2 to packed half-
precision (16-bit) floating-point value in
xmm1/mem. Imm8 provides rounding con-
trols.
VCVTPS2PH xmm1/m64, xmm2, imm8
Op/En Operand 1 Operand 2 Operand 3 Operand 4
MR ModRM:r/m(w) ModRM:reg (r) NA NA
F ig u re 4 -3 2 . VC VT P S 2 P H (1 2 8 -b it Ve rsio n )
VH0 VH1 VH2 VH3
15 0 31 16 47 32 63 48 95 64 127 96
VS0 VS1 VS2 VS3
31 0 63 32 95 64 127 96
xmm1/mem64
xmm2
VCVTPS2PH xmm1/mem64, xmm2, imm8
convert
convert
convert
convert
VCVTPS2PHConvert Single-Precision FP value to 16-bit FP value
INSTRUCTION SET REFERENCE, N-Z
4-430 Vol. 2B
The immediate byte defines several bit fields that controls rounding operation. The effect and encoding of RC
field are listed in Table 4-16.
O p e ra t io n
vCvt_s2h(SRC1[31:0])
{
IF Imm[2] =0
THEN // using Imm[1:0] for rounding control, see Table 4-16
RETURN Cvt_Single_Precision_To_Half_Precision_FP_Imm(SRC1[31:0]);
ELSE // using MXCSR.RC for rounding control
RETURN Cvt_Single_Precision_To_Half_Precision_FP_Mxcsr(SRC1[31:0]);
FI;
}
VC V T P S 2 P H (VE X.2 5 6 e n co d e d v e rsio n )
DEST[15:0] vCvt_s2h(SRC1[31:0]);
DEST[31:16] vCvt_s2h(SRC1[63:32]);
DEST[47:32] vCvt_s2h(SRC1[95:64]);
DEST[63:48] vCvt_s2h(SRC1[127:96]);
DEST[79:64] vCvt_s2h(SRC1[159:128]);
DEST[95:80] vCvt_s2h(SRC1[191:160]);
DEST[111:96] vCvt_s2h(SRC1[223:192]);
DEST[127:112] vCvt_s2h(SRC1[255:224]);
DEST[255:128] 0; // if DEST is a register
VC V T P S 2 P H (VE X.1 2 8 e n co d e d v e rsio n )
DEST[15:0] vCvt_s2h(SRC1[31:0]);
DEST[31:16] vCvt_s2h(SRC1[63:32]);
DEST[47:32] vCvt_s2h(SRC1[95:64]);
DEST[63:48] vCvt_s2h(SRC1[127:96]);
DEST[VLMAX-1:64] 0; // if DEST is a register
F la g s Af fe ct e d
None
Ta b le 4 -1 6 . I m m e d ia t e B y t e E n co d in g fo r 1 6 -b it F lo a t in g -P o in t C o n v e rsio n I n st ru ct io n s
B it s F ie ld N a m e /v a lu e D e scrip t io n
C o m m e n t
Imm[1:0] RC=00B Round to nearest even If Imm[2] =0
RC=01B Round down
RC=10B Round up
RC=11B Truncate
Imm[2] MS1=0 Use imm[1:0] for rounding Ignore MXCSR.RC
MS1=1 Use MXCSR.RC for rounding
Imm[7:3] Ignored Ignored by processor
VCVTPS2PHConvert Single-Precision FP value to 16-bit FP value
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-431
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
__m128i _mm_cvtps_ph ( __m128 m1, const int imm);
__m128i _mm256_cvtps_ph(__m256 m1, const int imm);
S I MD F lo a t in g -P o in t E x ce p t io n s
Invalid, Underflow, Overflow, Precision, Denormal (if MXCSR.DAZ=0);
O t h e r E x ce p t io n s
Exceptions Type 11 (do not report #AC); additionally
#UD If VEX.W=1.
VERR/VERWVerify a Segment for Reading or Writing
INSTRUCTION SET REFERENCE, N-Z
4-432 Vol. 2B
VE R R /VE R WVe rify a S e g m e n t fo r R e a d in g o r Writ in g
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Verifies whether the code or data segment specified with the source operand is readable (VERR) or writable (VERW)
from the current privilege level (CPL). The source operand is a 16-bit register or a memory location that contains
the segment selector for the segment to be verified. If the segment is accessible and readable (VERR) or writable
(VERW), the ZF flag is set; otherwise, the ZF flag is cleared. Code segments are never verified as writable. This
check cannot be performed on system segments.
To set the ZF flag, the following conditions must be met:
The segment selector is not NULL.
The selector must denote a descriptor within the bounds of the descriptor table (GDT or LDT).
The selector must denote the descriptor of a code or data segment (not that of a system segment or gate).
For the VERR instruction, the segment must be readable.
For the VERW instruction, the segment must be a writable data segment.
If the segment is not a conforming code segment, the segments DPL must be greater than or equal to (have
less or the same privilege as) both the CPL and the segment selector's RPL.
The validation performed is the same as is performed when a segment selector is loaded into the DS, ES, FS, or GS
register, and the indicated access (read or write) is performed. The segment selector's value cannot result in a
protection exception, enabling the software to anticipate possible segment access problems.
This instructions operation is the same in non-64-bit modes and 64-bit mode. The operand size is fixed at 16 bits.
O p e ra t io n
IF SRC(Offset) > (GDTR(Limit) or (LDTR(Limit))
THEN ZF 0; FI;
Read segment descriptor;
IF SegmentDescriptor(DescriptorType) = 0 (* System segment *)
or (SegmentDescriptor(Type) conforming code segment)
and (CPL > DPL) or (RPL > DPL)
THEN
ZF 0;
ELSE
IF ((Instruction = VERR) and (Segment readable))
or ((Instruction = VERW) and (Segment writable))
THEN
ZF 1;
FI;
FI;
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 00 /4 VERR r/m16 M Valid Valid Set ZF=1 if segment specified with r/m16 can
be read.
0F 00 /5 VERW r/m16 M Valid Valid Set ZF=1 if segment specified with r/m16 can
be written.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
M ModRM:r/m(r) NA NA NA
VERR/VERWVerify a Segment for Reading or Writing
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-433
F la g s Af fe ct e d
The ZF flag is set to 1 if the segment is accessible and readable (VERR) or writable (VERW); otherwise, it is set to 0.
P ro t e ct e d Mo d e E x ce p t io n s
The only exceptions generated for these instructions are those related to illegal addressing of the source operand.
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register is used to access memory and it contains a NULL segment
selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#UD The VERR and VERW instructions are not recognized in real-address mode.
If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#UD The VERR and VERW instructions are not recognized in virtual-8086 mode.
If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used.
VEXTRACTF128 Extract Packed Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-434 Vol. 2B
VE XT R AC T F 1 2 8 E x t ra ct P a cke d F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Extracts 128-bits of packed floating-point values from the source operand (second operand) at an 128-bit offset
from imm8[0] into the destination operand (first operand). The destination may be either an XMM register or an
128-bit memory location.
VEX.vvvv is reserved and must be 1111b otherwise instructions will #UD.
The high 7 bits of the immediate are ignored.
If VEXTRACTF128 is encoded with VEX.L= 0, an attempt to execute the instruction encoded with VEX.L= 0 will
cause an #UD exception.
O p e ra t io n
VE XT R AC T F 1 2 8 (m e m o ry d e st in a t io n f o rm )
CASE (imm8[0]) OF
0: DEST[127:0] SRC1[127:0]
1: DEST[127:0] SRC1[255:128]
ESAC.
VE XT R AC T F 1 2 8 (re g ist e r d e st in a t io n fo rm )
CASE (imm8[0]) OF
0: DEST[127:0] SRC1[127:0]
1: DEST[127:0] SRC1[255:128]
ESAC.
DEST[VLMAX-1:128] 0
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VEXTRACTF128: __m128 _mm256_extractf128_ps (__m256 a, int offset);
VEXTRACTF128: __m128d _mm256_extractf128_pd (__m256d a, int offset);
VEXTRACTF128: __m128i_mm256_extractf128_si256(__m256i a, int offset);
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Exceptions Type 6; additionally
#UD If VEX.L= 0
If VEX.W=1.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.256.66.0F3A.W0 19 /r ib
VEXTRACTF128 xmm1/m128, ymm2, imm8
MR V/V AVX Extract 128 bits of packed floating-point
values from ymm2 and store results in
xmm1/mem.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
MR ModRM:r/m (w) ModRM:reg (r) NA NA
VEXTRACTI128 Extract packed Integer Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-435
VE XT R AC T I 1 2 8 E x t ra ct p a cke d I n t e g e r Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Extracts 128-bits of packed integer values from the source operand (second operand) at a 128-bit offset from
imm8[0] into the destination operand (first operand). The destination may be either an XMM register or a 128-bit
memory location.
VEX.vvvv is reserved and must be 1111b otherwise instructions will #UD.
The high 7 bits of the immediate are ignored.
An attempt to execute VEXTRACTI128 encoded with VEX.L= 0 will cause an #UD exception.
O p e ra t io n
VE XT R AC T I 1 2 8 (m e m o ry d e st in a t io n fo rm )
CASE (imm8[0]) OF
0: DEST[127:0] SRC1[127:0]
1: DEST[127:0] SRC1[255:128]
ESAC.
VE XT R AC T I 1 2 8 (re g ist e r d e st in a t io n fo rm )
CASE (imm8[0]) OF
0: DEST[127:0] SRC1[127:0]
1: DEST[127:0] SRC1[255:128]
ESAC.
DEST[VLMAX-1:128] 0
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VEXTRACTI128: __m128i _mm256_extracti128_si256(__m256i a, int offset);
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Exceptions Type 6; additionally
#UD IF VEX.L = 0,
If VEX.W = 1.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.256.66.0F3A.W0 39 /r ib RMI V/V AVX2 Extract 128 bits of integer data from ymm2 and
store results in xmm1/mem.
VEXTRACTI128 xmm1/m128, ymm2,
imm8
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RMI ModRM:r/m(w) ModRM:reg (r) Imm8 NA
VFMADD132PD/VFMADD213PD/VFMADD231PD Fused Multiply-Add of Packed Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-436 Vol. 2B
VF MAD D 1 3 2 P D /VF MAD D 2 1 3 P D /VF MAD D 2 3 1 P D F u se d Mu lt ip ly -Ad d o f P a cke d D o u b le -
P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a set of SIMD multiply-add computation on packed double-precision floating-point values using three
source operands and writes the multiply-add results in the destination operand. The destination operand is also the
first source operand. The second operand must be a SIMD register. The third source operand can be a SIMD
register or a memory location.
VFMADD132PD: Multiplies the two or four packed double-precision floating-point values from the first source
operand to the two or four packed double-precision floating-point values in the third source operand, adds the infi-
nite precision intermediate result to the two or four packed double-precision floating-point values in the second
source operand, performs rounding and stores the resulting two or four packed double-precision floating-point
values to the destination operand (first source operand).
VFMADD213PD: Multiplies the two or four packed double-precision floating-point values from the second source
operand to the two or four packed double-precision floating-point values in the first source operand, adds the infi-
nite precision intermediate result to the two or four packed double-precision floating-point values in the third
source operand, performs rounding and stores the resulting two or four packed double-precision floating-point
values to the destination operand (first source operand).
VFMADD231PD: Multiplies the two or four packed double-precision floating-point values from the second source to
the two or four packed double-precision floating-point values in the third source operand, adds the infinite precision
intermediate result to the two or four packed double-precision floating-point values in the first source operand,
performs rounding and stores the resulting two or four packed double-precision floating-point values to the desti-
nation operand (first source operand).
VEX.128 encoded version: The destination operand (also first source operand) is a XMM register and encoded in
reg_field. The second source operand is a XMM register and encoded in VEX.vvvv. The third source operand is a
XMM register or a 128-bit memory location and encoded in rm_field. The upper 128 bits of the YMM destination
register are zeroed.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -
b it Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.DDS.128.66.0F38.W1 98 /r A V/V FMA Multiply packed double-precision floating-point val-
ues from xmm0 and xmm2/mem, add to xmm1 and
put result in xmm0.
VFMADD132PD xmm0, xmm1, xmm2/m128
VEX.DDS.128.66.0F38.W1 A8 /r A V/V FMA Multiply packed double-precision floating-point val-
ues from xmm0 and xmm1, add to xmm2/mem and
put result in xmm0.
VFMADD213PD xmm0, xmm1, xmm2/m128
VEX.DDS.128.66.0F38.W1 B8 /r A V/V FMA Multiply packed double-precision floating-point val-
ues from xmm1 and xmm2/mem, add to xmm0 and
put result in xmm0.
VFMADD231PD xmm0, xmm1, xmm2/m128
VEX.DDS.256.66.0F38.W1 98 /r A V/V FMA Multiply packed double-precision floating-point val-
ues from ymm0 and ymm2/mem, add to ymm1 and
put result in ymm0.
VFMADD132PD ymm0, ymm1, ymm2/m256
VEX.DDS.256.66.0F38.W1 A8 /r A V/V FMA Multiply packed double-precision floating-point val-
ues from ymm0 and ymm1, add to ymm2/mem and
put result in ymm0.
VFMADD213PD ymm0, ymm1, ymm2/m256
VEX.DDS.256.66.0F38.W1 B8 /r A V/V FMA Multiply packed double-precision floating-point val-
ues from ymm1 and ymm2/mem, add to ymm0 and
put result in ymm0.
VFMADD231PD ymm0, ymm1, ymm2/m256
Op/En Operand 1 Operand 2 Operand 3 Operand 4
A ModRM:reg (r, w) VEX.vvvv (r) ModRM:r/m (r) NA
VFMADD132PD/VFMADD213PD/VFMADD231PD Fused Multiply-Add of Packed Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-437
VEX.256 encoded version: The destination operand (also first source operand) is a YMM register and encoded in
reg_field. The second source operand is a YMM register and encoded in VEX.vvvv. The third source operand is a
YMM register or a 256-bit memory location and encoded in rm_field.
Compiler tools may optionally support a complementary mnemonic for each instruction mnemonic listed in the
opcode/instruction column of the summary table. The behavior of the complementary mnemonic in situations
involving NANs are governed by the definition of the instruction mnemonic defined in the opcode/instruction
column. See also Section 2.3.1, FMA Instruction Operand Order and Arithmetic Behavior.
O p e ra t io n
In the operations below, "+", "-", and "*" symbols represent addition, subtraction, and multiplication operations
with infinite precision inputs and outputs (no rounding).
VF MAD D 1 3 2 P D D E S T , S R C 2 , S R C 3
IF (VEX.128) THEN
MAXVL =2
ELSEIF (VEX.256)
MAXVL =4
FI
For i =0 to MAXVL-1 {
n =64*i;
DEST[n+63:n] RoundFPControl_MXCSR(DEST[n+63:n]*SRC3[n+63:n] +SRC2[n+63:n])
}
IF (VEX.128) THEN
DEST[VLMAX-1:128] 0
FI
VF MAD D 2 1 3 P D D E S T , S R C 2 , S R C 3
IF (VEX.128) THEN
MAXVL =2
ELSEIF (VEX.256)
MAXVL =4
FI
For i =0 to MAXVL-1 {
n =64*i;
DEST[n+63:n] RoundFPControl_MXCSR(SRC2[n+63:n]*DEST[n+63:n] +SRC3[n+63:n])
}
IF (VEX.128) THEN
DEST[VLMAX-1:128] 0
FI
VF MAD D 2 3 1 P D D E S T , S R C 2 , S R C 3
IF (VEX.128) THEN
MAXVL =2
ELSEIF (VEX.256)
MAXVL =4
FI
For i =0 to MAXVL-1 {
n =64*i;
DEST[n+63:n] RoundFPControl_MXCSR(SRC2[n+63:n]*SRC3[n+63:n] +DEST[n+63:n])
}
IF (VEX.128) THEN
DEST[VLMAX-1:128] 0
FI
VFMADD132PD/VFMADD213PD/VFMADD231PD Fused Multiply-Add of Packed Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-438 Vol. 2B
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VFMADD132PD: __m128d _mm_fmadd_pd (__m128d a, __m128d b, __m128d c);
VFMADD213PD: __m128d _mm_fmadd_pd (__m128d a, __m128d b, __m128d c);
VFMADD231PD: __m128d _mm_fmadd_pd (__m128d a, __m128d b, __m128d c);
VFMADD132PD: __m256d _mm256_fmadd_pd (__m256d a, __m256d b, __m256d c);
VFMADD213PD: __m256d _mm256_fmadd_pd (__m256d a, __m256d b, __m256d c);
VFMADD231PD: __m256d _mm256_fmadd_pd (__m256d a, __m256d b, __m256d c);
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal
O t h e r E x ce p t io n s
See Exceptions Type 2
VFMADD132PS/VFMADD213PS/VFMADD231PS Fused Multiply-Add of Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-439
VF MAD D 1 3 2 P S /VF MAD D 2 1 3 P S /VF MAD D 2 3 1 P S F u se d Mu lt ip ly -Ad d o f P a cke d S in g le -
P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a set of SIMD multiply-add computation on packed single-precision floating-point values using three
source operands and writes the multiply-add results in the destination operand. The destination operand is also the
first source operand. The second operand must be a SIMD register. The third source operand can be a SIMD
register or a memory location.
VFMADD132PS: Multiplies the four or eight packed single-precision floating-point values from the first source
operand to the four or eight packed single-precision floating-point values in the third source operand, adds the infi-
nite precision intermediate result to the four or eight packed single-precision floating-point values in the second
source operand, performs rounding and stores the resulting four or eight packed single-precision floating-point
values to the destination operand (first source operand).
VFMADD213PS: Multiplies the four or eight packed single-precision floating-point values from the second source
operand to the four or eight packed single-precision floating-point values in the first source operand, adds the infi-
nite precision intermediate result to the four or eight packed single-precision floating-point values in the third
source operand, performs rounding and stores the resulting the four or eight packed single-precision floating-point
values to the destination operand (first source operand).
VFMADD231PS: Multiplies the four or eight packed single-precision floating-point values from the second source
operand to the four or eight packed single-precision floating-point values in the third source operand, adds the infi-
nite precision intermediate result to the four or eight packed single-precision floating-point values in the first
source operand, performs rounding and stores the resulting four or eight packed single-precision floating-point
values to the destination operand (first source operand).
VEX.128 encoded version: The destination operand (also first source operand) is a XMM register and encoded in
reg_field. The second source operand is a XMM register and encoded in VEX.vvvv. The third source operand is a
XMM register or a 128-bit memory location and encoded in rm_field. The upper 128 bits of the YMM destination
register are zeroed.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.DDS.128.66.0F38.W0 98 /r A V/V FMA Multiply packed single-precision floating-point values
from xmm0 and xmm2/mem, add to xmm1 and put
result in xmm0.
VFMADD132PS xmm0, xmm1, xmm2/m128
VEX.DDS.128.66.0F38.W0 A8 /r A V/V FMA Multiply packed single-precision floating-point values
from xmm0 and xmm1, add to xmm2/mem and put
result in xmm0.
VFMADD213PS xmm0, xmm1, xmm2/m128
VEX.DDS.128.66.0F38.W0 B8 /r A V/V FMA Multiply packed single-precision floating-point values
from xmm1 and xmm2/mem, add to xmm0 and put
result in xmm0.
VFMADD231PS xmm0, xmm1, xmm2/m128
VEX.DDS.256.66.0F38.W0 98 /r A V/V FMA Multiply packed single-precision floating-point values
from ymm0 and ymm2/mem, add to ymm1 and put
result in ymm0.
VFMADD132PS ymm0, ymm1, ymm2/m256
VEX.DDS.256.66.0F38.W0 A8 /r A V/V FMA Multiply packed single-precision floating-point values
from ymm0 and ymm1, add to ymm2/mem and put
result in ymm0.
VFMADD213PS ymm0, ymm1, ymm2/m256
VEX.DDS.256.66.0F38.W0 B8 /r A V/V FMA Multiply packed single-precision floating-point values
from ymm1 and ymm2/mem, add to ymm0 and put
result in ymm0.
VFMADD231PS ymm0, ymm1, ymm2/m256
Op/En Operand 1 Operand 2 Operand 3 Operand 4
A ModRM:reg (r, w) VEX.vvvv (r) ModRM:r/m (r) NA
VFMADD132PS/VFMADD213PS/VFMADD231PS Fused Multiply-Add of Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-440 Vol. 2B
VEX.256 encoded version: The destination operand (also first source operand) is a YMM register and encoded in
reg_field. The second source operand is a YMM register and encoded in VEX.vvvv. The third source operand is a
YMM register or a 256-bit memory location and encoded in rm_field.
Compiler tools may optionally support a complementary mnemonic for each instruction mnemonic listed in the
opcode/instruction column of the summary table. The behavior of the complementary mnemonic in situations
involving NANs are governed by the definition of the instruction mnemonic defined in the opcode/instruction
column. See also Section 2.3.1, FMA Instruction Operand Order and Arithmetic Behavior.
O p e ra t io n
In the operations below, "+", "-", and "*" symbols represent addition, subtraction, and multiplication operations
with infinite precision inputs and outputs (no rounding).
VF MAD D 1 3 2 P S D E S T , S R C 2 , S R C 3
IF (VEX.128) THEN
MAXVL =4
ELSEIF (VEX.256)
MAXVL =8
FI
For i =0 to MAXVL-1 {
n =32*i;
DEST[n+31:n] RoundFPControl_MXCSR(DEST[n+31:n]*SRC3[n+31:n] +SRC2[n+31:n])
}
IF (VEX.128) THEN
DEST[VLMAX-1:128] 0
FI
VF MAD D 2 1 3 P S D E S T , S R C 2 , S R C 3
IF (VEX.128) THEN
MAXVL =4
ELSEIF (VEX.256)
MAXVL =8
FI
For i =0 to MAXVL-1 {
n =32*i;
DEST[n+31:n] RoundFPControl_MXCSR(SRC2[n+31:n]*DEST[n+31:n] +SRC3[n+31:n])
}
IF (VEX.128) THEN
DEST[VLMAX-1:128] 0
FI
VF MAD D 2 3 1 P S D E S T , S R C 2 , S R C 3
IF (VEX.128) THEN
MAXVL =4
ELSEIF (VEX.256)
MAXVL =8
FI
For i =0 to MAXVL-1 {
n =32*i;
DEST[n+31:n] RoundFPControl_MXCSR(SRC2[n+31:n]*SRC3[n+31:n] +DEST[n+31:n])
}
IF (VEX.128) THEN
DEST[VLMAX-1:128] 0
FI
VFMADD132PS/VFMADD213PS/VFMADD231PS Fused Multiply-Add of Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-441
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VFMADD132PS: __m128 _mm_fmadd_ps (__m128 a, __m128 b, __m128 c);
VFMADD213PS: __m128 _mm_fmadd_ps (__m128 a, __m128 b, __m128 c);
VFMADD231PS: __m128 _mm_fmadd_ps (__m128 a, __m128 b, __m128 c);
VFMADD132PS: __m256 _mm256_fmadd_ps (__m256 a, __m256 b, __m256 c);
VFMADD213PS: __m256 _mm256_fmadd_ps (__m256 a, __m256 b, __m256 c);
VFMADD231PS: __m256 _mm256_fmadd_ps (__m256 a, __m256 b, __m256 c);
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal
O t h e r E x ce p t io n s
See Exceptions Type 2
VFMADD132SD/VFMADD213SD/VFMADD231SD Fused Multiply-Add of Scalar Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-442 Vol. 2B
VF MAD D 1 3 2 S D /VF MAD D 2 1 3 S D /VF MAD D 2 3 1 S D F u se d Mu lt ip ly -Ad d o f S ca la r D o u b le -
P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a SIMD multiply-add computation on the low packed double-precision floating-point values using three
source operands and writes the multiply-add result in the destination operand. The destination operand is also the
first source operand. The second operand must be a SIMD register. The third source operand can be a SIMD
register or a memory location.
VFMADD132SD: Multiplies the low packed double-precision floating-point value from the first source operand to
the low packed double-precision floating-point value in the third source operand, adds the infinite precision inter-
mediate result to the low packed double-precision floating-point values in the second source operand, performs
rounding and stores the resulting packed double-precision floating-point value to the destination operand (first
source operand).
VFMADD213SD: Multiplies the low packed double-precision floating-point value from the second source operand to
the low packed double-precision floating-point value in the first source operand, adds the infinite precision interme-
diate result to the low packed double-precision floating-point value in the third source operand, performs rounding
and stores the resulting packed double-precision floating-point value to the destination operand (first source
operand).
VFMADD231SD: Multiplies the low packed double-precision floating-point value from the second source to the low
packed double-precision floating-point value in the third source operand, adds the infinite precision intermediate
result to the low packed double-precision floating-point value in the first source operand, performs rounding and
stores the resulting packed double-precision floating-point value to the destination operand (first source operand).
VEX.128 encoded version: The destination operand (also first source operand) is a XMM register and encoded in
reg_field. The second source operand is a XMM register and encoded in VEX.vvvv. The third source operand is a
XMM register or a 64-bit memory location and encoded in rm_field. The upper bits ([VLMAX-1:128]) of the YMM
destination register are zeroed.
Compiler tools may optionally support a complementary mnemonic for each instruction mnemonic listed in the
opcode/instruction column of the summary table. The behavior of the complementary mnemonic in situations
involving NANs are governed by the definition of the instruction mnemonic defined in the opcode/instruction
column. See also Section 2.3.1, FMA Instruction Operand Order and Arithmetic Behavior.
O p e ra t io n
In the operations below, "+", "-", and "*" symbols represent addition, subtraction, and multiplication operations
with infinite precision inputs and outputs (no rounding).
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -
b it Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.DDS.LIG.128.66.0F38.W1 99 /r A V/V FMA Multiply scalar double-precision floating-point value
from xmm0 and xmm2/mem, add to xmm1 and put
result in xmm0.
VFMADD132SD xmm0, xmm1, xmm2/m64
VEX.DDS.LIG.128.66.0F38.W1 A9 /r A V/V FMA Multiply scalar double-precision floating-point value
from xmm0 and xmm1, add to xmm2/mem and put
result in xmm0.
VFMADD213SD xmm0, xmm1, xmm2/m64
VEX.DDS.LIG.128.66.0F38.W1 B9 /r A V/V FMA Multiply scalar double-precision floating-point value
from xmm1 and xmm2/mem, add to xmm0 and put
result in xmm0.
VFMADD231SD xmm0, xmm1, xmm2/m64
Op/En Operand 1 Operand 2 Operand 3 Operand 4
A ModRM:reg (r, w) VEX.vvvv (r) ModRM:r/m (r) NA
VFMADD132SD/VFMADD213SD/VFMADD231SD Fused Multiply-Add of Scalar Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-443
VF MAD D 1 3 2 S D D E S T , S R C 2 , S R C 3
DEST[63:0] RoundFPControl_MXCSR(DEST[63:0]*SRC3[63:0] +SRC2[63:0])
DEST[127:64] DEST[127:64]
DEST[VLMAX-1:128] 0
VF MAD D 2 1 3 S D D E S T , S R C 2 , S R C 3
DEST[63:0] RoundFPControl_MXCSR(SRC2[63:0]*DEST[63:0] +SRC3[63:0])
DEST[127:64] DEST[127:64]
DEST[VLMAX-1:128] 0
VF MAD D 2 3 1 S D D E S T , S R C 2 , S R C 3
DEST[63:0] RoundFPControl_MXCSR(SRC2[63:0]*SRC3[63:0] +DEST[63:0])
DEST[127:64] DEST[127:64]
DEST[VLMAX-1:128] 0
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VFMADD132SD: __m128d _mm_fmadd_sd (__m128d a, __m128d b, __m128d c);
VFMADD213SD: __m128d _mm_fmadd_sd (__m128d a, __m128d b, __m128d c);
VFMADD231SD: __m128d _mm_fmadd_sd (__m128d a, __m128d b, __m128d c);
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal
O t h e r E x ce p t io n s
See Exceptions Type 3
VFMADD132SS/VFMADD213SS/VFMADD231SS Fused Multiply-Add of Scalar Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-444 Vol. 2B
VF MAD D 1 3 2 S S /VF MAD D 2 1 3 S S /VF MAD D 2 3 1 S S F u se d Mu lt ip ly -Ad d o f S ca la r S in g le -P re cisio n
F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a SIMD multiply-add computation on packed single-precision floating-point values using three source
operands and writes the multiply-add results in the destination operand. The destination operand is also the first
source operand. The second operand must be a SIMD register. The third source operand can be a SIMD register or
a memory location.
VFMADD132SS: Multiplies the low packed single-precision floating-point value from the first source operand to the
low packed single-precision floating-point value in the third source operand, adds the infinite precision interme-
diate result to the low packed single-precision floating-point value in the second source operand, performs
rounding and stores the resulting packed single-precision floating-point value to the destination operand (first
source operand).
VFMADD213SS: Multiplies the low packed single-precision floating-point value from the second source operand to
the low packed single-precision floating-point value in the first source operand, adds the infinite precision interme-
diate result to the low packed single-precision floating-point value in the third source operand, performs rounding
and stores the resulting packed single-precision floating-point value to the destination operand (first source
operand).
VFMADD231SS: Multiplies the low packed single-precision floating-point value from the second source operand to
the low packed single-precision floating-point value in the third source operand, adds the infinite precision interme-
diate result to the low packed single-precision floating-point value in the first source operand, performs rounding
and stores the resulting packed single-precision floating-point value to the destination operand (first source
operand).
VEX.128 encoded version: The destination operand (also first source operand) is a XMM register and encoded in
reg_field. The second source operand is a XMM register and encoded in VEX.vvvv. The third source operand is a
XMM register or a 32-bit memory location and encoded in rm_field. The upper bits ([VLMAX-1:128]) of the YMM
destination register are zeroed.
Compiler tools may optionally support a complementary mnemonic for each instruction mnemonic listed in the
opcode/instruction column of the summary table. The behavior of the complementary mnemonic in situations
involving NANs are governed by the definition of the instruction mnemonic defined in the opcode/instruction
column. See also Section 2.3.1, FMA Instruction Operand Order and Arithmetic Behavior.
O p e ra t io n
In the operations below, "+", "-", and "*" symbols represent addition, subtraction, and multiplication operations
with infinite precision inputs and outputs (no rounding).
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.DDS.LIG.128.66.0F38.W0 99 /r A V/V FMA Multiply scalar single-precision floating-point value
from xmm0 and xmm2/mem, add to xmm1 and put
result in xmm0.
VFMADD132SS xmm0, xmm1, xmm2/m32
VEX.DDS.LIG.128.66.0F38.W0 A9 /r A V/V FMA Multiply scalar single-precision floating-point value
from xmm0 and xmm1, add to xmm2/mem and put
result in xmm0.
VFMADD213SS xmm0, xmm1, xmm2/m32
VEX.DDS.LIG.128.66.0F38.W0 B9 /r A V/V FMA Multiply scalar single-precision floating-point value
from xmm1 and xmm2/mem, add to xmm0 and put
result in xmm0.
VFMADD231SS xmm0, xmm1, xmm2/m32
Op/En Operand 1 Operand 2 Operand 3 Operand 4
A ModRM:reg (r, w) VEX.vvvv (r) ModRM:r/m(r) NA
VFMADD132SS/VFMADD213SS/VFMADD231SS Fused Multiply-Add of Scalar Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-445
VF MAD D 1 3 2 S S D E S T , S R C 2 , S R C 3
DEST[31:0] RoundFPControl_MXCSR(DEST[31:0]*SRC3[31:0] +SRC2[31:0])
DEST[127:32] DEST[127:32]
DEST[VLMAX-1:128] 0
VF MAD D 2 1 3 S S D E S T , S R C 2 , S R C 3
DEST[31:0] RoundFPControl_MXCSR(SRC2[31:0]*DEST[31:0] +SRC3[31:0])
DEST[127:32] DEST[127:32]
DEST[VLMAX-1:128] 0
VF MAD D 2 3 1 S S D E S T , S R C 2 , S R C 3
DEST[31:0] RoundFPControl_MXCSR(SRC2[31:0]*SRC3[63:0] +DEST[31:0])
DEST[127:32] DEST[127:32]
DEST[VLMAX-1:128] 0
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VFMADD132SS: __m128 _mm_fmadd_ss (__m128 a, __m128 b, __m128 c);
VFMADD213SS: __m128 _mm_fmadd_ss (__m128 a, __m128 b, __m128 c);
VFMADD231SS: __m128 _mm_fmadd_ss (__m128 a, __m128 b, __m128 c);
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal
O t h e r E x ce p t io n s
See Exceptions Type 3
VFMADDSUB132PD/VFMADDSUB213PD/VFMADDSUB231PD Fused Multiply-Alternating Add/Subtract of Packed Double-Precision
INSTRUCTION SET REFERENCE, N-Z
4-446 Vol. 2B
VF MAD D S U B 1 3 2 P D /VF MAD D S U B 2 1 3 P D /VF MAD D S U B 2 3 1 P D F u se d Mu lt ip ly -Alt e rn a t in g
Ad d /S u b t ra ct o f P a cke d D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
VFMADDSUB132PD: Multiplies the two or four packed double-precision floating-point values from the first source
operand to the two or four packed double-precision floating-point values in the third source operand. From the infi-
nite precision intermediate result, adds the odd double-precision floating-point elements and subtracts the even
double-precision floating-point values in the second source operand, performs rounding and stores the resulting
two or four packed double-precision floating-point values to the destination operand (first source operand).
VFMADDSUB213PD: Multiplies the two or four packed double-precision floating-point values from the second
source operand to the two or four packed double-precision floating-point values in the first source operand. From
the infinite precision intermediate result, adds the odd double-precision floating-point elements and subtracts the
even double-precision floating-point values in the third source operand, performs rounding and stores the resulting
two or four packed double-precision floating-point values to the destination operand (first source operand).
VFMADDSUB231PD: Multiplies the two or four packed double-precision floating-point values from the second
source operand to the two or four packed double-precision floating-point values in the third source operand. From
the infinite precision intermediate result, adds the odd double-precision floating-point elements and subtracts the
even double-precision floating-point values in the first source operand, performs rounding and stores the resulting
two or four packed double-precision floating-point values to the destination operand (first source operand).
VEX.128 encoded version: The destination operand (also first source operand) is a XMM register and encoded in
reg_field. The second source operand is a XMM register and encoded in VEX.vvvv. The third source operand is a
XMM register or a 128-bit memory location and encoded in rm_field. The upper 128 bits of the YMM destination
register are zeroed.
VEX.256 encoded version: The destination operand (also first source operand) is a YMM register and encoded in
reg_field. The second source operand is a YMM register and encoded in VEX.vvvv. The third source operand is a
YMM register or a 256-bit memory location and encoded in rm_field.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.DDS.128.66.0F38.W1 96 /r A V/V FMA Multiply packed double-precision floating-point
values fromxmm0 and xmm2/mem, add/subtract
elements in xmm1 and put result in xmm0.
VFMADDSUB132PD xmm0, xmm1, xmm2/m128
VEX.DDS.128.66.0F38.W1 A6 /r A V/V FMA Multiply packed double-precision floating-point
values fromxmm0 and xmm1, add/subtract ele-
ments in xmm2/mem and put result in xmm0.
VFMADDSUB213PD xmm0, xmm1, xmm2/m128
VEX.DDS.128.66.0F38.W1 B6 /r A V/V FMA Multiply packed double-precision floating-point
values fromxmm1 and xmm2/mem, add/subtract
elements in xmm0 and put result in xmm0.
VFMADDSUB231PD xmm0, xmm1, xmm2/m128
VEX.DDS.256.66.0F38.W1 96 /r A V/V FMA Multiply packed double-precision floating-point
values fromymm0 and ymm2/mem, add/subtract
elements in ymm1 and put result in ymm0.
VFMADDSUB132PD ymm0, ymm1, ymm2/m256
VEX.DDS.256.66.0F38.W1 A6 /r A V/V FMA Multiply packed double-precision floating-point
values fromymm0 and ymm1, add/subtract ele-
ments in ymm2/mem and put result in ymm0.
VFMADDSUB213PD ymm0, ymm1, ymm2/m256
VEX.DDS.256.66.0F38.W1 B6 /r A V/V FMA Multiply packed double-precision floating-point
values fromymm1 and ymm2/mem, add/subtract
elements in ymm0 and put result in ymm0.
VFMADDSUB231PD ymm0, ymm1, ymm2/m256
Op/En Operand 1 Operand 2 Operand 3 Operand 4
A ModRM:reg (r, w) VEX.vvvv (r) ModRM:r/m (r) NA
VFMADDSUB132PD/VFMADDSUB213PD/VFMADDSUB231PD Fused Multiply-Alternating Add/Subtract of Packed Double-Precision
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-447
Compiler tools may optionally support a complementary mnemonic for each instruction mnemonic listed in the
opcode/instruction column of the summary table. The behavior of the complementary mnemonic in situations
involving NANs are governed by the definition of the instruction mnemonic defined in the opcode/instruction
column. See also Section 2.3.1, FMA Instruction Operand Order and Arithmetic Behavior.
O p e ra t io n
In the operations below, "+", "-", and "*" symbols represent addition, subtraction, and multiplication operations
with infinite precision inputs and outputs (no rounding).
VF MAD D S U B 1 3 2 P D D E S T , S R C 2 , S R C 3
IF (VEX.128) THEN
DEST[63:0] RoundFPControl_MXCSR(DEST[63:0]*SRC3[63:0] - SRC2[63:0])
DEST[127:64] RoundFPControl_MXCSR(DEST[127:64]*SRC3[127:64] +SRC2[127:64])
DEST[VLMAX-1:128] 0
ELSEIF (VEX.256)
DEST[63:0] RoundFPControl_MXCSR(DEST[63:0]*SRC3[63:0] - SRC2[63:0])
DEST[127:64] RoundFPControl_MXCSR(DEST[127:64]*SRC3[127:64] +SRC2[127:64])
DEST[191:128] RoundFPControl_MXCSR(DEST[191:128]*SRC3[191:128] - SRC2[191:128])
DEST[255:192] RoundFPControl_MXCSR(DEST[255:192]*SRC3[255:192] +SRC2[255:192]
FI
VF MAD D S U B 2 1 3 P D D E S T , S R C 2 , S R C 3
IF (VEX.128) THEN
DEST[63:0] RoundFPControl_MXCSR(SRC2[63:0]*DEST[63:0] - SRC3[63:0])
DEST[127:64] RoundFPControl_MXCSR(SRC2[127:64]*DEST[127:64] +SRC3[127:64])
DEST[VLMAX-1:128] 0
ELSEIF (VEX.256)
DEST[63:0] RoundFPControl_MXCSR(SRC2[63:0]*DEST[63:0] - SRC3[63:0])
DEST[127:64] RoundFPControl_MXCSR(SRC2[127:64]*DEST[127:64] +SRC3[127:64])
DEST[191:128] RoundFPControl_MXCSR(SRC2[191:128]*DEST[191:128] - SRC3[191:128])
DEST[255:192] RoundFPControl_MXCSR(SRC2[255:192]*DEST[255:192] +SRC3[255:192]
FI
VF MAD D S U B 2 3 1 P D D E S T , S R C 2 , S R C 3
IF (VEX.128) THEN
DEST[63:0] RoundFPControl_MXCSR(SRC2[63:0]*SRC3[63:0] - DEST[63:0])
DEST[127:64] RoundFPControl_MXCSR(SRC2[127:64]*SRC3[127:64] +DEST[127:64])
DEST[VLMAX-1:128] 0
ELSEIF (VEX.256)
DEST[63:0] RoundFPControl_MXCSR(SRC2[63:0]*SRC3[63:0] - DEST[63:0])
DEST[127:64] RoundFPControl_MXCSR(SRC2[127:64]*SRC3[127:64] +DEST[127:64])
DEST[191:128] RoundFPControl_MXCSR(SRC2[191:128]*SRC3[191:128] - DEST[191:128])
DEST[255:192] RoundFPControl_MXCSR(SRC2[255:192]*SRC3[255:192] +DEST[255:192]
FI
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VFMADDSUB132PD: __m128d _mm_fmaddsub_pd (__m128d a, __m128d b, __m128d c);
VFMADDSUB213PD: __m128d _mm_fmaddsub_pd (__m128d a, __m128d b, __m128d c);
VFMADDSUB231PD: __m128d _mm_fmaddsub_pd (__m128d a, __m128d b, __m128d c);
VFMADDSUB132PD: __m256d _mm256_fmaddsub_pd (__m256d a, __m256d b, __m256d c);
VFMADDSUB213PD: __m256d _mm256_fmaddsub_pd (__m256d a, __m256d b, __m256d c);
VFMADDSUB132PD/VFMADDSUB213PD/VFMADDSUB231PD Fused Multiply-Alternating Add/Subtract of Packed Double-Precision
INSTRUCTION SET REFERENCE, N-Z
4-448 Vol. 2B
VFMADDSUB231PD: __m256d _mm256_fmaddsub_pd (__m256d a, __m256d b, __m256d c);
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal
O t h e r E x ce p t io n s
See Exceptions Type 2
VFMADDSUB132PS/VFMADDSUB213PS/VFMADDSUB231PS Fused Multiply-Alternating Add/Subtract of Packed Single-Precision
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-449
VF MAD D S U B 1 3 2 P S /VF MAD D S U B 2 1 3 P S /VF MAD D S U B 2 3 1 P S F u se d Mu lt ip ly -Alt e rn a t in g
Ad d /S u b t ra ct o f P a cke d S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
VFMADDSUB132PS: Multiplies the four or eight packed single-precision floating-point values from the first source
operand to the four or eight packed single-precision floating-point values in the third source operand. From the infi-
nite precision intermediate result, adds the odd single-precision floating-point elements and subtracts the even
single-precision floating-point values in the second source operand, performs rounding and stores the resulting
four or eight packed single-precision floating-point values to the destination operand (first source operand).
VFMADDSUB213PS: Multiplies the four or eight packed single-precision floating-point values from the second
source operand to the four or eight packed single-precision floating-point values in the first source operand. From
the infinite precision intermediate result, adds the odd single-precision floating-point elements and subtracts the
even single-precision floating-point values in the third source operand, performs rounding and stores the resulting
four or eight packed single-precision floating-point values to the destination operand (first source operand).
VFMADDSUB231PS: Multiplies the four or eight packed single-precision floating-point values from the second
source operand to the four or eight packed single-precision floating-point values in the third source operand. From
the infinite precision intermediate result, adds the odd single-precision floating-point elements and subtracts the
even single-precision floating-point values in the first source operand, performs rounding and stores the resulting
four or eight packed single-precision floating-point values to the destination operand (first source operand).
VEX.128 encoded version: The destination operand (also first source operand) is a XMM register and encoded in
reg_field. The second source operand is a XMM register and encoded in VEX.vvvv. The third source operand is a
XMM register or a 128-bit memory location and encoded in rm_field. The upper 128 bits of the YMM destination
register are zeroed.
VEX.256 encoded version: The destination operand (also first source operand) is a YMM register and encoded in
reg_field. The second source operand is a YMM register and encoded in VEX.vvvv. The third source operand is a
YMM register or a 256-bit memory location and encoded in rm_field.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.DDS.128.66.0F38.W0 96 /r A V/V FMA Multiply packed single-precision floating-point val-
ues from xmm0 and xmm2/mem, add/subtract ele-
ments in xmm1 and put result in xmm0.
VFMADDSUB132PS xmm0, xmm1, xmm2/m128
VEX.DDS.128.66.0F38.W0 A6 /r A V/V FMA Multiply packed single-precision floating-point val-
ues from xmm0 and xmm1, add/subtract elements
in xmm2/mem and put result in xmm0.
VFMADDSUB213PS xmm0, xmm1, xmm2/m128
VEX.DDS.128.66.0F38.W0 B6 /r A V/V FMA Multiply packed single-precision floating-point val-
ues fromxmm1 and xmm2/mem, add/subtract ele-
ments in xmm0 and put result in xmm0.
VFMADDSUB231PS xmm0, xmm1, xmm2/m128
VEX.DDS.256.66.0F38.W0 96 /r A V/V FMA Multiply packed single-precision floating-point val-
ues from ymm0 and ymm2/mem, add/subtract ele-
ments in ymm1 and put result in ymm0.
VFMADDSUB132PS ymm0, ymm1, ymm2/m256
VEX.DDS.256.66.0F38.W0 A6 /r A V/V FMA Multiply packed single-precision floating-point val-
ues from ymm0 and ymm1, add/subtract elements
in ymm2/mem and put result in ymm0.
VFMADDSUB213PS ymm0, ymm1, ymm2/m256
VEX.DDS.256.66.0F38.W0 B6 /r A V/V FMA Multiply packed single-precision floating-point val-
ues from ymm1 and ymm2/mem, add/subtract ele-
ments in ymm0 and put result in ymm0.
VFMADDSUB231PS ymm0, ymm1, ymm2/m256
Op/En Operand 1 Operand 2 Operand 3 Operand 4
A ModRM:reg (r, w) VEX.vvvv (r) ModRM:r/m (r) NA
VFMADDSUB132PS/VFMADDSUB213PS/VFMADDSUB231PS Fused Multiply-Alternating Add/Subtract of Packed Single-Precision
INSTRUCTION SET REFERENCE, N-Z
4-450 Vol. 2B
Compiler tools may optionally support a complementary mnemonic for each instruction mnemonic listed in the
opcode/instruction column of the summary table. The behavior of the complementary mnemonic in situations
involving NANs are governed by the definition of the instruction mnemonic defined in the opcode/instruction
column. See also Section 2.3.1, FMA Instruction Operand Order and Arithmetic Behavior.
O p e ra t io n
In the operations below, "+", "-", and "*" symbols represent addition, subtraction, and multiplication operations
with infinite precision inputs and outputs (no rounding).
VF MAD D S U B 1 3 2 P S D E S T , S R C 2 , S R C 3
IF (VEX.128) THEN
MAXVL =2
ELSEIF (VEX.256)
MAXVL =4
FI
For i =0 to MAXVL -1{
n =64*i;
DEST[n+31:n] RoundFPControl_MXCSR(DEST[n+31:n]*SRC3[n+31:n] - SRC2[n+31:n])
DEST[n+63:n+32] RoundFPControl_MXCSR(DEST[n+63:n+32]*SRC3[n+63:n+32] +SRC2[n+63:n+32])
}
IF (VEX.128) THEN
DEST[VLMAX-1:128] 0
FI
VF MAD D S U B 2 1 3 P S D E S T , S R C 2 , S R C 3
IF (VEX.128) THEN
MAXVL =2
ELSEIF (VEX.256)
MAXVL =4
FI
For i =0 to MAXVL -1{
n =64*i;
DEST[n+31:n] RoundFPControl_MXCSR(SRC2[n+31:n]*DEST[n+31:n] - SRC3[n+31:n])
DEST[n+63:n+32] RoundFPControl_MXCSR(SRC2[n+63:n+32]*DEST[n+63:n+32] +SRC3[n+63:n+32])
}
IF (VEX.128) THEN
DEST[VLMAX-1:128] 0
FI
VF MAD D S U B 2 3 1 P S D E S T , S R C 2 , S R C 3
IF (VEX.128) THEN
MAXVL =2
ELSEIF (VEX.256)
MAXVL =4
FI
For i =0 to MAXVL -1{
n =64*i;
DEST[n+31:n] RoundFPControl_MXCSR(SRC2[n+31:n]*SRC3[n+31:n] - DEST[n+31:n])
DEST[n+63:n+32] RoundFPControl_MXCSR(SRC2[n+63:n+32]*SRC3[n+63:n+32] +DEST[n+63:n+32])
}
IF (VEX.128) THEN
DEST[VLMAX-1:128] 0
FI
VFMADDSUB132PS/VFMADDSUB213PS/VFMADDSUB231PS Fused Multiply-Alternating Add/Subtract of Packed Single-Precision
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-451
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VFMADDSUB132PS: __m128 _mm_fmaddsub_ps (__m128 a, __m128 b, __m128 c);
VFMADDSUB213PS: __m128 _mm_fmaddsub_ps (__m128 a, __m128 b, __m128 c);
VFMADDSUB231PS: __m128 _mm_fmaddsub_ps (__m128 a, __m128 b, __m128 c);
VFMADDSUB132PS: __m256 _mm256_fmaddsub_ps (__m256 a, __m256 b, __m256 c);
VFMADDSUB213PS: __m256 _mm256_fmaddsub_ps (__m256 a, __m256 b, __m256 c);
VFMADDSUB231PS: __m256 _mm256_fmaddsub_ps (__m256 a, __m256 b, __m256 c);
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal
O t h e r E x ce p t io n s
See Exceptions Type 2
VFMSUBADD132PD/VFMSUBADD213PD/VFMSUBADD231PD Fused Multiply-Alternating Subtract/Add of Packed Double-Precision
INSTRUCTION SET REFERENCE, N-Z
4-452 Vol. 2B
VF MS U B AD D 1 3 2 P D /VF MS U B AD D 2 1 3 P D /VF MS U B AD D 2 3 1 P D F u se d Mu lt ip ly -Alt e rn a t in g
S u b t ra ct /Ad d o f P a cke d D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
VFMSUBADD132PD: Multiplies the two or four packed double-precision floating-point values from the first source
operand to the two or four packed double-precision floating-point values in the third source operand. From the infi-
nite precision intermediate result, subtracts the odd double-precision floating-point elements and adds the even
double-precision floating-point values in the second source operand, performs rounding and stores the resulting
two or four packed double-precision floating-point values to the destination operand (first source operand).
VFMSUBADD213PD: Multiplies the two or four packed double-precision floating-point values from the second
source operand to the two or four packed double-precision floating-point values in the first source operand. From
the infinite precision intermediate result, subtracts the odd double-precision floating-point elements and adds the
even double-precision floating-point values in the third source operand, performs rounding and stores the resulting
two or four packed double-precision floating-point values to the destination operand (first source operand).
VFMSUBADD231PD: Multiplies the two or four packed double-precision floating-point values from the second
source operand to the two or four packed double-precision floating-point values in the third source operand. From
the infinite precision intermediate result, subtracts the odd double-precision floating-point elements and adds the
even double-precision floating-point values in the first source operand, performs rounding and stores the resulting
two or four packed double-precision floating-point values to the destination operand (first source operand).
VEX.128 encoded version: The destination operand (also first source operand) is a XMM register and encoded in
reg_field. The second source operand is a XMM register and encoded in VEX.vvvv. The third source operand is a
XMM register or a 128-bit memory location and encoded in rm_field. The upper 128 bits of the YMM destination
register are zeroed.
VEX.256 encoded version: The destination operand (also first source operand) is a YMM register and encoded in
reg_field. The second source operand is a YMM register and encoded in VEX.vvvv. The third source operand is a
YMM register or a 256-bit memory location and encoded in rm_field.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.DDS.128.66.0F38.W1 97 /r A V/V FMA Multiply packed double-precision floating-point
values from xmm0 and xmm2/mem, subtract/add
elements in xmm1 and put result in xmm0.
VFMSUBADD132PD xmm0, xmm1, xmm2/m128
VEX.DDS.128.66.0F38.W1 A7 /r A V/V FMA Multiply packed double-precision floating-point
values from xmm0 and xmm1, subtract/add ele-
ments in xmm2/mem and put result in xmm0.
VFMSUBADD213PD xmm0, xmm1, xmm2/m128
VEX.DDS.128.66.0F38.W1 B7 /r A V/V FMA Multiply packed double-precision floating-point
values from xmm1 and xmm2/mem, subtract/add
elements in xmm0 and put result in xmm0.
VFMSUBADD231PD xmm0, xmm1, xmm2/m128
VEX.DDS.256.66.0F38.W1 97 /r A V/V FMA Multiply packed double-precision floating-point
values from ymm0 and ymm2/mem, subtract/add
elements in ymm1 and put result in ymm0.
VFMSUBADD132PD ymm0, ymm1, ymm2/m256
VEX.DDS.256.66.0F38.W1 A7 /r A V/V FMA Multiply packed double-precision floating-point
values from ymm0 and ymm1, subtract/add ele-
ments in ymm2/mem and put result in ymm0.
VFMSUBADD213PD ymm0, ymm1, ymm2/m256
VEX.DDS.256.66.0F38.W1 B7 /r A V/V FMA Multiply packed double-precision floating-point
values from ymm1 and ymm2/mem, subtract/add
elements in ymm0 and put result in ymm0.
VFMSUBADD231PD ymm0, ymm1, ymm2/m256
Op/En Operand 1 Operand 2 Operand 3 Operand 4
A ModRM:reg (r, w) VEX.vvvv (r) ModRM:r/m (r) NA
VFMSUBADD132PD/VFMSUBADD213PD/VFMSUBADD231PD Fused Multiply-Alternating Subtract/Add of Packed Double-Precision
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-453
Compiler tools may optionally support a complementary mnemonic for each instruction mnemonic listed in the
opcode/instruction column of the summary table. The behavior of the complementary mnemonic in situations
involving NANs are governed by the definition of the instruction mnemonic defined in the opcode/instruction
column. See also Section 2.3.1, FMA Instruction Operand Order and Arithmetic Behavior.
O p e ra t io n
In the operations below, "+", "-", and "*" symbols represent addition, subtraction, and multiplication operations
with infinite precision inputs and outputs (no rounding).
VF MS U B AD D 1 3 2 P D D E S T , S R C 2 , S R C 3
IF (VEX.128) THEN
DEST[63:0] RoundFPControl_MXCSR(DEST[63:0]*SRC3[63:0] +SRC2[63:0])
DEST[127:64] RoundFPControl_MXCSR(DEST[127:64]*SRC3[127:64] - SRC2[127:64])
DEST[VLMAX-1:128] 0
ELSEIF (VEX.256)
DEST[63:0] RoundFPControl_MXCSR(DEST[63:0]*SRC3[63:0] +SRC2[63:0])
DEST[127:64] RoundFPControl_MXCSR(DEST[127:64]*SRC3[127:64] - SRC2[127:64])
DEST[191:128] RoundFPControl_MXCSR(DEST[191:128]*SRC3[191:128] +SRC2[191:128])
DEST[255:192] RoundFPControl_MXCSR(DEST[255:192]*SRC3[255:192] - SRC2[255:192]
FI
VF MS U B AD D 2 1 3 P D D E S T , S R C 2 , S R C 3
IF (VEX.128) THEN
DEST[63:0] RoundFPControl_MXCSR(SRC2[63:0]*DEST[63:0] +SRC3[63:0])
DEST[127:64] RoundFPControl_MXCSR(SRC2[127:64]*DEST[127:64] - SRC3[127:64])
DEST[VLMAX-1:128] 0
ELSEIF (VEX.256)
DEST[63:0] RoundFPControl_MXCSR(SRC2[63:0]*DEST[63:0] +SRC3[63:0])
DEST[127:64] RoundFPControl_MXCSR(SRC2[127:64]*DEST[127:64] - SRC3[127:64])
DEST[191:128] RoundFPControl_MXCSR(SRC2[191:128]*DEST[191:128] +SRC3[191:128])
DEST[255:192] RoundFPControl_MXCSR(SRC2[255:192]*DEST[255:192] - SRC3[255:192]
FI
VF MS U B AD D 2 3 1 P D D E S T , S R C 2 , S R C 3
IF (VEX.128) THEN
DEST[63:0] RoundFPControl_MXCSR(SRC2[63:0]*SRC3[63:0] +DEST[63:0])
DEST[127:64] RoundFPControl_MXCSR(SRC2[127:64]*SRC3[127:64] - DEST[127:64])
DEST[VLMAX-1:128] 0
ELSEIF (VEX.256)
DEST[63:0] RoundFPControl_MXCSR(SRC2[63:0]*SRC3[63:0] +DEST[63:0])
DEST[127:64] RoundFPControl_MXCSR(SRC2[127:64]*SRC3[127:64] - DEST[127:64])
DEST[191:128] RoundFPControl_MXCSR(SRC2[191:128]*SRC3[191:128] +DEST[191:128])
DEST[255:192] RoundFPControl_MXCSR(SRC2[255:192]*SRC3[255:192] - DEST[255:192]
FI
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VFMSUBADD132PD: __m128d _mm_fmsubadd_pd (__m128d a, __m128d b, __m128d c);
VFMSUBADD213PD: __m128d _mm_fmsubadd_pd (__m128d a, __m128d b, __m128d c);
VFMSUBADD231PD: __m128d _mm_fmsubadd_pd (__m128d a, __m128d b, __m128d c);
VFMSUBADD132PD: __m256d _mm256_fmsubadd_pd (__m256d a, __m256d b, __m256d c);
VFMSUBADD213PD: __m256d _mm256_fmsubadd_pd (__m256d a, __m256d b, __m256d c);
VFMSUBADD132PD/VFMSUBADD213PD/VFMSUBADD231PD Fused Multiply-Alternating Subtract/Add of Packed Double-Precision
INSTRUCTION SET REFERENCE, N-Z
4-454 Vol. 2B
VFMSUBADD231PD: __m256d _mm256_fmsubadd_pd (__m256d a, __m256d b, __m256d c);
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal
O t h e r E x ce p t io n s
See Exceptions Type 2
VFMSUBADD132PS/VFMSUBADD213PS/VFMSUBADD231PS Fused Multiply-Alternating Subtract/Add of Packed Single-Precision
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-455
VF MS U B AD D 1 3 2 P S /VF MS U B AD D 2 1 3 P S /VF MS U B AD D 2 3 1 P S F u se d Mu lt ip ly -Alt e rn a t in g
S u b t ra ct /Ad d o f P a cke d S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
VFMSUBADD132PS: Multiplies the four or eight packed single-precision floating-point values from the first source
operand to the four or eight packed single-precision floating-point values in the third source operand. From the infi-
nite precision intermediate result, subtracts the odd single-precision floating-point elements and adds the even
single-precision floating-point values in the second source operand, performs rounding and stores the resulting
four or eight packed single-precision floating-point values to the destination operand (first source operand).
VFMSUBADD213PS: Multiplies the four or eight packed single-precision floating-point values from the second
source operand to the four or eight packed single-precision floating-point values in the first source operand. From
the infinite precision intermediate result, subtracts the odd single-precision floating-point elements and adds the
even single-precision floating-point values in the third source operand, performs rounding and stores the resulting
four or eight packed single-precision floating-point values to the destination operand (first source operand).
VFMSUBADD231PS: Multiplies the four or eight packed single-precision floating-point values from the second
source operand to the four or eight packed single-precision floating-point values in the third source operand. From
the infinite precision intermediate result, subtracts the odd single-precision floating-point elements and adds the
even single-precision floating-point values in the first source operand, performs rounding and stores the resulting
four or eight packed single-precision floating-point values to the destination operand (first source operand).
VEX.128 encoded version: The destination operand (also first source operand) is a XMM register and encoded in
reg_field. The second source operand is a XMM register and encoded in VEX.vvvv. The third source operand is a
XMM register or a 128-bit memory location and encoded in rm_field. The upper 128 bits of the YMM destination
register are zeroed.
VEX.256 encoded version: The destination operand (also first source operand) is a YMM register and encoded in
reg_field. The second source operand is a YMM register and encoded in VEX.vvvv. The third source operand is a
YMM register or a 256-bit memory location and encoded in rm_field.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.DDS.128.66.0F38.W0 97 /r A V/V FMA Multiply packed single-precision floating-point
values from xmm0 and xmm2/mem, subtract/add
elements in xmm1 and put result in xmm0.
VFMSUBADD132PS xmm0, xmm1, xmm2/m128
VEX.DDS.128.66.0F38.W0 A7 /r A V/V FMA Multiply packed single-precision floating-point
values from xmm0 and xmm1, subtract/add ele-
ments in xmm2/mem and put result in xmm0.
VFMSUBADD213PS xmm0, xmm1, xmm2/m128
VEX.DDS.128.66.0F38.W0 B7 /r A V/V FMA Multiply packed single-precision floating-point
values from xmm1 and xmm2/mem, sub-
tract/add elements in xmm0 and put result in
xmm0.
VFMSUBADD231PS xmm0, xmm1, xmm2/m128
VEX.DDS.256.66.0F38.W0 97 /r A V/V FMA Multiply packed single-precision floating-point
values from ymm0 and ymm2/mem, subtract/add
elements in ymm1 and put result in ymm0.
VFMSUBADD132PS ymm0, ymm1, ymm2/m256
VEX.DDS.256.66.0F38.W0 A7 /r A V/V FMA Multiply packed single-precision floating-point
values from ymm0 and ymm1, subtract/add ele-
ments in ymm2/mem and put result in ymm0.
VFMSUBADD213PS ymm0, ymm1, ymm2/m256
VEX.DDS.256.66.0F38.W0 B7 /r A V/V FMA Multiply packed single-precision floating-point
values from ymm1 and ymm2/mem, subtract/add
elements in ymm0 and put result in ymm0.
VFMSUBADD231PS ymm0, ymm1, ymm2/m256
Op/En Operand 1 Operand 2 Operand 3 Operand 4
A ModRM:reg (r, w) VEX.vvvv (r) ModRM:r/m (r) NA
VFMSUBADD132PS/VFMSUBADD213PS/VFMSUBADD231PS Fused Multiply-Alternating Subtract/Add of Packed Single-Precision
INSTRUCTION SET REFERENCE, N-Z
4-456 Vol. 2B
Compiler tools may optionally support a complementary mnemonic for each instruction mnemonic listed in the
opcode/instruction column of the summary table. The behavior of the complementary mnemonic in situations
involving NANs are governed by the definition of the instruction mnemonic defined in the opcode/instruction
column. See also Section 2.3.1, FMA Instruction Operand Order and Arithmetic Behavior.
O p e ra t io n
In the operations below, "+", "-", and "*" symbols represent addition, subtraction, and multiplication operations
with infinite precision inputs and outputs (no rounding).
VF MS U B AD D 1 3 2 P S D E S T , S R C 2 , S R C 3
IF (VEX.128) THEN
MAXVL =2
ELSEIF (VEX.256)
MAXVL =4
FI
For i =0 to MAXVL -1{
n =64*i;
DEST[n+31:n] RoundFPControl_MXCSR(DEST[n+31:n]*SRC3[n+31:n] +SRC2[n+31:n])
DEST[n+63:n+32] RoundFPControl_MXCSR(DEST[n+63:n+32]*SRC3[n+63:n+32] -SRC2[n+63:n+32])
}
IF (VEX.128) THEN
DEST[VLMAX-1:128] 0
FI
VF MS U B AD D 2 1 3 P S D E S T , S R C 2 , S R C 3
IF (VEX.128) THEN
MAXVL =2
ELSEIF (VEX.256)
MAXVL =4
FI
For i =0 to MAXVL -1{
n =64*i;
DEST[n+31:n] RoundFPControl_MXCSR(SRC2[n+31:n]*DEST[n+31:n] +SRC3[n+31:n])
DEST[n+63:n+32] RoundFPControl_MXCSR(SRC2[n+63:n+32]*DEST[n+63:n+32] -SRC3[n+63:n+32])
}
IF (VEX.128) THEN
DEST[VLMAX-1:128] 0
FI
VF MS U B AD D 2 3 1 P S D E S T , S R C 2 , S R C 3
IF (VEX.128) THEN
MAXVL =2
ELSEIF (VEX.256)
MAXVL =4
FI
For i =0 to MAXVL -1{
n =64*i;
DEST[n+31:n] RoundFPControl_MXCSR(SRC2[n+31:n]*SRC3[n+31:n] +DEST[n+31:n])
DEST[n+63:n+32] RoundFPControl_MXCSR(SRC2[n+63:n+32]*SRC3[n+63:n+32] -DEST[n+63:n+32])
}
IF (VEX.128) THEN
DEST[VLMAX-1:128] 0
FI
VFMSUBADD132PS/VFMSUBADD213PS/VFMSUBADD231PS Fused Multiply-Alternating Subtract/Add of Packed Single-Precision
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-457
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VFMSUBADD132PS: __m128 _mm_fmsubadd_ps (__m128 a, __m128 b, __m128 c);
VFMSUBADD213PS: __m128 _mm_fmsubadd_ps (__m128 a, __m128 b, __m128 c);
VFMSUBADD231PS: __m128 _mm_fmsubadd_ps (__m128 a, __m128 b, __m128 c);
VFMSUBADD132PS: __m256 _mm256_fmsubadd_ps (__m256 a, __m256 b, __m256 c);
VFMSUBADD213PS: __m256 _mm256_fmsubadd_ps (__m256 a, __m256 b, __m256 c);
VFMSUBADD231PS: __m256 _mm256_fmsubadd_ps (__m256 a, __m256 b, __m256 c);
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal
O t h e r E x ce p t io n s
See Exceptions Type 2
VFMSUB132PD/VFMSUB213PD/VFMSUB231PD Fused Multiply-Subtract of Packed Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-458 Vol. 2B
VF MS U B 1 3 2 P D /VF MS U B 2 1 3 P D /VF MS U B 2 3 1 P D F u se d Mu lt ip ly -S u b t ra ct o f P a cke d D o u b le -
P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a set of SIMD multiply-subtract computation on packed double-precision floating-point values using three
source operands and writes the multiply-subtract results in the destination operand. The destination operand is
also the first source operand. The second operand must be a SIMD register. The third source operand can be a
SIMD register or a memory location.
VFMSUB132PD: Multiplies the two or four packed double-precision floating-point values from the first source
operand to the two or four packed double-precision floating-point values in the third source operand. From the infi-
nite precision intermediate result, subtracts the two or four packed double-precision floating-point values in the
second source operand, performs rounding and stores the resulting two or four packed double-precision floating-
point values to the destination operand (first source operand).
VFMSUB213PD: Multiplies the two or four packed double-precision floating-point values from the second source
operand to the two or four packed double-precision floating-point values in the first source operand. From the infi-
nite precision intermediate result, subtracts the two or four packed double-precision floating-point values in the
third source operand, performs rounding and stores the resulting two or four packed double-precision floating-
point values to the destination operand (first source operand).
VFMSUB231PD: Multiplies the two or four packed double-precision floating-point values from the second source to
the two or four packed double-precision floating-point values in the third source operand. From the infinite preci-
sion intermediate result, subtracts the two or four packed double-precision floating-point values in the first source
operand, performs rounding and stores the resulting two or four packed double-precision floating-point values to
the destination operand (first source operand).
VEX.128 encoded version: The destination operand (also first source operand) is a XMM register and encoded in
reg_field. The second source operand is a XMM register and encoded in VEX.vvvv. The third source operand is a
XMM register or a 128-bit memory location and encoded in rm_field. The upper 128 bits of the YMM destination
register are zeroed.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.DDS.128.66.0F38.W1 9A /r A V/V FMA Multiply packed double-precision floating-point val-
ues from xmm0 and xmm2/mem, subtract xmm1
and put result in xmm0.
VFMSUB132PD xmm0, xmm1, xmm2/m128
VEX.DDS.128.66.0F38.W1 AA /r A V/V FMA Multiply packed double-precision floating-point val-
ues from xmm0 and xmm1, subtract xmm2/mem
and put result in xmm0.
VFMSUB213PD xmm0, xmm1, xmm2/m128
VEX.DDS.128.66.0F38.W1 BA /r A V/V FMA Multiply packed double-precision floating-point val-
ues from xmm1 and xmm2/mem, subtract xmm0
and put result in xmm0.
VFMSUB231PD xmm0, xmm1, xmm2/m128
VEX.DDS.256.66.0F38.W1 9A /r A V/V FMA Multiply packed double-precision floating-point val-
ues from ymm0 and ymm2/mem, subtract ymm1
and put result in ymm0.
VFMSUB132PD ymm0, ymm1, ymm2/m256
VEX.DDS.256.66.0F38.W1 AA /r A V/V FMA Multiply packed double-precision floating-point val-
ues from ymm0 and ymm1, subtract ymm2/mem
and put result in ymm0.
VFMSUB213PD ymm0, ymm1, ymm2/m256
VEX.DDS.256.66.0F38.W1 BA /r A V/V FMA Multiply packed double-precision floating-point val-
ues from ymm1 and ymm2/mem, subtract ymm0
and put result in ymm0.
VFMSUB231PD ymm0, ymm1, ymm2/m256
Op/En Operand 1 Operand 2 Operand 3 Operand 4
A ModRM:reg (r, w) VEX.vvvv (r) ModRM:r/m(r) NA
VFMSUB132PD/VFMSUB213PD/VFMSUB231PD Fused Multiply-Subtract of Packed Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-459
VEX.256 encoded version: The destination operand (also first source operand) is a YMM register and encoded in
reg_field. The second source operand is a YMM register and encoded in VEX.vvvv. The third source operand is a
YMM register or a 256-bit memory location and encoded in rm_field.
Compiler tools may optionally support a complementary mnemonic for each instruction mnemonic listed in the
opcode/instruction column of the summary table. The behavior of the complementary mnemonic in situations
involving NANs are governed by the definition of the instruction mnemonic defined in the opcode/instruction
column. See also Section 2.3.1, FMA Instruction Operand Order and Arithmetic Behavior.
O p e ra t io n
In the operations below, "+", "-", and "*" symbols represent addition, subtraction, and multiplication operations
with infinite precision inputs and outputs (no rounding).
VF MS U B 1 3 2 P D D E S T , S R C 2 , S R C 3
IF (VEX.128) THEN
MAXVL =2
ELSEIF (VEX.256)
MAXVL =4
FI
For i =0 to MAXVL-1 {
n =64*i;
DEST[n+63:n] RoundFPControl_MXCSR(DEST[n+63:n]*SRC3[n+63:n] - SRC2[n+63:n])
}
IF (VEX.128) THEN
DEST[VLMAX-1:128] 0
FI
VF MS U B 2 1 3 P D D E S T , S R C 2 , S R C 3
IF (VEX.128) THEN
MAXVL =2
ELSEIF (VEX.256)
MAXVL =4
FI
For i =0 to MAXVL-1 {
n =64*i;
DEST[n+63:n] RoundFPControl_MXCSR(SRC2[n+63:n]*DEST[n+63:n] - SRC3[n+63:n])
}
IF (VEX.128) THEN
DEST[VLMAX-1:128] 0
FI
VF MS U B 2 3 1 P D D E S T , S R C 2 , S R C 3
IF (VEX.128) THEN
MAXVL =2
ELSEIF (VEX.256)
MAXVL =4
FI
For i =0 to MAXVL-1 {
n =64*i;
DEST[n+63:n] RoundFPControl_MXCSR(SRC2[n+63:n]*SRC3[n+63:n] - DEST[n+63:n])
}
IF (VEX.128) THEN
DEST[VLMAX-1:128] 0
FI
VFMSUB132PD/VFMSUB213PD/VFMSUB231PD Fused Multiply-Subtract of Packed Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-460 Vol. 2B
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VFMSUB132PD: __m128d _mm_fmsub_pd (__m128d a, __m128d b, __m128d c);
VFMSUB213PD: __m128d _mm_fmsub_pd (__m128d a, __m128d b, __m128d c);
VFMSUB231PD: __m128d _mm_fmsub_pd (__m128d a, __m128d b, __m128d c);
VFMSUB132PD: __m256d _mm256_fmsub_pd (__m256d a, __m256d b, __m256d c);
VFMSUB213PD: __m256d _mm256_fmsub_pd (__m256d a, __m256d b, __m256d c);
VFMSUB231PD: __m256d _mm256_fmsub_pd (__m256d a, __m256d b, __m256d c);
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal
O t h e r E x ce p t io n s
See Exceptions Type 2
VFMSUB132PS/VFMSUB213PS/VFMSUB231PS Fused Multiply-Subtract of Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-461
VF MS U B 1 3 2 P S /VF MS U B 2 1 3 P S /VF MS U B 2 3 1 P S F u se d Mu lt ip ly -S u b t ra ct o f P a cke d S in g le -
P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a set of SIMD multiply-subtract computation on packed single-precision floating-point values using three
source operands and writes the multiply-subtract results in the destination operand. The destination operand is
also the first source operand. The second operand must be a SIMD register. The third source operand can be a
SIMD register or a memory location.
VFMSUB132PS: Multiplies the four or eight packed single-precision floating-point values from the first source
operand to the four or eight packed single-precision floating-point values in the third source operand. From the infi-
nite precision intermediate result, subtracts the four or eight packed single-precision floating-point values in the
second source operand, performs rounding and stores the resulting four or eight packed single-precision floating-
point values to the destination operand (first source operand).
VFMSUB213PS: Multiplies the four or eight packed single-precision floating-point values from the second source
operand to the four or eight packed single-precision floating-point values in the first source operand. From the infi-
nite precision intermediate result, subtracts the four or eight packed single-precision floating-point values in the
third source operand, performs rounding and stores the resulting four or eight packed single-precision floating-
point values to the destination operand (first source operand).
VFMSUB231PS: Multiplies the four or eight packed single-precision floating-point values from the second source to
the four or eight packed single-precision floating-point values in the third source operand. From the infinite preci-
sion intermediate result, subtracts the four or eight packed single-precision floating-point values in the first source
operand, performs rounding and stores the resulting four or eight packed single-precision floating-point values to
the destination operand (first source operand).
VEX.128 encoded version: The destination operand (also first source operand) is a XMM register and encoded in
reg_field. The second source operand is a XMM register and encoded in VEX.vvvv. The third source operand is a
XMM register or a 128-bit memory location and encoded in rm_field. The upper 128 bits of the YMM destination
register are zeroed.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.DDS.128.66.0F38.W0 9A /r A V/V FMA Multiply packed single-precision floating-point values
from xmm0 and xmm2/mem, subtract xmm1 and put
result in xmm0.
VFMSUB132PS xmm0, xmm1, xmm2/m128
VEX.DDS.128.66.0F38.W0 AA /r A V/V FMA Multiply packed single-precision floating-point values
from xmm0 and xmm1, subtract xmm2/mem and put
result in xmm0.
VFMSUB213PS xmm0, xmm1, xmm2/m128
VEX.DDS.128.66.0F38.W0 BA /r A V/V FMA Multiply packed single-precision floating-point values
from xmm1 and xmm2/mem, subtract xmm0 and put
result in xmm0.
VFMSUB231PS xmm0, xmm1, xmm2/m128
VEX.DDS.256.66.0F38.W0 9A /r A V/V FMA Multiply packed single-precision floating-point values
from ymm0 and ymm2/mem, subtract ymm1 and put
result in ymm0.
VFMSUB132PS ymm0, ymm1, ymm2/m256
VEX.DDS.256.66.0F38.W0 AA /r A V/V FMA Multiply packed single-precision floating-point values
from ymm0 and ymm1, subtract ymm2/mem and put
result in ymm0.
VFMSUB213PS ymm0, ymm1, ymm2/m256
VEX.DDS.256.66.0F38.0 BA /r A V/V FMA Multiply packed single-precision floating-point values
from ymm1 and ymm2/mem, subtract ymm0 and put
result in ymm0.
VFMSUB231PS ymm0, ymm1, ymm2/m256
Op/En Operand 1 Operand 2 Operand 3 Operand 4
A ModRM:reg (r, w) VEX.vvvv (r) ModRM:r/m (r) NA
VFMSUB132PS/VFMSUB213PS/VFMSUB231PS Fused Multiply-Subtract of Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-462 Vol. 2B
VEX.256 encoded version: The destination operand (also first source operand) is a YMM register and encoded in
reg_field. The second source operand is a YMM register and encoded in VEX.vvvv. The third source operand is a
YMM register or a 256-bit memory location and encoded in rm_field.
Compiler tools may optionally support a complementary mnemonic for each instruction mnemonic listed in the
opcode/instruction column of the summary table. The behavior of the complementary mnemonic in situations
involving NANs are governed by the definition of the instruction mnemonic defined in the opcode/instruction
column. See also Section 2.3.1, FMA Instruction Operand Order and Arithmetic Behavior.
O p e ra t io n
In the operations below, "+", "-", and "*" symbols represent addition, subtraction, and multiplication operations
with infinite precision inputs and outputs (no rounding).
VF MS U B 1 3 2 P S D E S T , S R C 2 , S R C 3
IF (VEX.128) THEN
MAXVL =4
ELSEIF (VEX.256)
MAXVL =8
FI
For i =0 to MAXVL-1 {
n =32*i;
DEST[n+31:n] RoundFPControl_MXCSR(DEST[n+31:n]*SRC3[n+31:n] - SRC2[n+31:n])
}
IF (VEX.128) THEN
DEST[VLMAX-1:128] 0
FI
VF MS U B 2 1 3 P S D E S T , S R C 2 , S R C 3
IF (VEX.128) THEN
MAXVL =4
ELSEIF (VEX.256)
MAXVL =8
FI
For i =0 to MAXVL-1 {
n =32*i;
DEST[n+31:n] RoundFPControl_MXCSR(SRC2[n+31:n]*DEST[n+31:n] - SRC3[n+31:n])
}
IF (VEX.128) THEN
DEST[VLMAX-1:128] 0
FI
VF MS U B 2 3 1 P S D E S T , S R C 2 , S R C 3
IF (VEX.128) THEN
MAXVL =4
ELSEIF (VEX.256)
MAXVL =8
FI
For i =0 to MAXVL-1 {
n =32*i;
DEST[n+31:n] RoundFPControl_MXCSR(SRC2[n+31:n]*SRC3[n+31:n] - DEST[n+31:n])
}
IF (VEX.128) THEN
DEST[VLMAX-1:128] 0
FI
VFMSUB132PS/VFMSUB213PS/VFMSUB231PS Fused Multiply-Subtract of Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-463
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VFMSUB132PS: __m128 _mm_fmsub_ps (__m128 a, __m128 b, __m128 c);
VFMSUB213PS: __m128 _mm_fmsub_ps (__m128 a, __m128 b, __m128 c);
VFMSUB231PS: __m128 _mm_fmsub_ps (__m128 a, __m128 b, __m128 c);
VFMSUB132PS: __m256 _mm256_fmsub_ps (__m256 a, __m256 b, __m256 c);
VFMSUB213PS: __m256 _mm256_fmsub_ps (__m256 a, __m256 b, __m256 c);
VFMSUB231PS: __m256 _mm256_fmsub_ps (__m256 a, __m256 b, __m256 c);
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal
O t h e r E x ce p t io n s
See Exceptions Type 2
VFMSUB132SD/VFMSUB213SD/VFMSUB231SD Fused Multiply-Subtract of Scalar Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-464 Vol. 2B
VF MS U B 1 3 2 S D /VF MS U B 2 1 3 S D /VF MS U B 2 3 1 S D F u se d Mu lt ip ly -S u b t ra ct o f S ca la r D o u b le -
P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a SIMD multiply-subtract computation on the low packed double-precision floating-point values using
three source operands and writes the multiply-add result in the destination operand. The destination operand is
also the first source operand. The second operand must be a SIMD register. The third source operand can be a
SIMD register or a memory location.
VFMSUB132SD: Multiplies the low packed double-precision floating-point value from the first source operand to the
low packed double-precision floating-point value in the third source operand. From the infinite precision interme-
diate result, subtracts the low packed double-precision floating-point values in the second source operand,
performs rounding and stores the resulting packed double-precision floating-point value to the destination operand
(first source operand).
VFMSUB213SD: Multiplies the low packed double-precision floating-point value from the second source operand to
the low packed double-precision floating-point value in the first source operand. From the infinite precision inter-
mediate result, subtracts the low packed double-precision floating-point value in the third source operand,
performs rounding and stores the resulting packed double-precision floating-point value to the destination operand
(first source operand).
VFMSUB231SD: Multiplies the low packed double-precision floating-point value from the second source to the low
packed double-precision floating-point value in the third source operand. From the infinite precision intermediate
result, subtracts the low packed double-precision floating-point value in the first source operand, performs
rounding and stores the resulting packed double-precision floating-point value to the destination operand (first
source operand).
VEX.128 encoded version: The destination operand (also first source operand) is a XMM register and encoded in
reg_field. The second source operand is a XMM register and encoded in VEX.vvvv. The third source operand is a
XMM register or a 64-bit memory location and encoded in rm_field. The upper bits ([VLMAX-1:128]) of the YMM
destination register are zeroed.
Compiler tools may optionally support a complementary mnemonic for each instruction mnemonic listed in the
opcode/instruction column of the summary table. The behavior of the complementary mnemonic in situations
involving NANs are governed by the definition of the instruction mnemonic defined in the opcode/instruction
column. See also Section 2.3.1, FMA Instruction Operand Order and Arithmetic Behavior.
O p e ra t io n
In the operations below, "+", "-", and "*" symbols represent addition, subtraction, and multiplication operations
with infinite precision inputs and outputs (no rounding).
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.DDS.LIG.128.66.0F38.W1 9B /r A V/V FMA Multiply scalar double-precision floating-point value
from xmm0 and xmm2/mem, subtract xmm1 and put
result in xmm0.
VFMSUB132SD xmm0, xmm1, xmm2/m64
VEX.DDS.LIG.128.66.0F38.W1 AB /r A V/V FMA Multiply scalar double-precision floating-point value
from xmm0 and xmm1, subtract xmm2/mem and put
result in xmm0.
VFMSUB213SD xmm0, xmm1, xmm2/m64
VEX.DDS.LIG.128.66.0F38.W1 BB /r A V/V FMA Multiply scalar double-precision floating-point value
from xmm1 and xmm2/mem, subtract xmm0 and put
result in xmm0.
VFMSUB231SD xmm0, xmm1, xmm2/m64
Op/En Operand 1 Operand 2 Operand 3 Operand 4
A ModRM:reg (r, w) VEX.vvvv (r) ModRM:r/m (r) NA
VFMSUB132SD/VFMSUB213SD/VFMSUB231SD Fused Multiply-Subtract of Scalar Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-465
VF MS U B 1 3 2 S D D E S T , S R C 2 , S R C 3
DEST[63:0] RoundFPControl_MXCSR(DEST[63:0]*SRC3[63:0] - SRC2[63:0])
DEST[127:64] DEST[127:64]
DEST[VLMAX-1:128] 0
VF MS U B 2 1 3 S D D E S T , S R C 2 , S R C 3
DEST[63:0] RoundFPControl_MXCSR(SRC2[63:0]*DEST[63:0] - SRC3[63:0])
DEST[127:64] DEST[127:64]
DEST[VLMAX-1:128] 0
VF MS U B 2 3 1 S D D E S T , S R C 2 , S R C 3
DEST[63:0] RoundFPControl_MXCSR(SRC2[63:0]*SRC3[63:0] - DEST[63:0])
DEST[127:64] DEST[127:64]
DEST[VLMAX-1:128] 0
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VFMSUB132SD: __m128d _mm_fmsub_sd (__m128d a, __m128d b, __m128d c);
VFMSUB213SD: __m128d _mm_fmsub_sd (__m128d a, __m128d b, __m128d c);
VFMSUB231SD: __m128d _mm_fmsub_sd (__m128d a, __m128d b, __m128d c);
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal
O t h e r E x ce p t io n s
See Exceptions Type 3
VFMSUB132SS/VFMSUB213SS/VFMSUB231SS Fused Multiply-Subtract of Scalar Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-466 Vol. 2B
VF MS U B 1 3 2 S S /VF MS U B 2 1 3 S S /VF MS U B 2 3 1 S S F u se d Mu lt ip ly -S u b t ra ct o f S ca la r S in g le -
P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a SIMD multiply-subtract computation on the low packed single-precision floating-point values using
three source operands and writes the multiply-add result in the destination operand. The destination operand is
also the first source operand. The second operand must be a SIMD register. The third source operand can be a
SIMD register or a memory location.
VFMSUB132SS: Multiplies the low packed single-precision floating-point value from the first source operand to the
low packed single-precision floating-point value in the third source operand. From the infinite precision interme-
diate result, subtracts the low packed single-precision floating-point values in the second source operand, performs
rounding and stores the resulting packed single-precision floating-point value to the destination operand (first
source operand).
VFMSUB213SS: Multiplies the low packed single-precision floating-point value from the second source operand to
the low packed single-precision floating-point value in the first source operand. From the infinite precision interme-
diate result, subtracts the low packed single-precision floating-point value in the third source operand, performs
rounding and stores the resulting packed single-precision floating-point value to the destination operand (first
source operand).
VFMSUB231SS: Multiplies the low packed single-precision floating-point value from the second source to the low
packed single-precision floating-point value in the third source operand. From the infinite precision intermediate
result, subtracts the low packed single-precision floating-point value in the first source operand, performs rounding
and stores the resulting packed single-precision floating-point value to the destination operand (first source
operand).
VEX.128 encoded version: The destination operand (also first source operand) is a XMM register and encoded in
reg_field. The second source operand is a XMM register and encoded in VEX.vvvv. The third source operand is a
XMM register or a 32-bit memory location and encoded in rm_field. The upper bits ([VLMAX-1:128]) of the YMM
destination register are zeroed.
Compiler tools may optionally support a complementary mnemonic for each instruction mnemonic listed in the
opcode/instruction column of the summary table. The behavior of the complementary mnemonic in situations
involving NANs are governed by the definition of the instruction mnemonic defined in the opcode/instruction
column. See also Section 2.3.1, FMA Instruction Operand Order and Arithmetic Behavior.
O p e ra t io n
In the operations below, "+", "-", and "*" symbols represent addition, subtraction, and multiplication operations
with infinite precision inputs and outputs (no rounding).
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.DDS.LIG.128.66.0F38.W0 9B /r A V/V FMA Multiply scalar single-precision floating-point value
from xmm0 and xmm2/mem, subtract xmm1 and put
result in xmm0.
VFMSUB132SS xmm0, xmm1, xmm2/m32
VEX.DDS.LIG.128.66.0F38.W0 AB /r A V/V FMA Multiply scalar single-precision floating-point value
from xmm0 and xmm1, subtract xmm2/mem and put
result in xmm0.
VFMSUB213SS xmm0, xmm1, xmm2/m32
VEX.DDS.LIG.128.66.0F38.W0 BB /r A V/V FMA Multiply scalar single-precision floating-point value
from xmm1 and xmm2/mem, subtract xmm0 and put
result in xmm0.
VFMSUB231SS xmm0, xmm1, xmm2/m32
Op/En Operand 1 Operand 2 Operand 3 Operand 4
A ModRM:reg (r, w) VEX.vvvv (r) ModRM:r/m (r) NA
VFMSUB132SS/VFMSUB213SS/VFMSUB231SS Fused Multiply-Subtract of Scalar Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-467
VF MS U B 1 3 2 S S D E S T , S R C 2 , S R C 3
DEST[31:0] RoundFPControl_MXCSR(DEST[31:0]*SRC3[31:0] - SRC2[31:0])
DEST[127:32] DEST[127:32]
DEST[VLMAX-1:128] 0
VF MS U B 2 1 3 S S D E S T , S R C 2 , S R C 3
DEST[31:0] RoundFPControl_MXCSR(SRC2[31:0]*DEST[31:0] - SRC3[31:0])
DEST[127:32] DEST[127:32]
DEST[VLMAX-1:128] 0
VF MS U B 2 3 1 S S D E S T , S R C 2 , S R C 3
DEST[31:0] RoundFPControl_MXCSR(SRC2[31:0]*SRC3[63:0] - DEST[31:0])
DEST[127:32] DEST[127:32]
DEST[VLMAX-1:128] 0
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VFMSUB132SS: __m128 _mm_fmsub_ss (__m128 a, __m128 b, __m128 c);
VFMSUB213SS: __m128 _mm_fmsub_ss (__m128 a, __m128 b, __m128 c);
VFMSUB231SS: __m128 _mm_fmsub_ss (__m128 a, __m128 b, __m128 c);
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal
O t h e r E x ce p t io n s
See Exceptions Type 3
VFNMADD132PD/VFNMADD213PD/VFNMADD231PD Fused Negative Multiply-Add of Packed Double-Precision Floating-Point Val-
INSTRUCTION SET REFERENCE, N-Z
4-468 Vol. 2B
VF N MAD D 1 3 2 P D /VF N MAD D 2 1 3 P D /VF N MAD D 2 3 1 P D F u se d N e g a t iv e Mu lt ip ly -Ad d o f P a cke d
D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
VFNMADD132PD: Multiplies the two or four packed double-precision floating-point values from the first source
operand to the two or four packed double-precision floating-point values in the third source operand, adds the
negated infinite precision intermediate result to the two or four packed double-precision floating-point values in the
second source operand, performs rounding and stores the resulting two or four packed double-precision floating-
point values to the destination operand (first source operand).
VFNMADD213PD: Multiplies the two or four packed double-precision floating-point values from the second source
operand to the two or four packed double-precision floating-point values in the first source operand, adds the
negated infinite precision intermediate result to the two or four packed double-precision floating-point values in the
third source operand, performs rounding and stores the resulting two or four packed double-precision floating-
point values to the destination operand (first source operand).
VFNMADD231PD: Multiplies the two or four packed double-precision floating-point values from the second source
to the two or four packed double-precision floating-point values in the third source operand, adds the negated infi-
nite precision intermediate result to the two or four packed double-precision floating-point values in the first source
operand, performs rounding and stores the resulting two or four packed double-precision floating-point values to
the destination operand (first source operand).
VEX.128 encoded version: The destination operand (also first source operand) is a XMM register and encoded in
reg_field. The second source operand is a XMM register and encoded in VEX.vvvv. The third source operand is a
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.DDS.128.66.0F38.W1 9C /r A V/V FMA Multiply packed double-precision floating-point val-
ues from xmm0 and xmm2/mem, negate the multi-
plication result and add to xmm1 and put result in
xmm0.
VFNMADD132PD xmm0, xmm1, xmm2/m128
VEX.DDS.128.66.0F38.W1 AC /r A V/V FMA Multiply packed double-precision floating-point val-
ues fromxmm0 and xmm1, negate the multiplica-
tion result and add to xmm2/mem and put result in
xmm0.
VFNMADD213PD xmm0, xmm1, xmm2/m128
VEX.DDS.128.66.0F38.W1 BC /r A V/V FMA Multiply packed double-precision floating-point val-
ues from xmm1 and xmm2/mem, negate the multi-
plication result andadd to xmm0 and put result in
xmm0.
VFNMADD231PD xmm0, xmm1, xmm2/m128
VEX.DDS.256.66.0F38.W1 9C /r A V/V FMA Multiply packed double-precision floating-point val-
ues fromymm0 and ymm2/mem, negate the multi-
plication result and add to ymm1 and put result in
ymm0.
VFNMADD132PD ymm0, ymm1, ymm2/m256
VEX.DDS.256.66.0F38.W1 AC /r A V/V FMA Multiply packed double-precision floating-point val-
ues fromymm0 and ymm1, negate the multiplica-
tion result andadd to ymm2/mem and put result in
ymm0.
VFNMADD213PD ymm0, ymm1, ymm2/m256
VEX.DDS.256.66.0F38.W1 BC /r A V/V FMA Multiply packed double-precision floating-point val-
ues from ymm1 and ymm2/mem, negate the multi-
plication result and add to ymm0 and put result in
ymm0.
VFNMADD231PD ymm0, ymm1, ymm2/m256
Op/En Operand 1 Operand 2 Operand 3 Operand 4
A ModRM:reg (r, w) VEX.vvvv (r) ModRM:r/m (r) NA
VFNMADD132PD/VFNMADD213PD/VFNMADD231PD Fused Negative Multiply-Add of Packed Double-Precision Floating-Point Val-
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-469
XMM register or a 128-bit memory location and encoded in rm_field. The upper 128 bits of the YMM destination
register are zeroed.
VEX.256 encoded version: The destination operand (also first source operand) is a YMM register and encoded in
reg_field. The second source operand is a YMM register and encoded in VEX.vvvv. The third source operand is a
YMM register or a 256-bit memory location and encoded in rm_field.
Compiler tools may optionally support a complementary mnemonic for each instruction mnemonic listed in the
opcode/instruction column of the summary table. The behavior of the complementary mnemonic in situations
involving NANs are governed by the definition of the instruction mnemonic defined in the opcode/instruction
column. See also Section 2.3.1, FMA Instruction Operand Order and Arithmetic Behavior.
O p e ra t io n
In the operations below, "+", "-", and "*" symbols represent addition, subtraction, and multiplication operations
with infinite precision inputs and outputs (no rounding).
VF N MAD D 1 3 2 P D D E S T , S R C 2 , S R C 3
IF (VEX.128) THEN
MAXVL =2
ELSEIF (VEX.256)
MAXVL =4
FI
For i =0 to MAXVL-1 {
n =64*i;
DEST[n+63:n] RoundFPControl_MXCSR(-(DEST[n+63:n]*SRC3[n+63:n]) +SRC2[n+63:n])
}
IF (VEX.128) THEN
DEST[VLMAX-1:128] 0
FI
VF N MAD D 2 1 3 P D D E S T , S R C 2 , S R C 3
IF (VEX.128) THEN
MAXVL =2
ELSEIF (VEX.256)
MAXVL =4
FI
For i =0 to MAXVL-1 {
n =64*i;
DEST[n+63:n] RoundFPControl_MXCSR(-(SRC2[n+63:n]*DEST[n+63:n]) +SRC3[n+63:n])
}
IF (VEX.128) THEN
DEST[VLMAX-1:128] 0
FI
VF N MAD D 2 3 1 P D D E S T , S R C 2 , S R C 3
IF (VEX.128) THEN
MAXVL =2
ELSEIF (VEX.256)
MAXVL =4
FI
For i =0 to MAXVL-1 {
n =64*i;
DEST[n+63:n] RoundFPControl_MXCSR(-(SRC2[n+63:n]*SRC3[n+63:n]) +DEST[n+63:n])
}
IF (VEX.128) THEN
VFNMADD132PD/VFNMADD213PD/VFNMADD231PD Fused Negative Multiply-Add of Packed Double-Precision Floating-Point Val-
INSTRUCTION SET REFERENCE, N-Z
4-470 Vol. 2B
DEST[VLMAX-1:128] 0
FI
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VFNMADD132PD: __m128d _mm_fnmadd_pd (__m128d a, __m128d b, __m128d c);
VFNMADD213PD: __m128d _mm_fnmadd_pd (__m128d a, __m128d b, __m128d c);
VFNMADD231PD: __m128d _mm_fnmadd_pd (__m128d a, __m128d b, __m128d c);
VFNMADD132PD: __m256d _mm256_fnmadd_pd (__m256d a, __m256d b, __m256d c);
VFNMADD213PD: __m256d _mm256_fnmadd_pd (__m256d a, __m256d b, __m256d c);
VFNMADD231PD: __m256d _mm256_fnmadd_pd (__m256d a, __m256d b, __m256d c);
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal
O t h e r E x ce p t io n s
See Exceptions Type 2
VFNMADD132PS/VFNMADD213PS/VFNMADD231PS Fused Negative Multiply-Add of Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-471
VF N MAD D 1 3 2 P S /VF N MAD D 2 1 3 P S /VF N MAD D 2 3 1 P S F u se d N e g a t iv e Mu lt ip ly -Ad d o f P a cke d
S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
VFNMADD132PS: Multiplies the four or eight packed single-precision floating-point values from the first source
operand to the four or eight packed single-precision floating-point values in the third source operand, adds the
negated infinite precision intermediate result to the four or eight packed single-precision floating-point values in
the second source operand, performs rounding and stores the resulting four or eight packed single-precision
floating-point values to the destination operand (first source operand).
VFNMADD213PS: Multiplies the four or eight packed single-precision floating-point values from the second source
operand to the four or eight packed single-precision floating-point values in the first source operand, adds the
negated infinite precision intermediate result to the four or eight packed single-precision floating-point values in
the third source operand, performs rounding and stores the resulting the four or eight packed single-precision
floating-point values to the destination operand (first source operand).
VFNMADD231PS: Multiplies the four or eight packed single-precision floating-point values from the second source
operand to the four or eight packed single-precision floating-point values in the third source operand, adds the
negated infinite precision intermediate result to the four or eight packed single-precision floating-point values in
the first source operand, performs rounding and stores the resulting four or eight packed single-precision floating-
point values to the destination operand (first source operand).
VEX.256 encoded version: The destination operand (also first source operand) is a YMM register and encoded in
reg_field. The second source operand is a YMM register and encoded in VEX.vvvv. The third source operand is a
YMM register or a 256-bit memory location and encoded in rm_field.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.DDS.128.66.0F38.W0 9C /r A V/V FMA Multiply packed single-precision floating-point val-
ues from xmm0 and xmm2/mem, negate the multi-
plication result andadd to xmm1 and put result in
xmm0.
VFNMADD132PS xmm0, xmm1, xmm2/m128
VEX.DDS.128.66.0F38.W0 AC /r A V/V FMA Multiply packed single-precision floating-point val-
ues from xmm0 and xmm1, negate the multiplica-
tion result and add to xmm2/mem and put result in
xmm0.
VFNMADD213PS xmm0, xmm1, xmm2/m128
VEX.DDS.128.66.0F38.W0 BC /r A V/V FMA Multiply packed single-precision floating-point val-
ues from xmm1 and xmm2/mem, negate the multi-
plication result andadd to xmm0 and put result in
xmm0.
VFNMADD231PS xmm0, xmm1, xmm2/m128
VEX.DDS.256.66.0F38.W0 9C /r A V/V FMA Multiply packed single-precision floating-point val-
ues from ymm0 and ymm2/mem, negate the multi-
plication result andadd to ymm1 and put result in
ymm0.
VFNMADD132PS ymm0, ymm1, ymm2/m256
VEX.DDS.256.66.0F38.W0 AC /r A V/V FMA Multiply packed single-precision floating-point val-
ues from ymm0 and ymm1, negate the multiplica-
tion result and add to ymm2/mem and put result in
ymm0.
VFNMADD213PS ymm0, ymm1, ymm2/m256
VEX.DDS.256.66.0F38.0 BC /r A V/V FMA Multiply packed single-precision floating-point val-
ues from ymm1 and ymm2/mem, negate the multi-
plication result and add to ymm0 and put result in
ymm0.
VFNMADD231PS ymm0, ymm1, ymm2/m256
Op/En Operand 1 Operand 2 Operand 3 Operand 4
A ModRM:reg (r, w) VEX.vvvv (r) ModRM:r/m (r) NA
VFNMADD132PS/VFNMADD213PS/VFNMADD231PS Fused Negative Multiply-Add of Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-472 Vol. 2B
VEX.128 encoded version: The destination operand (also first source operand) is a XMM register and encoded in
reg_field. The second source operand is a XMM register and encoded in VEX.vvvv. The third source operand is a
XMM register or a 128-bit memory location and encoded in rm_field. The upper 128 bits of the YMM destination
register are zeroed.
Compiler tools may optionally support a complementary mnemonic for each instruction mnemonic listed in the
opcode/instruction column of the summary table. The behavior of the complementary mnemonic in situations
involving NANs are governed by the definition of the instruction mnemonic defined in the opcode/instruction
column. See also Section 2.3.1, FMA Instruction Operand Order and Arithmetic Behavior.
O p e ra t io n
In the operations below, "+", "-", and "*" symbols represent addition, subtraction, and multiplication operations
with infinite precision inputs and outputs (no rounding).
VF N MAD D 1 3 2 P S D E S T , S R C 2 , S R C 3
IF (VEX.128) THEN
MAXVL =4
ELSEIF (VEX.256)
MAXVL =8
FI
For i =0 to MAXVL-1 {
n =32*i;
DEST[n+31:n] RoundFPControl_MXCSR(- (DEST[n+31:n]*SRC3[n+31:n]) +SRC2[n+31:n])
}
IF (VEX.128) THEN
DEST[VLMAX-1:128] 0
FI
VF N MAD D 2 1 3 P S D E S T , S R C 2 , S R C 3
IF (VEX.128) THEN
MAXVL =4
ELSEIF (VEX.256)
MAXVL =8
FI
For i =0 to MAXVL-1 {
n =32*i;
DEST[n+31:n] RoundFPControl_MXCSR(- (SRC2[n+31:n]*DEST[n+31:n]) +SRC3[n+31:n])
}
IF (VEX.128) THEN
DEST[VLMAX-1:128] 0
FI
VF N MAD D 2 3 1 P S D E S T , S R C 2 , S R C 3
IF (VEX.128) THEN
MAXVL =4
ELSEIF (VEX.256)
MAXVL =8
FI
For i =0 to MAXVL-1 {
n =32*i;
DEST[n+31:n] RoundFPControl_MXCSR(- (SRC2[n+31:n]*SRC3[n+31:n]) +DEST[n+31:n])
}
IF (VEX.128) THEN
DEST[VLMAX-1:128] 0
FI
VFNMADD132PS/VFNMADD213PS/VFNMADD231PS Fused Negative Multiply-Add of Packed Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-473
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VFNMADD132PS: __m128 _mm_fnmadd_ps (__m128 a, __m128 b, __m128 c);
VFNMADD213PS: __m128 _mm_fnmadd_ps (__m128 a, __m128 b, __m128 c);
VFNMADD231PS: __m128 _mm_fnmadd_ps (__m128 a, __m128 b, __m128 c);
VFNMADD132PS: __m256 _mm256_fnmadd_ps (__m256 a, __m256 b, __m256 c);
VFNMADD213PS: __m256 _mm256_fnmadd_ps (__m256 a, __m256 b, __m256 c);
VFNMADD231PS: __m256 _mm256_fnmadd_ps (__m256 a, __m256 b, __m256 c);
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal
O t h e r E x ce p t io n s
See Exceptions Type 2
VFNMADD132SD/VFNMADD213SD/VFNMADD231SD Fused Negative Multiply-Add of Scalar Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-474 Vol. 2B
VF N MAD D 1 3 2 S D /VF N MAD D 2 1 3 S D /VF N MAD D 2 3 1 S D F u se d N e g a t iv e Mu lt ip ly -Ad d o f S ca la r
D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
VFNMADD132SD: Multiplies the low packed double-precision floating-point value from the first source operand to
the low packed double-precision floating-point value in the third source operand, adds the negated infinite preci-
sion intermediate result to the low packed double-precision floating-point values in the second source operand,
performs rounding and stores the resulting packed double-precision floating-point value to the destination operand
(first source operand).
VFNMADD213SD: Multiplies the low packed double-precision floating-point value from the second source operand
to the low packed double-precision floating-point value in the first source operand, adds the negated infinite preci-
sion intermediate result to the low packed double-precision floating-point value in the third source operand,
performs rounding and stores the resulting packed double-precision floating-point value to the destination operand
(first source operand).
VFNMADD231SD: Multiplies the low packed double-precision floating-point value from the second source to the low
packed double-precision floating-point value in the third source operand, adds the negated infinite precision inter-
mediate result to the low packed double-precision floating-point value in the first source operand, performs
rounding and stores the resulting packed double-precision floating-point value to the destination operand (first
source operand).
VEX.128 encoded version: The destination operand (also first source operand) is a XMM register and encoded in
reg_field. The second source operand is a XMM register and encoded in VEX.vvvv. The third source operand is a
XMM register or a 64-bit memory location and encoded in rm_field. The upper bits ([VLMAX-1:128]) of the YMM
destination register are zeroed.
Compiler tools may optionally support a complementary mnemonic for each instruction mnemonic listed in the
opcode/instruction column of the summary table. The behavior of the complementary mnemonic in situations
involving NANs are governed by the definition of the instruction mnemonic defined in the opcode/instruction
column. See also Section 2.3.1, FMA Instruction Operand Order and Arithmetic Behavior.
O p e ra t io n
In the operations below, "+", "-", and "*" symbols represent addition, subtraction, and multiplication operations
with infinite precision inputs and outputs (no rounding).
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.DDS.LIG.128.66.0F38.W1 9D /r A V/V FMA Multiply scalar double-precision floating-point value
from xmm0 and xmm2/mem, negate the multiplica-
tion result and add to xmm1 and put result in xmm0.
VFNMADD132SD xmm0, xmm1, xmm2/m64
VEX.DDS.LIG.128.66.0F38.W1 AD /r A V/V FMA Multiply scalar double-precision floating-point value
from xmm0 and xmm1, negate the multiplication
result and add to xmm2/mem and put result in
xmm0.
VFNMADD213SD xmm0, xmm1, xmm2/m64
VEX.DDS.LIG.128.66.0F38.W1 BD /r A V/V FMA Multiply scalar double-precision floating-point value
from xmm1 and xmm2/mem, negate the multiplica-
tion result and add to xmm0 and put result in xmm0.
VFNMADD231SD xmm0, xmm1, xmm2/m64
Op/En Operand 1 Operand 2 Operand 3 Operand 4
A ModRM:reg (r, w) VEX.vvvv (r) ModRM:r/m (r) NA
VFNMADD132SD/VFNMADD213SD/VFNMADD231SD Fused Negative Multiply-Add of Scalar Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-475
VF N MAD D 1 3 2 S D D E S T , S R C 2 , S R C 3
DEST[63:0] RoundFPControl_MXCSR(- (DEST[63:0]*SRC3[63:0]) +SRC2[63:0])
DEST[127:64] DEST[127:64]
DEST[VLMAX-1:128] 0
VF N MAD D 2 1 3 S D D E S T , S R C 2 , S R C 3
DEST[63:0] RoundFPControl_MXCSR(- (SRC2[63:0]*DEST[63:0]) +SRC3[63:0])
DEST[127:64] DEST[127:64]
DEST[VLMAX-1:128] 0
VF N MAD D 2 3 1 S D D E S T , S R C 2 , S R C 3
DEST[63:0] RoundFPControl_MXCSR(- (SRC2[63:0]*SRC3[63:0]) +DEST[63:0])
DEST[127:64] DEST[127:64]
DEST[VLMAX-1:128] 0
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VFNMADD132SD: __m128d _mm_fnmadd_sd (__m128d a, __m128d b, __m128d c);
VFNMADD213SD: __m128d _mm_fnmadd_sd (__m128d a, __m128d b, __m128d c);
VFNMADD231SD: __m128d _mm_fnmadd_sd (__m128d a, __m128d b, __m128d c);
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal
O t h e r E x ce p t io n s
See Exceptions Type 3
VFNMADD132SS/VFNMADD213SS/VFNMADD231SS Fused Negative Multiply-Add of Scalar Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-476 Vol. 2B
VF N MAD D 1 3 2 S S /VF N MAD D 2 1 3 S S /VF N MAD D 2 3 1 S S Fu se d N e g a t iv e Mu lt ip ly -Ad d o f S ca la r
S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
VFNMADD132SS: Multiplies the low packed single-precision floating-point value from the first source operand to
the low packed single-precision floating-point value in the third source operand, adds the negated infinite precision
intermediate result to the low packed single-precision floating-point value in the second source operand, performs
rounding and stores the resulting packed single-precision floating-point value to the destination operand (first
source operand).
VFNMADD213SS: Multiplies the low packed single-precision floating-point value from the second source operand to
the low packed single-precision floating-point value in the first source operand, adds the negated infinite precision
intermediate result to the low packed single-precision floating-point value in the third source operand, performs
rounding and stores the resulting packed single-precision floating-point value to the destination operand (first
source operand).
VFNMADD231SS: Multiplies the low packed single-precision floating-point value from the second source operand to
the low packed single-precision floating-point value in the third source operand, adds the negated infinite precision
intermediate result to the low packed single-precision floating-point value in the first source operand, performs
rounding and stores the resulting packed single-precision floating-point value to the destination operand (first
source operand).
VEX.128 encoded version: The destination operand (also first source operand) is a XMM register and encoded in
reg_field. The second source operand is a XMM register and encoded in VEX.vvvv. The third source operand is a
XMM register or a 32-bit memory location and encoded in rm_field. The upper bits ([VLMAX-1:128]) of the YMM
destination register are zeroed.
Compiler tools may optionally support a complementary mnemonic for each instruction mnemonic listed in the
opcode/instruction column of the summary table. The behavior of the complementary mnemonic in situations
involving NANs are governed by the definition of the instruction mnemonic defined in the opcode/instruction
column. See also Section 2.3.1, FMA Instruction Operand Order and Arithmetic Behavior.
O p e ra t io n
In the operations below, "+", "-", and "*" symbols represent addition, subtraction, and multiplication operations
with infinite precision inputs and outputs (no rounding).
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.DDS.LIG.128.66.0F38.W0 9D /r A V/V FMA Multiply scalar single-precision floating-point value
from xmm0 and xmm2/mem, negate the multiplication
result andadd to xmm1 and put result in xmm0.
VFNMADD132SS xmm0, xmm1, xmm2/m32
VEX.DDS.LIG.128.66.0F38.W0 AD /r A V/V FMA Multiply scalar single-precision floating-point value
from xmm0 and xmm1, negate the multiplication
result and add to xmm2/mem and put result in xmm0.
VFNMADD213SS xmm0, xmm1, xmm2/m32
VEX.DDS.LIG.128.66.0F38.W0 BD /r A V/V FMA Multiply scalar single-precision floating-point value
from xmm1 and xmm2/mem, negate the multiplication
result and add to xmm0 and put result in xmm0.
VFNMADD231SS xmm0, xmm1, xmm2/m32
Op/En Operand 1 Operand 2 Operand 3 Operand 4
A ModRM:reg (r, w) VEX.vvvv (r) ModRM:r/m (r) NA
VFNMADD132SS/VFNMADD213SS/VFNMADD231SS Fused Negative Multiply-Add of Scalar Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-477
VF N MAD D 1 3 2 S S D E S T , S R C 2 , S R C 3
DEST[31:0] RoundFPControl_MXCSR(- (DEST[31:0]*SRC3[31:0]) +SRC2[31:0])
DEST[127:32] DEST[127:32]
DEST[VLMAX-1:128] 0
VF N MAD D 2 1 3 S S D E S T , S R C 2 , S R C 3
DEST[31:0] RoundFPControl_MXCSR(- (SRC2[31:0]*DEST[31:0]) +SRC3[31:0])
DEST[127:32] DEST[127:32]
DEST[VLMAX-1:128] 0
VF N MAD D 2 3 1 S S D E S T , S R C 2 , S R C 3
DEST[31:0] RoundFPControl_MXCSR(- (SRC2[31:0]*SRC3[63:0]) +DEST[31:0])
DEST[127:32] DEST[127:32]
DEST[VLMAX-1:128] 0
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VFNMADD132SS: __m128 _mm_fnmadd_ss (__m128 a, __m128 b, __m128 c);
VFNMADD213SS: __m128 _mm_fnmadd_ss (__m128 a, __m128 b, __m128 c);
VFNMADD231SS: __m128 _mm_fnmadd_ss (__m128 a, __m128 b, __m128 c);
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal
O t h e r E x ce p t io n s
See Exceptions Type 3
VFNMSUB132PD/VFNMSUB213PD/VFNMSUB231PD Fused Negative Multiply-Subtract of Packed Double-Precision Floating-Point
INSTRUCTION SET REFERENCE, N-Z
4-478 Vol. 2B
VF N MS U B 1 3 2 P D /VF N MS U B 2 1 3 P D /VF N MS U B 2 3 1 P D Fu se d N e g a t iv e Mu lt ip ly -S u b t ra ct o f
P a cke d D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
VFNMSUB132PD: Multiplies the two or four packed double-precision floating-point values from the first source
operand to the two or four packed double-precision floating-point values in the third source operand. From negated
infinite precision intermediate results, subtracts the two or four packed double-precision floating-point values in
the second source operand, performs rounding and stores the resulting two or four packed double-precision
floating-point values to the destination operand (first source operand).
VFMSUB213PD: Multiplies the two or four packed double-precision floating-point values from the second source
operand to the two or four packed double-precision floating-point values in the first source operand. From negated
infinite precision intermediate results, subtracts the two or four packed double-precision floating-point values in
the third source operand, performs rounding and stores the resulting two or four packed double-precision floating-
point values to the destination operand (first source operand).
VFMSUB231PD: Multiplies the two or four packed double-precision floating-point values from the second source to
the two or four packed double-precision floating-point values in the third source operand. From negated infinite
precision intermediate results, subtracts the two or four packed double-precision floating-point values in the first
source operand, performs rounding and stores the resulting two or four packed double-precision floating-point
values to the destination operand (first source operand).
VEX.128 encoded version: The destination operand (also first source operand) is a XMM register and encoded in
reg_field. The second source operand is a XMM register and encoded in VEX.vvvv. The third source operand is a
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.DDS.128.66.0F38.W1 9E /r A V/V FMA Multiply packed double-precision floating-point val-
ues from xmm0 and xmm2/mem, negate the multi-
plication result and subtract xmm1 and put result in
xmm0.
VFNMSUB132PD xmm0, xmm1, xmm2/m128
VEX.DDS.128.66.0F38.W1 AE /r A V/V FMA Multiply packed double-precision floating-point val-
ues from xmm0 and xmm1, negate the multiplica-
tion result and subtract xmm2/mem and put result
in xmm0.
VFNMSUB213PD xmm0, xmm1, xmm2/m128
VEX.DDS.128.66.0F38.W1 BE /r A V/V FMA Multiply packed double-precision floating-point val-
ues from xmm1 and xmm2/mem, negate the multi-
plication result and subtract xmm0 and put result in
xmm0.
VFNMSUB231PD xmm0, xmm1, xmm2/m128
VEX.DDS.256.66.0F38.W1 9E /r A V/V FMA Multiply packed double-precision floating-point val-
ues from ymm0 and ymm2/mem, negate the multi-
plication result and subtract ymm1 and put result in
ymm0.
VFNMSUB132PD ymm0, ymm1, ymm2/m256
VEX.DDS.256.66.0F38.W1 AE /r A V/V FMA Multiply packed double-precision floating-point val-
ues from ymm0 and ymm1, negate the multiplica-
tion result and subtract ymm2/mem and put result
in ymm0.
VFNMSUB213PD ymm0, ymm1, ymm2/m256
VEX.DDS.256.66.0F38.W1 BE /r A V/V FMA Multiply packed double-precision floating-point val-
ues from ymm1 and ymm2/mem, negate the multi-
plication result and subtract ymm0 and put result in
ymm0.
VFNMSUB231PD ymm0, ymm1, ymm2/m256
Op/En Operand 1 Operand 2 Operand 3 Operand 4
A ModRM:reg (r, w) VEX.vvvv (r) ModRM:r/m (r) NA
VFNMSUB132PD/VFNMSUB213PD/VFNMSUB231PD Fused Negative Multiply-Subtract of Packed Double-Precision Floating-Point
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-479
XMM register or a 128-bit memory location and encoded in rm_field. The upper 128 bits of the YMM destination
register are zeroed.
VEX.256 encoded version: The destination operand (also first source operand) is a YMM register and encoded in
reg_field. The second source operand is a YMM register and encoded in VEX.vvvv. The third source operand is a
YMM register or a 256-bit memory location and encoded in rm_field.
Compiler tools may optionally support a complementary mnemonic for each instruction mnemonic listed in the
opcode/instruction column of the summary table. The behavior of the complementary mnemonic in situations
involving NANs are governed by the definition of the instruction mnemonic defined in the opcode/instruction
column. See also Section 2.3.1, FMA Instruction Operand Order and Arithmetic Behavior.
O p e ra t io n
In the operations below, "+", "-", and "*" symbols represent addition, subtraction, and multiplication operations
with infinite precision inputs and outputs (no rounding).
VF N MS U B 1 3 2 P D D E S T , S R C 2 , S R C 3
IF (VEX.128) THEN
MAXVL =2
ELSEIF (VEX.256)
MAXVL =4
FI
For i =0 to MAXVL-1 {
n =64*i;
DEST[n+63:n] RoundFPControl_MXCSR( - (DEST[n+63:n]*SRC3[n+63:n]) - SRC2[n+63:n])
}
IF (VEX.128) THEN
DEST[VLMAX-1:128] 0
FI
VF N MS U B 2 1 3 P D D E S T , S R C 2 , S R C 3
IF (VEX.128) THEN
MAXVL =2
ELSEIF (VEX.256)
MAXVL =4
FI
For i =0 to MAXVL-1 {
n =64*i;
DEST[n+63:n] RoundFPControl_MXCSR( - (SRC2[n+63:n]*DEST[n+63:n]) - SRC3[n+63:n])
}
IF (VEX.128) THEN
DEST[VLMAX-1:128] 0
FI
VF N MS U B 2 3 1 P D D E S T , S R C 2 , S R C 3
IF (VEX.128) THEN
MAXVL =2
ELSEIF (VEX.256)
MAXVL =4
FI
For i =0 to MAXVL-1 {
n =64*i;
DEST[n+63:n] RoundFPControl_MXCSR( - (SRC2[n+63:n]*SRC3[n+63:n]) - DEST[n+63:n])
}
IF (VEX.128) THEN
VFNMSUB132PD/VFNMSUB213PD/VFNMSUB231PD Fused Negative Multiply-Subtract of Packed Double-Precision Floating-Point
INSTRUCTION SET REFERENCE, N-Z
4-480 Vol. 2B
DEST[VLMAX-1:128] 0
FI
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VFNMSUB132PD: __m128d _mm_fnmsub_pd (__m128d a, __m128d b, __m128d c);
VFNMSUB213PD: __m128d _mm_fnmsub_pd (__m128d a, __m128d b, __m128d c);
VFNMSUB231PD: __m128d _mm_fnmsub_pd (__m128d a, __m128d b, __m128d c);
VFNMSUB132PD: __m256d _mm256_fnmsub_pd (__m256d a, __m256d b, __m256d c);
VFNMSUB213PD: __m256d _mm256_fnmsub_pd (__m256d a, __m256d b, __m256d c);
VFNMSUB231PD: __m256d _mm256_fnmsub_pd (__m256d a, __m256d b, __m256d c);
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal
O t h e r E x ce p t io n s
See Exceptions Type 2
VFNMSUB132PS/VFNMSUB213PS/VFNMSUB231PS Fused Negative Multiply-Subtract of Packed Single-Precision Floating-Point
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-481
VF N MS U B 1 3 2 P S /VF N MS U B 2 1 3 P S /VF N MS U B 2 3 1 P S F u se d N e g a t iv e Mu lt ip ly -S u b t ra ct o f
P a cke d S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
VFNMSUB132PS: Multiplies the four or eight packed single-precision floating-point values from the first source
operand to the four or eight packed single-precision floating-point values in the third source operand. From
negated infinite precision intermediate results, subtracts the four or eight packed single-precision floating-point
values in the second source operand, performs rounding and stores the resulting four or eight packed single-preci-
sion floating-point values to the destination operand (first source operand).
VFNMSUB213PS: Multiplies the four or eight packed single-precision floating-point values from the second source
operand to the four or eight packed single-precision floating-point values in the first source operand. From negated
infinite precision intermediate results, subtracts the four or eight packed single-precision floating-point values in
the third source operand, performs rounding and stores the resulting four or eight packed single-precision floating-
point values to the destination operand (first source operand).
VFNMSUB231PS: Multiplies the four or eight packed single-precision floating-point values from the second source
to the four or eight packed single-precision floating-point values in the third source operand. From negated infinite
precision intermediate results, subtracts the four or eight packed single-precision floating-point values in the first
source operand, performs rounding and stores the resulting four or eight packed single-precision floating-point
values to the destination operand (first source operand).
VEX.128 encoded version: The destination operand (also first source operand) is a XMM register and encoded in
reg_field. The second source operand is a XMM register and encoded in VEX.vvvv. The third source operand is a
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.DDS.128.66.0F38.W0 9E /r A V/V FMA Multiply packed single-precision floating-point values
from xmm0 and xmm2/mem, negate the multiplica-
tion result and subtract xmm1 and put result in
xmm0.
VFNMSUB132PS xmm0, xmm1, xmm2/m128
VEX.DDS.128.66.0F38.W0 AE /r A V/V FMA Multiply packed single-precision floating-point values
from xmm0 and xmm1, negate the multiplication
result and subtract xmm2/mem and put result in
xmm0.
VFNMSUB213PS xmm0, xmm1, xmm2/m128
VEX.DDS.128.66.0F38.W0 BE /r A V/V FMA Multiply packed single-precision floating-point values
from xmm1 and xmm2/mem, negate the multiplica-
tion result and subtract xmm0 and put result in
xmm0.
VFNMSUB231PS xmm0, xmm1, xmm2/m128
VEX.DDS.256.66.0F38.W0 9E /r A V/V FMA Multiply packed single-precision floating-point values
from ymm0 and ymm2/mem, negate the multiplica-
tion result and subtract ymm1 and put result in
ymm0.
VFNMSUB132PS ymm0, ymm1, ymm2/m256
VEX.DDS.256.66.0F38.W0 AE /r A V/V FMA Multiply packed single-precision floating-point values
from ymm0 and ymm1, negate the multiplication
result and subtract ymm2/mem and put result in
ymm0.
VFNMSUB213PS ymm0, ymm1, ymm2/m256
VEX.DDS.256.66.0F38.0 BE /r A V/V FMA Multiply packed single-precision floating-point values
from ymm1 and ymm2/mem, negate the multiplica-
tion result and subtract ymm0 and put result in
ymm0.
VFNMSUB231PS ymm0, ymm1, ymm2/m256
Op/En Operand 1 Operand 2 Operand 3 Operand 4
A ModRM:reg (r, w) VEX.vvvv (r) ModRM:r/m (r) NA
VFNMSUB132PS/VFNMSUB213PS/VFNMSUB231PS Fused Negative Multiply-Subtract of Packed Single-Precision Floating-Point
INSTRUCTION SET REFERENCE, N-Z
4-482 Vol. 2B
XMM register or a 128-bit memory location and encoded in rm_field. The upper 128 bits of the YMM destination
register are zeroed.
VEX.256 encoded version: The destination operand (also first source operand) is a YMM register and encoded in
reg_field. The second source operand is a YMM register and encoded in VEX.vvvv. The third source operand is a
YMM register or a 256-bit memory location and encoded in rm_field.
Compiler tools may optionally support a complementary mnemonic for each instruction mnemonic listed in the
opcode/instruction column of the summary table. The behavior of the complementary mnemonic in situations
involving NANs are governed by the definition of the instruction mnemonic defined in the opcode/instruction
column. See also Section 2.3.1, FMA Instruction Operand Order and Arithmetic Behavior.
O p e ra t io n
In the operations below, "+", "-", and "*" symbols represent addition, subtraction, and multiplication operations
with infinite precision inputs and outputs (no rounding).
VF N MS U B 1 3 2 P S D E S T , S R C 2 , S R C 3
IF (VEX.128) THEN
MAXVL =4
ELSEIF (VEX.256)
MAXVL =8
FI
For i =0 to MAXVL-1 {
n =32*i;
DEST[n+31:n] RoundFPControl_MXCSR( - (DEST[n+31:n]*SRC3[n+31:n]) - SRC2[n+31:n])
}
IF (VEX.128) THEN
DEST[VLMAX-1:128] 0
FI
VF N MS U B 2 1 3 P S D E S T , S R C 2 , S R C 3
IF (VEX.128) THEN
MAXVL =4
ELSEIF (VEX.256)
MAXVL =8
FI
For i =0 to MAXVL-1 {
n =32*i;
DEST[n+31:n] RoundFPControl_MXCSR( - (SRC2[n+31:n]*DEST[n+31:n]) - SRC3[n+31:n])
}
IF (VEX.128) THEN
DEST[VLMAX-1:128] 0
FI
VF N MS U B 2 3 1 P S D E S T , S R C 2 , S R C 3
IF (VEX.128) THEN
MAXVL =4
ELSEIF (VEX.256)
MAXVL =8
FI
For i =0 to MAXVL-1 {
n =32*i;
DEST[n+31:n] RoundFPControl_MXCSR( - (SRC2[n+31:n]*SRC3[n+31:n]) - DEST[n+31:n])
}
IF (VEX.128) THEN
VFNMSUB132PS/VFNMSUB213PS/VFNMSUB231PS Fused Negative Multiply-Subtract of Packed Single-Precision Floating-Point
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-483
DEST[VLMAX-1:128] 0
FI
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VFNMSUB132PS: __m128 _mm_fnmsub_ps (__m128 a, __m128 b, __m128 c);
VFNMSUB213PS: __m128 _mm_fnmsub_ps (__m128 a, __m128 b, __m128 c);
VFNMSUB231PS: __m128 _mm_fnmsub_ps (__m128 a, __m128 b, __m128 c);
VFNMSUB132PS: __m256 _mm256_fnmsub_ps (__m256 a, __m256 b, __m256 c);
VFNMSUB213PS: __m256 _mm256_fnmsub_ps (__m256 a, __m256 b, __m256 c);
VFNMSUB231PS: __m256 _mm256_fnmsub_ps (__m256 a, __m256 b, __m256 c);
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal
O t h e r E x ce p t io n s
See Exceptions Type 2
VFNMSUB132SD/VFNMSUB213SD/VFNMSUB231SD Fused Negative Multiply-Subtract of Scalar Double-Precision Floating-Point
INSTRUCTION SET REFERENCE, N-Z
4-484 Vol. 2B
VF N MS U B 1 3 2 S D /VF N MS U B 2 1 3 S D /VF N MS U B 2 3 1 S D F u se d N e g a t iv e Mu lt ip ly -S u b t ra ct o f
S ca la r D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
VFNMSUB132SD: Multiplies the low packed double-precision floating-point value from the first source operand to
the low packed double-precision floating-point value in the third source operand. From negated infinite precision
intermediate result, subtracts the low double-precision floating-point value in the second source operand, performs
rounding and stores the resulting packed double-precision floating-point value to the destination operand (first
source operand).
VFNMSUB213SD: Multiplies the low packed double-precision floating-point value from the second source operand
to the low packed double-precision floating-point value in the first source operand. From negated infinite precision
intermediate result, subtracts the low double-precision floating-point value in the third source operand, performs
rounding and stores the resulting packed double-precision floating-point value to the destination operand (first
source operand).
VFNMSUB231SD: Multiplies the low packed double-precision floating-point value from the second source to the low
packed double-precision floating-point value in the third source operand. From negated infinite precision interme-
diate result, subtracts the low double-precision floating-point value in the first source operand, performs rounding
and stores the resulting packed double-precision floating-point value to the destination operand (first source
operand).
VEX.128 encoded version: The destination operand (also first source operand) is a XMM register and encoded in
reg_field. The second source operand is a XMM register and encoded in VEX.vvvv. The third source operand is a
XMM register or a 64-bit memory location and encoded in rm_field. The upper bits ([VLMAX-1:128]) of the YMM
destination register are zeroed.
Compiler tools may optionally support a complementary mnemonic for each instruction mnemonic listed in the
opcode/instruction column of the summary table. The behavior of the complementary mnemonic in situations
involving NANs are governed by the definition of the instruction mnemonic defined in the opcode/instruction
column. See also Section 2.3.1, FMA Instruction Operand Order and Arithmetic Behavior.
O p e ra t io n
In the operations below, "+", "-", and "*" symbols represent addition, subtraction, and multiplication operations
with infinite precision inputs and outputs (no rounding).
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -
b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.DDS.LIG.128.66.0F38.W1 9F /r A V/V FMA Multiply scalar double-precision floating-point value
from xmm0 and xmm2/mem, negate the multiplica-
tion result and subtract xmm1 and put result in
xmm0.
VFNMSUB132SD xmm0, xmm1, xmm2/m64
VEX.DDS.LIG.128.66.0F38.W1 AF /r A V/V FMA Multiply scalar double-precision floating-point value
from xmm0 and xmm1, negate the multiplication
result and subtract xmm2/mem and put result in
xmm0.
VFNMSUB213SD xmm0, xmm1, xmm2/m64
VEX.DDS.LIG.128.66.0F38.W1 BF /r A V/V FMA Multiply scalar double-precision floating-point value
from xmm1 and xmm2/mem, negate the multiplica-
tion result and subtract xmm0 and put result in
xmm0.
VFNMSUB231SD xmm0, xmm1, xmm2/m64
Op/En Operand 1 Operand 2 Operand 3 Operand 4
A ModRM:reg (r, w) VEX.vvvv (r) ModRM:r/m (r) NA
VFNMSUB132SD/VFNMSUB213SD/VFNMSUB231SD Fused Negative Multiply-Subtract of Scalar Double-Precision Floating-Point
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-485
VF N MS U B 1 3 2 S D D E S T , S R C 2 , S R C 3
DEST[63:0] RoundFPControl_MXCSR(- (DEST[63:0]*SRC3[63:0]) - SRC2[63:0])
DEST[127:64] DEST[127:64]
DEST[VLMAX-1:128] 0
VF N MS U B 2 1 3 S D D E S T , S R C 2 , S R C 3
DEST[63:0] RoundFPControl_MXCSR(- (SRC2[63:0]*DEST[63:0]) - SRC3[63:0])
DEST[127:64] DEST[127:64]
DEST[VLMAX-1:128] 0
VF N MS U B 2 3 1 S D D E S T , S R C 2 , S R C 3
DEST[63:0] RoundFPControl_MXCSR(- (SRC2[63:0]*SRC3[63:0]) - DEST[63:0])
DEST[127:64] DEST[127:64]
DEST[VLMAX-1:128] 0
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VFNMSUB132SD: __m128d _mm_fnmsub_sd (__m128d a, __m128d b, __m128d c);
VFNMSUB213SD: __m128d _mm_fnmsub_sd (__m128d a, __m128d b, __m128d c);
VFNMSUB231SD: __m128d _mm_fnmsub_sd (__m128d a, __m128d b, __m128d c);
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal
O t h e r E x ce p t io n s
See Exceptions Type 3
VFNMSUB132SS/VFNMSUB213SS/VFNMSUB231SS Fused Negative Multiply-Subtract of Scalar Single-Precision Floating-Point Val-
INSTRUCTION SET REFERENCE, N-Z
4-486 Vol. 2B
VF N MS U B 1 3 2 S S /VF N MS U B 2 1 3 S S /VF N MS U B 2 3 1 S S F u se d N e g a t iv e Mu lt ip ly -S u b t ra ct o f
S ca la r S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
VFNMSUB132SS: Multiplies the low packed single-precision floating-point value from the first source operand to
the low packed single-precision floating-point value in the third source operand. From negated infinite precision
intermediate result, the low single-precision floating-point value in the second source operand, performs rounding
and stores the resulting packed single-precision floating-point value to the destination operand (first source
operand).
VFNMSUB213SS: Multiplies the low packed single-precision floating-point value from the second source operand to
the low packed single-precision floating-point value in the first source operand. From negated infinite precision
intermediate result, the low single-precision floating-point value in the third source operand, performs rounding
and stores the resulting packed single-precision floating-point value to the destination operand (first source
operand).
VFNMSUB231SS: Multiplies the low packed single-precision floating-point value from the second source to the low
packed single-precision floating-point value in the third source operand. From negated infinite precision interme-
diate result, the low single-precision floating-point value in the first source operand, performs rounding and stores
the resulting packed single-precision floating-point value to the destination operand (first source operand).
VEX.128 encoded version: The destination operand (also first source operand) is a XMM register and encoded in
reg_field. The second source operand is a XMM register and encoded in VEX.vvvv. The third source operand is a
XMM register or a 32-bit memory location and encoded in rm_field. The upper bits ([VLMAX-1:128]) of the YMM
destination register are zeroed.
Compiler tools may optionally support a complementary mnemonic for each instruction mnemonic listed in the
opcode/instruction column of the summary table. The behavior of the complementary mnemonic in situations
involving NANs are governed by the definition of the instruction mnemonic defined in the opcode/instruction
column. See also Section 2.3.1, FMA Instruction Operand Order and Arithmetic Behavior.
O p e ra t io n
In the operations below, "+", "-", and "*" symbols represent addition, subtraction, and multiplication operations
with infinite precision inputs and outputs (no rounding).
VF N MS U B 1 3 2 S S D E S T , S R C 2 , S R C 3
DEST[31:0] RoundFPControl_MXCSR(- (DEST[31:0]*SRC3[31:0]) - SRC2[31:0])
DEST[127:32] DEST[127:32]
DEST[VLMAX-1:128] 0
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.DDS.LIG.128.66.0F38.W0 9F /r A V/V FMA Multiply scalar single-precision floating-point value from
xmm0 and xmm2/mem, negate the multiplication result
and subtract xmm1 and put result in xmm0.
VFNMSUB132SS xmm0, xmm1, xmm2/m32
VEX.DDS.LIG.128.66.0F38.W0 AF /r A V/V FMA Multiply scalar single-precision floating-point value from
xmm0 and xmm1, negate the multiplication result and
subtract xmm2/mem and put result in xmm0.
VFNMSUB213SS xmm0, xmm1, xmm2/m32
VEX.DDS.LIG.128.66.0F38.W0 BF /r A V/V FMA Multiply scalar single-precision floating-point value from
xmm1 and xmm2/mem, negate the multiplication result
and subtract xmm0 and put result in xmm0.
VFNMSUB231SS xmm0, xmm1, xmm2/m32
Op/En Operand 1 Operand 2 Operand 3 Operand 4
A ModRM:reg (r, w) VEX.vvvv (r) ModRM:r/m(r) NA
VFNMSUB132SS/VFNMSUB213SS/VFNMSUB231SS Fused Negative Multiply-Subtract of Scalar Single-Precision Floating-Point Val-
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-487
VF N MS U B 2 1 3 S S D E S T , S R C 2 , S R C 3
DEST[31:0] RoundFPControl_MXCSR(- (SRC2[31:0]*DEST[31:0]) - SRC3[31:0])
DEST[127:32] DEST[127:32]
DEST[VLMAX-1:128] 0
VF N MS U B 2 3 1 S S D E S T , S R C 2 , S R C 3
DEST[31:0] RoundFPControl_MXCSR(- (SRC2[31:0]*SRC3[63:0]) - DEST[31:0])
DEST[127:32] DEST[127:32]
DEST[VLMAX-1:128] 0
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VFNMSUB132SS: __m128 _mm_fnmsub_ss (__m128 a, __m128 b, __m128 c);
VFNMSUB213SS: __m128 _mm_fnmsub_ss (__m128 a, __m128 b, __m128 c);
VFNMSUB231SS: __m128 _mm_fnmsub_ss (__m128 a, __m128 b, __m128 c);
S I MD F lo a t in g -P o in t E x ce p t io n s
Overflow, Underflow, Invalid, Precision, Denormal
O t h e r E x ce p t io n s
See Exceptions Type 3
VGATHERDPD/VGATHERQPD Gather Packed DP FP Values Using Signed Dword/Qword Indices
INSTRUCTION SET REFERENCE, N-Z
4-488 Vol. 2B
VG AT H E R D P D /VG AT H E R Q P D G a t h e r P a cke d D P F P Va lu e s U sin g S ig n e d D w o rd /Q w o rd I n d ice s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
The instruction conditionally loads up to 2 or 4 double-precision floating-point values from memory addresses
specified by the memory operand (the second operand) and using qword indices. The memory operand uses the
VSIB form of the SIB byte to specify a general purpose register operand as the common base, a vector register for
an array of indices relative to the base and a constant scale factor.
The mask operand (the third operand) specifies the conditional load operation from each memory address and the
corresponding update of each data element of the destination operand (the first operand). Conditionality is speci-
fied by the most significant bit of each data element of the mask register. If an elements mask bit is not set, the
corresponding element of the destination register is left unchanged. The width of data element in the destination
register and mask register are identical. The entire mask register will be set to zero by this instruction unless the
instruction causes an exception.
Using dword indices in the lower half of the mask register, the instruction conditionally loads up to 2 or 4 double-
precision floating-point values from the VSIB addressing memory operand, and updates the destination register.
This instruction can be suspended by an exception if at least one element is already gathered (i.e., if the exception
is triggered by an element other than the rightmost one with its mask bit set). When this happens, the destination
register and the mask operand are partially updated; those elements that have been gathered are placed into the
destination register and have their mask bits set to zero. If any traps or interrupts are pending from already gath-
ered elements, they will be delivered in lieu of the exception; in this case, EFLAG.RF is set to one so an instruction
breakpoint is not re-triggered when the instruction is continued.
If the data size and index size are different, part of the destination register and part of the mask register do not
correspond to any elements being gathered. This instruction sets those parts to zero. It may do this to one or both
of those registers even if the instruction triggers an exception, and even if the instruction triggers the exception
before gathering any elements.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3
2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.DDS.128.66.0F38.W1 92 /r RMV V/V AVX2 Using dword indices specified in vm32x, gather double-pre-
cision FP values from memory conditioned on mask speci-
fied by xmm2. Conditionally gathered elements are merged
into xmm1.
VGATHERDPD xmm1, vm32x, xmm2
VEX.DDS.128.66.0F38.W1 93 /r RMV V/V AVX2 Using qword indices specified in vm64x, gather double-pre-
cision FP values from memory conditioned on mask speci-
fied by xmm2. Conditionally gathered elements are merged
into xmm1.
VGATHERQPD xmm1, vm64x, xmm2
VEX.DDS.256.66.0F38.W1 92 /r RMV V/V AVX2 Using dword indices specified in vm32x, gather double-pre-
cision FP values from memory conditioned on mask speci-
fied by ymm2. Conditionally gathered elements are merged
into ymm1.
VGATHERDPD ymm1, vm32x, ymm2
VEX.DDS.256.66.0F38.W1 93 /r RMV V/V AVX2 Using qword indices specified in vm64y, gather double-pre-
cision FP values from memory conditioned on mask speci-
fied by ymm2. Conditionally gathered elements are merged
into ymm1.
VGATHERQPD ymm1, vm64y, ymm2
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RMV ModRM:reg (r,w) BaseReg (R): VSIB:base,
VectorReg(R): VSIB:index
VEX.vvvv (r, w) NA
VGATHERDPD/VGATHERQPD Gather Packed DP FP Values Using Signed Dword/Qword Indices
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-489
VEX.128 version: The instruction will gather two double-precision floating-point values. For dword indices, only
the lower two indices in the vector index register are used.
VEX.256 version: The instruction will gather four double-precision floating-point values. For dword indices, only
the lower four indices in the vector index register are used.
Note that:
If any pair of the index, mask, or destination registers are the same, this instruction results a #UD fault.
The values may be read from memory in any order. Memory ordering with other instructions follows the Intel-
64 memory-ordering model.
Faults are delivered in a right-to-left manner. That is, if a fault is triggered by an element and delivered, all
elements closer to the LSB of the destination will be completed (and non-faulting). Individual elements closer
to the MSB may or may not be completed. If a given element triggers multiple faults, they are delivered in the
conventional order.
Elements may be gathered in any order, but faults must be delivered in a right-to-left order; thus, elements to
the left of a faulting one may be gathered before the fault is delivered. A given implementation of this
instruction is repeatable - given the same input values and architectural state, the same set of elements to the
left of the faulting one will be gathered.
This instruction does not perform AC checks, and so will never deliver an AC fault.
This instruction will cause a #UD if the address size attribute is 16-bit.
This instruction will cause a #UD if the memory operand is encoded without the SIB byte.
This instruction should not be used to access memory mapped I/O as the ordering of the individual loads it does
is implementation specific, and some implementations may use loads larger than the data element size or load
elements an indeterminate number of times.
The scaled index may require more bits to represent than the address bits used by the processor (e.g., in 32-
bit mode, if the scale is greater than one). In this case, the most significant bits beyond the number of address
bits are ignored.
O p e ra t io n
DEST SRC1;
BASE_ADDR: base register encoded in VSIB addressing;
VINDEX: the vector index register encoded by VSIB addressing;
SCALE: scale factor encoded by SIB:[7:6];
DISP: optional 1, 4 byte displacement;
MASK SRC3;
VG AT H E R D P D (VE X.1 2 8 v e rsio n )
FOR j 0 to 1
i j * 64;
IF MASK[63+i] THEN
MASK[i +63:i] 0xFFFFFFFF_FFFFFFFF; // extend from most significant bit
ELSE
MASK[i +63:i] 0;
FI;
ENDFOR
FOR j 0 to 1
k j * 32;
i j * 64;
DATA_ADDR BASE_ADDR +(SignExtend(VINDEX[k+31:k])*SCALE +DISP;
IF MASK[63+i] THEN
DEST[i +63:i] FETCH_64BITS(DATA_ADDR); // a fault exits the instruction
FI;
MASK[i +63: i] 0;
ENDFOR
VGATHERDPD/VGATHERQPD Gather Packed DP FP Values Using Signed Dword/Qword Indices
INSTRUCTION SET REFERENCE, N-Z
4-490 Vol. 2B
MASK[VLMAX-1:128] 0;
DEST[VLMAX-1:128] 0;
(non-masked elements of the mask register have the content of respective element cleared)
VG AT H E R Q P D (VE X.1 2 8 v e rsio n )
FOR j 0 to 1
i j * 64;
IF MASK[63+i] THEN
MASK[i +63:i] 0xFFFFFFFF_FFFFFFFF; // extend from most significant bit
ELSE
MASK[i +63:i] 0;
FI;
ENDFOR
FOR j 0 to 1
i j * 64;
DATA_ADDR BASE_ADDR +(SignExtend(VINDEX1[i+63:i])*SCALE +DISP;
IF MASK[63+i] THEN
DEST[i +63:i] FETCH_64BITS(DATA_ADDR); // a fault exits this instruction
FI;
MASK[i +63: i] 0;
ENDFOR
MASK[VLMAX-1:128] 0;
DEST[VLMAX-1:128] 0;
(non-masked elements of the mask register have the content of respective element cleared)
VG AT H E R Q P D (VE X.2 5 6 v e rsio n )
FOR j 0 to 3
i j * 64;
IF MASK[63+i] THEN
MASK[i +63:i] 0xFFFFFFFF_FFFFFFFF; // extend from most significant bit
ELSE
MASK[i +63:i] 0;
FI;
ENDFOR
FOR j 0 to 3
i j * 64;
DATA_ADDR BASE_ADDR +(SignExtend(VINDEX1[i+63:i])*SCALE +DISP;
IF MASK[63+i] THEN
DEST[i +63:i] FETCH_64BITS(DATA_ADDR); // a fault exits the instruction
FI;
MASK[i +63: i] 0;
ENDFOR
(non-masked elements of the mask register have the content of respective element cleared)
VG AT H E R D P D (VE X.2 5 6 v e rsio n )
FOR j 0 to 3
i j * 64;
IF MASK[63+i] THEN
MASK[i +63:i] 0xFFFFFFFF_FFFFFFFF; // extend from most significant bit
ELSE
MASK[i +63:i] 0;
FI;
ENDFOR
FOR j 0 to 3
VGATHERDPD/VGATHERQPD Gather Packed DP FP Values Using Signed Dword/Qword Indices
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-491
k j * 32;
i j * 64;
DATA_ADDR BASE_ADDR +(SignExtend(VINDEX1[k+31:k])*SCALE +DISP;
IF MASK[63+i] THEN
DEST[i +63:i] FETCH_64BITS(DATA_ADDR); // a fault exits the instruction
FI;
MASK[i +63:i] 0;
ENDFOR
(non-masked elements of the mask register have the content of respective element cleared)
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VGATHERDPD: __m128d _mm_i32gather_pd (double const * base, __m128i index, const int scale);
VGATHERDPD: __m128d _mm_mask_i32gather_pd (__m128d src, double const * base, __m128i index, __m128d mask, const int
scale);
VGATHERDPD: __m256d _mm256_i32gather_pd (double const * base, __m128i index, const int scale);
VGATHERDPD: __m256d _mm256_mask_i32gather_pd (__m256d src, double const * base, __m128i index, __m256d mask, const int
scale);
VGATHERQPD: __m128d _mm_i64gather_pd (double const * base, __m128i index, const int scale);
VGATHERQPD: __m128d _mm_mask_i64gather_pd (__m128d src, double const * base, __m128i index, __m128d mask, const int
scale);
VGATHERQPD: __m256d _mm256_i64gather_pd (double const * base, __m256i index, const int scale);
VGATHERQPD: __m256d _mm256_mask_i64gather_pd (__m256d src, double const * base, __m256i index, __m256d mask, const int
scale);
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Exceptions Type 12
VGATHERDPS/VGATHERQPS Gather Packed SP FP values Using Signed Dword/Qword Indices
INSTRUCTION SET REFERENCE, N-Z
4-492 Vol. 2B
VG AT H E R D P S /VG AT H E R Q P S G a t h e r P a cke d S P F P v a lu e s U sin g S ig n e d D w o rd /Q w o rd I n d ice s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
The instruction conditionally loads up to 4 or 8 single-precision floating-point values from memory addresses spec-
ified by the memory operand (the second operand) and using dword indices. The memory operand uses the VSIB
form of the SIB byte to specify a general purpose register operand as the common base, a vector register for an
array of indices relative to the base and a constant scale factor.
The mask operand (the third operand) specifies the conditional load operation from each memory address and the
corresponding update of each data element of the destination operand (the first operand). Conditionality is speci-
fied by the most significant bit of each data element of the mask register. If an elements mask bit is not set, the
corresponding element of the destination register is left unchanged. The width of data element in the destination
register and mask register are identical. The entire mask register will be set to zero by this instruction unless the
instruction causes an exception.
Using qword indices, the instruction conditionally loads up to 2 or 4 single-precision floating-point values from the
VSIB addressing memory operand, and updates the lower half of the destination register. The upper 128 or 256 bits
of the destination register are zeroed with qword indices.
This instruction can be suspended by an exception if at least one element is already gathered (i.e., if the exception
is triggered by an element other than the rightmost one with its mask bit set). When this happens, the destination
register and the mask operand are partially updated; those elements that have been gathered are placed into the
destination register and have their mask bits set to zero. If any traps or interrupts are pending from already gath-
ered elements, they will be delivered in lieu of the exception; in this case, EFLAG.RF is set to one so an instruction
breakpoint is not re-triggered when the instruction is continued.
If the data size and index size are different, part of the destination register and part of the mask register do not
correspond to any elements being gathered. This instruction sets those parts to zero. It may do this to one or both
of those registers even if the instruction triggers an exception, and even if the instruction triggers the exception
before gathering any elements.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.DDS.128.66.0F38.W0 92 /r RMV V/V AVX2 Using dword indices specified in vm32x, gather single-preci-
sion FP values from memory conditioned on mask specified
by xmm2. Conditionally gathered elements are merged into
xmm1.
VGATHERDPS xmm1, vm32x, xmm2
VEX.DDS.128.66.0F38.W0 93 /r RMV V/V AVX2 Using qword indices specified in vm64x, gather single-preci-
sion FP values from memory conditioned on mask specified
by xmm2. Conditionally gathered elements are merged into
xmm1.
VGATHERQPS xmm1, vm64x, xmm2
VEX.DDS.256.66.0F38.W0 92 /r RMV V/V AVX2 Using dword indices specified in vm32y, gather single-preci-
sion FP values from memory conditioned on mask specified
by ymm2. Conditionally gathered elements are merged into
ymm1.
VGATHERDPS ymm1, vm32y, ymm2
VEX.DDS.256.66.0F38.W0 93 /r RMV V/V AVX2 Using qword indices specified in vm64y, gather single-preci-
sion FP values from memory conditioned on mask specified
by xmm2. Conditionally gathered elements are merged into
xmm1.
VGATHERQPS xmm1, vm64y, xmm2
Op/En Operand 1 Operand 2 Operand 3 Operand 4
A ModRM:reg (r,w) BaseReg (R): VSIB:base,
VectorReg(R): VSIB:index
VEX.vvvv (r, w) NA
VGATHERDPS/VGATHERQPS Gather Packed SP FP values Using Signed Dword/Qword Indices
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-493
VEX.128 version: For dword indices, the instruction will gather four single-precision floating-point values. For
qword indices, the instruction will gather two values and zeroes the upper 64 bits of the destination.
VEX.256 version: For dword indices, the instruction will gather eight single-precision floating-point values. For
qword indices, the instruction will gather four values and zeroes the upper 128 bits of the destination.
Note that:
If any pair of the index, mask, or destination registers are the same, this instruction results a UD fault.
The values may be read from memory in any order. Memory ordering with other instructions follows the Intel-
64 memory-ordering model.
Faults are delivered in a right-to-left manner. That is, if a fault is triggered by an element and delivered, all
elements closer to the LSB of the destination will be completed (and non-faulting). Individual elements closer
to the MSB may or may not be completed. If a given element triggers multiple faults, they are delivered in the
conventional order.
Elements may be gathered in any order, but faults must be delivered in a right-to-left order; thus, elements to
the left of a faulting one may be gathered before the fault is delivered. A given implementation of this
instruction is repeatable - given the same input values and architectural state, the same set of elements to the
left of the faulting one will be gathered.
This instruction does not perform AC checks, and so will never deliver an AC fault.
This instruction will cause a #UD if the address size attribute is 16-bit.
This instruction will cause a #UD if the memory operand is encoded without the SIB byte.
This instruction should not be used to access memory mapped I/O as the ordering of the individual loads it does
is implementation specific, and some implementations may use loads larger than the data element size or load
elements an indeterminate number of times.
The scaled index may require more bits to represent than the address bits used by the processor (e.g., in 32-
bit mode, if the scale is greater than one). In this case, the most significant bits beyond the number of address
bits are ignored.
O p e ra t io n
DEST SRC1;
BASE_ADDR: base register encoded in VSIB addressing;
VINDEX: the vector index register encoded by VSIB addressing;
SCALE: scale factor encoded by SIB:[7:6];
DISP: optional 1, 4 byte displacement;
MASK SRC3;
VG AT H E R D P S (VE X.1 2 8 v e rsio n )
FOR j 0 to 3
i j * 32;
IF MASK[31+i] THEN
MASK[i +31:i] 0xFFFFFFFF; // extend from most significant bit
ELSE
MASK[i +31:i] 0;
FI;
ENDFOR
MASK[VLMAX-1:128] 0;
FOR j 0 to 3
i j * 32;
DATA_ADDR BASE_ADDR +(SignExtend(VINDEX[i+31:i])*SCALE +DISP;
IF MASK[31+i] THEN
DEST[i +31:i] FETCH_32BITS(DATA_ADDR); // a fault exits the instruction
FI;
MASK[i +31:i] 0;
ENDFOR
VGATHERDPS/VGATHERQPS Gather Packed SP FP values Using Signed Dword/Qword Indices
INSTRUCTION SET REFERENCE, N-Z
4-494 Vol. 2B
DEST[VLMAX-1:128] 0;
(non-masked elements of the mask register have the content of respective element cleared)
VG AT H E R Q P S (V E X.1 2 8 v e rsio n )
FOR j 0 to 3
i j * 32;
IF MASK[31+i] THEN
MASK[i +31:i] 0xFFFFFFFF; // extend from most significant bit
ELSE
MASK[i +31:i] 0;
FI;
ENDFOR
MASK[VLMAX-1:128] 0;
FOR j 0 to 1
k j * 64;
i j * 32;
DATA_ADDR BASE_ADDR +(SignExtend(VINDEX1[k+63:k])*SCALE +DISP;
IF MASK[31+i] THEN
DEST[i +31:i] FETCH_32BITS(DATA_ADDR); // a fault exits the instruction
FI;
MASK[i +31:i] 0;
ENDFOR
MASK[127:64] 0;
DEST[VLMAX-1:64] 0;
(non-masked elements of the mask register have the content of respective element cleared)
VG AT H E R D P S (VE X.2 5 6 v e rsio n )
FOR j 0 to 7
i j * 32;
IF MASK[31+i] THEN
MASK[i +31:i] 0xFFFFFFFF; // extend from most significant bit
ELSE
MASK[i +31:i] 0;
FI;
ENDFOR
FOR j 0 to 7
i j * 32;
DATA_ADDR BASE_ADDR +(SignExtend(VINDEX1[i+31:i])*SCALE +DISP;
IF MASK[31+i] THEN
DEST[i +31:i] FETCH_32BITS(DATA_ADDR); // a fault exits the instruction
FI;
MASK[i +31:i] 0;
ENDFOR
(non-masked elements of the mask register have the content of respective element cleared)
VG AT H E R Q P S (V E X.2 5 6 v e rsio n )
FOR j 0 to 7
i j * 32;
IF MASK[31+i] THEN
MASK[i +31:i] 0xFFFFFFFF; // extend from most significant bit
ELSE
MASK[i +31:i] 0;
FI;
ENDFOR
VGATHERDPS/VGATHERQPS Gather Packed SP FP values Using Signed Dword/Qword Indices
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-495
FOR j 0 to 3
k j * 64;
i j * 32;
DATA_ADDR BASE_ADDR +(SignExtend(VINDEX1[k+63:k])*SCALE +DISP;
IF MASK[31+i] THEN
DEST[i +31:i] FETCH_32BITS(DATA_ADDR); // a fault exits the instruction
FI;
MASK[i +31:i] 0;
ENDFOR
MASK[VLMAX-1:128] 0;
DEST[VLMAX-1:128] 0;
(non-masked elements of the mask register have the content of respective element cleared)
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VGATHERDPS: __m128 _mm_i32gather_ps (float const * base, __m128i index, const int scale);
VGATHERDPS: __m128 _mm_mask_i32gather_ps (__m128 src, float const * base, __m128i index, __m128 mask, const int scale);
VGATHERDPS: __m256 _mm256_i32gather_ps (float const * base, __m256i index, const int scale);
VGATHERDPS: __m256 _mm256_mask_i32gather_ps (__m256 src, float const * base, __m256i index, __m256 mask, const int
scale);
VGATHERQPS: __m128 _mm_i64gather_ps (float const * base, __m128i index, const int scale);
VGATHERQPS: __m128 _mm_mask_i64gather_ps (__m128 src, float const * base, __m128i index, __m128 mask, const int scale);
VGATHERQPS: __m128 _mm256_i64gather_ps (float const * base, __m256i index, const int scale);
VGATHERQPS: __m128 _mm256_mask_i64gather_ps (__m128 src, float const * base, __m256i index, __m128 mask, const int
scale);
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Exceptions Type 12
VPGATHERDD/VPGATHERQD Gather Packed Dword Values Using Signed Dword/Qword Indices
INSTRUCTION SET REFERENCE, N-Z
4-496 Vol. 2B
VP G AT H E R D D /VP G AT H E R Q D G a t h e r P a cke d D w o rd Va lu e s U sin g S ig n e d D w o rd /Q w o rd
I n d ice s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
The instruction conditionally loads up to 4 or 8 dword values from memory addresses specified by the memory
operand (the second operand) and using dword indices. The memory operand uses the VSIB form of the SIB byte
to specify a general purpose register operand as the common base, a vector register for an array of indices relative
to the base and a constant scale factor.
The mask operand (the third operand) specifies the conditional load operation from each memory address and the
corresponding update of each data element of the destination operand (the first operand). Conditionality is speci-
fied by the most significant bit of each data element of the mask register. If an elements mask bit is not set, the
corresponding element of the destination register is left unchanged. The width of data element in the destination
register and mask register are identical. The entire mask register will be set to zero by this instruction unless the
instruction causes an exception.
Using qword indices, the instruction conditionally loads up to 2 or 4 dword values from the VSIB addressing
memory operand, and updates the lower half of the destination register. The upper 128 or 256 bits of the destina-
tion register are zeroed with qword indices.
This instruction can be suspended by an exception if at least one element is already gathered (i.e., if the exception
is triggered by an element other than the rightmost one with its mask bit set). When this happens, the destination
register and the mask operand are partially updated; those elements that have been gathered are placed into the
destination register and have their mask bits set to zero. If any traps or interrupts are pending from already gath-
ered elements, they will be delivered in lieu of the exception; in this case, EFLAG.RF is set to one so an instruction
breakpoint is not re-triggered when the instruction is continued.
If the data size and index size are different, part of the destination register and part of the mask register do not
correspond to any elements being gathered. This instruction sets those parts to zero. It may do this to one or both
of those registers even if the instruction triggers an exception, and even if the instruction triggers the exception
before gathering any elements.
VEX.128 version: For dword indices, the instruction will gather four dword values. For qword indices, the instruc-
tion will gather two values and zeroes the upper 64 bits of the destination.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.DDS.128.66.0F38.W0 90 /r RMV V/V AVX2 Using dword indices specified in vm32x, gather dword val-
ues frommemory conditioned on mask specified by
xmm2. Conditionally gathered elements are merged into
xmm1.
VPGATHERDD xmm1, vm32x, xmm2
VEX.DDS.128.66.0F38.W0 91 /r RMV V/V AVX2 Using qword indices specified in vm64x, gather dword val-
ues frommemory conditioned on mask specified by
xmm2. Conditionally gathered elements are merged into
xmm1.
VPGATHERQD xmm1, vm64x, xmm2
VEX.DDS.256.66.0F38.W0 90 /r RMV V/V AVX2 Using dword indices specified in vm32y, gather dword
from memory conditioned on mask specified by ymm2.
Conditionally gathered elements are merged into ymm1.
VPGATHERDD ymm1, vm32y, ymm2
VEX.DDS.256.66.0F38.W0 91 /r RMV V/V AVX2 Using qword indices specified in vm64y, gather dword val-
ues frommemory conditioned on mask specified by
xmm2. Conditionally gathered elements are merged into
xmm1.
VPGATHERQD xmm1, vm64y, xmm2
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RMV ModRM:reg (r,w) BaseReg (R): VSIB:base,
VectorReg(R): VSIB:index
VEX.vvvv (r, w) NA
VPGATHERDD/VPGATHERQD Gather Packed Dword Values Using Signed Dword/Qword Indices
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-497
VEX.256 version: For dword indices, the instruction will gather eight dword values. For qword indices, the instruc-
tion will gather four values and zeroes the upper 128 bits of the destination.
Note that:
If any pair of the index, mask, or destination registers are the same, this instruction results a UD fault.
The values may be read from memory in any order. Memory ordering with other instructions follows the Intel-
64 memory-ordering model.
Faults are delivered in a right-to-left manner. That is, if a fault is triggered by an element and delivered, all
elements closer to the LSB of the destination will be completed (and non-faulting). Individual elements closer
to the MSB may or may not be completed. If a given element triggers multiple faults, they are delivered in the
conventional order.
Elements may be gathered in any order, but faults must be delivered in a right-to-left order; thus, elements to
the left of a faulting one may be gathered before the fault is delivered. A given implementation of this
instruction is repeatable - given the same input values and architectural state, the same set of elements to the
left of the faulting one will be gathered.
This instruction does not perform AC checks, and so will never deliver an AC fault.
This instruction will cause a #UD if the address size attribute is 16-bit.
This instruction will cause a #UD if the memory operand is encoded without the SIB byte.
This instruction should not be used to access memory mapped I/O as the ordering of the individual loads it does
is implementation specific, and some implementations may use loads larger than the data element size or load
elements an indeterminate number of times.
The scaled index may require more bits to represent than the address bits used by the processor (e.g., in 32-
bit mode, if the scale is greater than one). In this case, the most significant bits beyond the number of address
bits are ignored.
O p e ra t io n
DEST SRC1;
BASE_ADDR: base register encoded in VSIB addressing;
VINDEX: the vector index register encoded by VSIB addressing;
SCALE: scale factor encoded by SIB:[7:6];
DISP: optional 1, 4 byte displacement;
MASK SRC3;
VP G AT H E R D D (VE X.1 2 8 v e rsio n )
FOR j 0 to 3
i j * 32;
IF MASK[31+i] THEN
MASK[i +31:i] 0xFFFFFFFF; // extend from most significant bit
ELSE
MASK[i +31:i] 0;
FI;
ENDFOR
MASK[VLMAX-1:128] 0;
FOR j 0 to 3
i j * 32;
DATA_ADDR BASE_ADDR +(SignExtend(VINDEX[i+31:i])*SCALE +DISP;
IF MASK[31+i] THEN
DEST[i +31:i] FETCH_32BITS(DATA_ADDR); // a fault exits the instruction
FI;
MASK[i +31:i] 0;
ENDFOR
DEST[VLMAX-1:128] 0;
(non-masked elements of the mask register have the content of respective element cleared)
VPGATHERDD/VPGATHERQD Gather Packed Dword Values Using Signed Dword/Qword Indices
INSTRUCTION SET REFERENCE, N-Z
4-498 Vol. 2B
VP G AT H E R Q D (VE X.1 2 8 v e rsio n )
FOR j 0 to 3
i j * 32;
IF MASK[31+i] THEN
MASK[i +31:i] 0xFFFFFFFF; // extend from most significant bit
ELSE
MASK[i +31:i] 0;
FI;
ENDFOR
MASK[VLMAX-1:128] 0;
FOR j 0 to 1
k j * 64;
i j * 32;
DATA_ADDR BASE_ADDR +(SignExtend(VINDEX1[k+63:k])*SCALE +DISP;
IF MASK[31+i] THEN
DEST[i +31:i] FETCH_32BITS(DATA_ADDR); // a fault exits the instruction
FI;
MASK[i +31:i] 0;
ENDFOR
MASK[127:64] 0;
DEST[VLMAX-1:64] 0;
(non-masked elements of the mask register have the content of respective element cleared)
VP G AT H E R D D (VE X.2 5 6 v e rsio n )
FOR j 0 to 7
i j * 32;
IF MASK[31+i] THEN
MASK[i +31:i] 0xFFFFFFFF; // extend from most significant bit
ELSE
MASK[i +31:i] 0;
FI;
ENDFOR
FOR j 0 to 7
i j * 32;
DATA_ADDR BASE_ADDR +(SignExtend(VINDEX1[i+31:i])*SCALE +DISP;
IF MASK[31+i] THEN
DEST[i +31:i] FETCH_32BITS(DATA_ADDR); // a fault exits the instruction
FI;
MASK[i +31:i] 0;
ENDFOR
(non-masked elements of the mask register have the content of respective element cleared)
VP G AT H E R Q D (VE X.2 5 6 v e rsio n )
FOR j 0 to 7
i j * 32;
IF MASK[31+i] THEN
MASK[i +31:i] 0xFFFFFFFF; // extend from most significant bit
ELSE
MASK[i +31:i] 0;
FI;
ENDFOR
FOR j 0 to 3
k j * 64;
i j * 32;
VPGATHERDD/VPGATHERQD Gather Packed Dword Values Using Signed Dword/Qword Indices
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-499
DATA_ADDR BASE_ADDR +(SignExtend(VINDEX1[k+63:k])*SCALE +DISP;
IF MASK[31+i] THEN
DEST[i +31:i] FETCH_32BITS(DATA_ADDR); // a fault exits the instruction
FI;
MASK[i +31:i] 0;
ENDFOR
MASK[VLMAX-1:128] 0;
DEST[VLMAX-1:128] 0;
(non-masked elements of the mask register have the content of respective element cleared)
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VPGATHERDD: __m128i _mm_i32gather_epi32 (int const * base, __m128i index, const int scale);
VPGATHERDD: __m128i _mm_mask_i32gather_epi32 (__m128i src, int const * base, __m128i index, __m128i mask, const int scale);
VPGATHERDD: __m256i _mm256_i32gather_epi32 ( int const * base, __m256i index, const int scale);
VPGATHERDD: __m256i _mm256_mask_i32gather_epi32 (__m256i src, int const * base, __m256i index, __m256i mask, const int
scale);
VPGATHERQD: __m128i _mm_i64gather_epi32 (int const * base, __m128i index, const int scale);
VPGATHERQD: __m128i _mm_mask_i64gather_epi32 (__m128i src, int const * base, __m128i index, __m128i mask, const int scale);
VPGATHERQD: __m128i _mm256_i64gather_epi32 (int const * base, __m256i index, const int scale);
VPGATHERQD: __m128i _mm256_mask_i64gather_epi32 (__m128i src, int const * base, __m256i index, __m128i mask, const int
scale);
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Exceptions Type 12
VPGATHERDQ/VPGATHERQQ Gather Packed Qword Values Using Signed Dword/Qword Indices
INSTRUCTION SET REFERENCE, N-Z
4-500 Vol. 2B
VP G AT H E R D Q /VP G AT H E R Q Q G a t h e r P a cke d Q w o rd Va lu e s U sin g S ig n e d D w o rd /Q w o rd
I n d ice s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
The instruction conditionally loads up to 2 or 4 qword values from memory addresses specified by the memory
operand (the second operand) and using qword indices. The memory operand uses the VSIB form of the SIB byte
to specify a general purpose register operand as the common base, a vector register for an array of indices relative
to the base and a constant scale factor.
The mask operand (the third operand) specifies the conditional load operation from each memory address and the
corresponding update of each data element of the destination operand (the first operand). Conditionality is speci-
fied by the most significant bit of each data element of the mask register. If an elements mask bit is not set, the
corresponding element of the destination register is left unchanged. The width of data element in the destination
register and mask register are identical. The entire mask register will be set to zero by this instruction unless the
instruction causes an exception.
Using dword indices in the lower half of the mask register, the instruction conditionally loads up to 2 or 4 qword
values from the VSIB addressing memory operand, and updates the destination register.
This instruction can be suspended by an exception if at least one element is already gathered (i.e., if the exception
is triggered by an element other than the rightmost one with its mask bit set). When this happens, the destination
register and the mask operand are partially updated; those elements that have been gathered are placed into the
destination register and have their mask bits set to zero. If any traps or interrupts are pending from already gath-
ered elements, they will be delivered in lieu of the exception; in this case, EFLAG.RF is set to one so an instruction
breakpoint is not re-triggered when the instruction is continued.
If the data size and index size are different, part of the destination register and part of the mask register do not
correspond to any elements being gathered. This instruction sets those parts to zero. It may do this to one or both
of those registers even if the instruction triggers an exception, and even if the instruction triggers the exception
before gathering any elements.
VEX.128 version: The instruction will gather two qword values. For dword indices, only the lower two indices in the
vector index register are used.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.DDS.128.66.0F38.W1 90 /r RMV V/V AVX2 Using dword indices specified in vm32x, gather qword val-
ues frommemory conditioned on mask specified by
xmm2. Conditionally gathered elements are merged into
xmm1.
VPGATHERDQ xmm1, vm32x, xmm2
VEX.DDS.128.66.0F38.W1 91 /r RMV V/V AVX2 Using qword indices specified in vm64x, gather qword val-
ues frommemory conditioned on mask specified by
xmm2. Conditionally gathered elements are merged into
xmm1.
VPGATHERQQ xmm1, vm64x, xmm2
VEX.DDS.256.66.0F38.W1 90 /r RMV V/V AVX2 Using dword indices specified in vm32x, gather qword val-
ues frommemory conditioned on mask specified by
ymm2. Conditionally gathered elements are merged into
ymm1.
VPGATHERDQ ymm1, vm32x, ymm2
VEX.DDS.256.66.0F38.W1 91 /r RMV V/V AVX2 Using qword indices specified in vm64y, gather qword val-
ues frommemory conditioned on mask specified by
ymm2. Conditionally gathered elements are merged into
ymm1.
VPGATHERQQ ymm1, vm64y, ymm2
Op/En Operand 1 Operand 2 Operand 3 Operand 4
A ModRM:reg (r,w) BaseReg (R): VSIB:base,
VectorReg(R): VSIB:index
VEX.vvvv (r, w) NA
VPGATHERDQ/VPGATHERQQ Gather Packed Qword Values Using Signed Dword/Qword Indices
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-501
VEX.256 version: The instruction will gather four qword values. For dword indices, only the lower four indices in
the vector index register are used.
Note that:
If any pair of the index, mask, or destination registers are the same, this instruction results a UD fault.
The values may be read from memory in any order. Memory ordering with other instructions follows the Intel-
64 memory-ordering model.
Faults are delivered in a right-to-left manner. That is, if a fault is triggered by an element and delivered, all
elements closer to the LSB of the destination will be completed (and non-faulting). Individual elements closer
to the MSB may or may not be completed. If a given element triggers multiple faults, they are delivered in the
conventional order.
Elements may be gathered in any order, but faults must be delivered in a right-to-left order; thus, elements to
the left of a faulting one may be gathered before the fault is delivered. A given implementation of this
instruction is repeatable - given the same input values and architectural state, the same set of elements to the
left of the faulting one will be gathered.
This instruction does not perform AC checks, and so will never deliver an AC fault.
This instruction will cause a #UD if the address size attribute is 16-bit.
This instruction will cause a #UD if the memory operand is encoded without the SIB byte.
This instruction should not be used to access memory mapped I/O as the ordering of the individual loads it does
is implementation specific, and some implementations may use loads larger than the data element size or load
elements an indeterminate number of times.
The scaled index may require more bits to represent than the address bits used by the processor (e.g., in 32-
bit mode, if the scale is greater than one). In this case, the most significant bits beyond the number of address
bits are ignored.
O p e ra t io n
DEST SRC1;
BASE_ADDR: base register encoded in VSIB addressing;
VINDEX: the vector index register encoded by VSIB addressing;
SCALE: scale factor encoded by SIB:[7:6];
DISP: optional 1, 4 byte displacement;
MASK SRC3;
VP G AT H E R D Q (VE X.1 2 8 v e rsio n )
FOR j 0 to 1
i j * 64;
IF MASK[63+i] THEN
MASK[i +63:i] 0xFFFFFFFF_FFFFFFFF; // extend from most significant bit
ELSE
MASK[i +63:i] 0;
FI;
ENDFOR
FOR j 0 to 1
k j * 32;
i j * 64;
DATA_ADDR BASE_ADDR +(SignExtend(VINDEX[k+31:k])*SCALE +DISP;
IF MASK[63+i] THEN
DEST[i +63:i] FETCH_64BITS(DATA_ADDR); // a fault exits the instruction
FI;
MASK[i +63:i] 0;
ENDFOR
MASK[VLMAX-1:128] 0;
DEST[VLMAX-1:128] 0;
VPGATHERDQ/VPGATHERQQ Gather Packed Qword Values Using Signed Dword/Qword Indices
INSTRUCTION SET REFERENCE, N-Z
4-502 Vol. 2B
(non-masked elements of the mask register have the content of respective element cleared)
VP G AT H E R Q Q (VE X.1 2 8 v e rsio n )
FOR j 0 to 1
i j * 64;
IF MASK[63+i] THEN
MASK[i +63:i] 0xFFFFFFFF_FFFFFFFF; // extend from most significant bit
ELSE
MASK[i +63:i] 0;
FI;
ENDFOR
FOR j 0 to 1
i j * 64;
DATA_ADDR BASE_ADDR +(SignExtend(VINDEX1[i+63:i])*SCALE +DISP;
IF MASK[63+i] THEN
DEST[i +63:i] FETCH_64BITS(DATA_ADDR); // a fault exits the instruction
FI;
MASK[i +63:i] 0;
ENDFOR
MASK[VLMAX-1:128] 0;
DEST[VLMAX-1:128] 0;
(non-masked elements of the mask register have the content of respective element cleared)
VP G AT H E R Q Q (VE X.2 5 6 v e rsio n )
FOR j 0 to 3
i j * 64;
IF MASK[63+i] THEN
MASK[i +63:i] 0xFFFFFFFF_FFFFFFFF; // extend from most significant bit
ELSE
MASK[i +63:i] 0;
FI;
ENDFOR
FOR j 0 to 3
i j * 64;
DATA_ADDR BASE_ADDR +(SignExtend(VINDEX1[i+63:i])*SCALE +DISP;
IF MASK[63+i] THEN
DEST[i +63:i] FETCH_64BITS(DATA_ADDR); // a fault exits the instruction
FI;
MASK[i +63:i] 0;
ENDFOR
(non-masked elements of the mask register have the content of respective element cleared)
VP G AT H E R D Q (VE X.2 5 6 v e rsio n )
FOR j 0 to 3
i j * 64;
IF MASK[63+i] THEN
MASK[i +63:i] 0xFFFFFFFF_FFFFFFFF; // extend from most significant bit
ELSE
MASK[i +63:i] 0;
FI;
ENDFOR
FOR j 0 to 3
k j * 32;
i j * 64;
VPGATHERDQ/VPGATHERQQ Gather Packed Qword Values Using Signed Dword/Qword Indices
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-503
DATA_ADDR BASE_ADDR +(SignExtend(VINDEX1[k+31:k])*SCALE +DISP;
IF MASK[63+i] THEN
DEST[i +63:i] FETCH_64BITS(DATA_ADDR); // a fault exits the instruction
FI;
MASK[i +63:i] 0;
ENDFOR
(non-masked elements of the mask register have the content of respective element cleared)
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VPGATHERDQ: __m128i _mm_i32gather_epi64 (int64 const * base, __m128i index, const int scale);
VPGATHERDQ: __m128i _mm_mask_i32gather_epi64 (__m128i src, int64 const * base, __m128i index, __m128i mask, const int
scale);
VPGATHERDQ: __m256i _mm256_i32gather_epi64 ( int64 const * base, __m128i index, const int scale);
VPGATHERDQ: __m256i _mm256_mask_i32gather_epi64 (__m256i src, int64 const * base, __m128i index, __m256i mask, const int
scale);
VPGATHERQQ: __m128i _mm_i64gather_epi64 (int64 const * base, __m128i index, const int scale);
VPGATHERQQ: __m128i _mm_mask_i64gather_epi64 (__m128i src, int64 const * base, __m128i index, __m128i mask, const int
scale);
VPGATHERQQ: __m256i _mm256_i64gather_epi64 (int64 const * base, __m256i index, const int scale);
VPGATHERQQ: __m256i _mm256_mask_i64gather_epi64 (__m256i src, int64 const * base, __m256i index, __m256i mask, const int
scale);
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Exceptions Type 12
VINSERTF128 Insert Packed Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-504 Vol. 2B
V I N S E R T F 1 2 8 I n se rt P a cke d F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs an insertion of 128-bits of packed floating-point values from the second source operand (third operand)
into an the destination operand (first operand) at an 128-bit offset from imm8[0]. The remaining portions of the
destination are written by the corresponding fields of the first source operand (second operand). The second source
operand can be either an XMM register or a 128-bit memory location.
The high 7 bits of the immediate are ignored.
O p e ra t io n
TEMP[255:0] SRC1[255:0]
CASE (imm8[0]) OF
0: TEMP[127:0] SRC2[127:0]
1: TEMP[255:128] SRC2[127:0]
ESAC
DEST TEMP
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
INSERTF128: __m256 _mm256_insertf128_ps (__m256 a, __m128 b, int offset);
INSERTF128: __m256d _mm256_insertf128_pd (__m256d a, __m128d b, int offset);
INSERTF128: __m256i _mm256_insertf128_si256 (__m256i a, __m128i b, int offset);
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Exceptions Type 6; additionally
#UD If VEX.W = 1.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.NDS.256.66.0F3A.W0 18 /r ib
VINSERTF128 ymm1, ymm2, xmm3/m128, imm8
RVM V/V AVX Insert a single precision floating-point value
selected by imm8 from xmm3/m128 into
ymm2 at the specified destination element
specified by imm8 and zero out destination
elements in ymm1 as indicated in imm8.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m(r) NA
VINSERTI128 Insert Packed Integer Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-505
V I N S E R T I 1 2 8 I n se rt P a cke d I n t e g e r Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs an insertion of 128-bits of packed integer data from the second source operand (third operand) into an
the destination operand (first operand) at a 128-bit offset from imm8[0]. The remaining portions of the destination
are written by the corresponding fields of the first source operand (second operand). The second source operand
can be either an XMM register or a 128-bit memory location.
The high 7 bits of the immediate are ignored.
VEX.L must be 1; an attempt to execute this instruction with VEX.L=0 will cause #UD.
O p e ra t io n
VI N S E R T I 1 2 8
TEMP[255:0] SRC1[255:0]
CASE (imm8[0]) OF
0: TEMP[127:0] SRC2[127:0]
1: TEMP[255:128] SRC2[127:0]
ESAC
DEST TEMP
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VINSERTI128: __m256i _mm256_inserti128_si256 (__m256i a, __m128i b, int offset);
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Exceptions Type 6; additionally
#UD If VEX.L = 0,
If VEX.W = 1.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.NDS.256.66.0F3A.W0 38 /r ib RVMI V/V AVX2 Insert 128-bits of integer data from xmm3/mem
and the remaining values from ymm2 into
ymm1.
VINSERTI128 ymm1, ymm2, xmm3/m128, imm8
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RVMI ModRM:reg (w) VEX.vvvv ModRM:r/m (r) Imm8
VMASKMOVConditional SIMD Packed Loads and Stores
INSTRUCTION SET REFERENCE, N-Z
4-506 Vol. 2B
VMAS K MO VC o n d it io n a l S I MD P a cke d Lo a d s a n d S t o re s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Conditionally moves packed data elements from the second source operand into the corresponding data element of
the destination operand, depending on the mask bits associated with each data element. The mask bits are speci-
fied in the first source operand.
The mask bit for each data element is the most significant bit of that element in the first source operand. If a mask
is 1, the corresponding data element is copied from the second source operand to the destination operand. If the
mask is 0, the corresponding data element is set to zero in the load form of these instructions, and unmodified in
the store form.
The second source operand is a memory address for the load form of these instruction. The destination operand is
a memory address for the store form of these instructions. The other operands are both XMM registers (for
VEX.128 version) or YMM registers (for VEX.256 version).
Faults occur only due to mask-bit required memory accesses that caused the faults. Faults will not occur due to
referencing any memory location if the corresponding mask bit for that memory location is 0. For example, no
faults will be detected if the mask bits are all zero.
Unlike previous MASKMOV instructions (MASKMOVQ and MASKMOVDQU), a nontemporal hint is not applied to
these instructions.
Instruction behavior on alignment check reporting with mask bits of less than all 1s are the same as with mask bits
of all 1s.
VMASKMOV should not be used to access memory mapped I/O and un-cached memory as the access and the
ordering of the individual loads or stores it does is implementation specific.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.NDS.128.66.0F38.W0 2C /r
VMASKMOVPS xmm1, xmm2, m128
RVM V/V AVX Conditionally load packed single-precision values from
m128 using mask in xmm2 and store in xmm1.
VEX.NDS.256.66.0F38.W0 2C /r
VMASKMOVPS ymm1, ymm2, m256
RVM V/V AVX Conditionally load packed single-precision values from
m256 using mask in ymm2 and store in ymm1.
VEX.NDS.128.66.0F38.W0 2D /r
VMASKMOVPD xmm1, xmm2, m128
RVM V/V AVX Conditionally load packed double-precision values from
m128 using mask in xmm2 and store in xmm1.
VEX.NDS.256.66.0F38.W0 2D /r
VMASKMOVPD ymm1, ymm2, m256
RVM V/V AVX Conditionally load packed double-precision values from
m256 using mask in ymm2 and store in ymm1.
VEX.NDS.128.66.0F38.W0 2E /r
VMASKMOVPS m128, xmm1, xmm2
MVR V/V AVX Conditionally store packed single-precision values from
xmm2 using mask in xmm1.
VEX.NDS.256.66.0F38.W0 2E /r
VMASKMOVPS m256, ymm1, ymm2
MVR V/V AVX Conditionally store packed single-precision values from
ymm2 using mask in ymm1.
VEX.NDS.128.66.0F38.W0 2F /r
VMASKMOVPD m128, xmm1, xmm2
MVR V/V AVX Conditionally store packed double-precision values from
xmm2 using mask in xmm1.
VEX.NDS.256.66.0F38.W0 2F /r
VMASKMOVPD m256, ymm1, ymm2
MVR V/V AVX Conditionally store packed double-precision values from
ymm2 using mask in ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m(r) NA
MVR ModRM:r/m (w) VEX.vvvv (r) ModRM:reg (r) NA
VMASKMOVConditional SIMD Packed Loads and Stores
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-507
In cases where mask bits indicate data should not be loaded or stored paging A and D bits will be set in an imple-
mentation dependent way. However, A and D bits are always set for pages where data is actually loaded/stored.
Note: for load forms, the first source (the mask) is encoded in VEX.vvvv; the second source is encoded in rm_field,
and the destination register is encoded in reg_field.
Note: for store forms, the first source (the mask) is encoded in VEX.vvvv; the second source register is encoded in
reg_field, and the destination memory location is encoded in rm_field.
O p e ra t io n
VMAS K MO VP S -1 2 8 -b it lo a d
DEST[31:0] IF (SRC1[31]) Load_32(mem) ELSE 0
DEST[63:32] IF (SRC1[63]) Load_32(mem +4) ELSE 0
DEST[95:64] IF (SRC1[95]) Load_32(mem +8) ELSE 0
DEST[127:97] IF (SRC1[127]) Load_32(mem+12) ELSE 0
DEST[VLMAX-1:128] 0
DEST[31:0] IF (SRC1[31]) Load_32(mem) ELSE 0
DEST[63:32] IF (SRC1[63]) Load_32(mem +4) ELSE 0
DEST[95:64] IF (SRC1[95]) Load_32(mem +8) ELSE 0
DEST[127:96] IF (SRC1[127]) Load_32(mem+12) ELSE 0
DEST[159:128] IF (SRC1[159]) Load_32(mem +16) ELSE 0
DEST[191:160] IF (SRC1[191]) Load_32(mem +20) ELSE 0
DEST[223:192] IF (SRC1[223]) Load_32(mem +24) ELSE 0
DEST[255:224] IF (SRC1[255]) Load_32(mem +28) ELSE 0
VMAS K MO VP D - 1 2 8 -b it lo a d
DEST[63:0] IF (SRC1[63]) Load_64(mem) ELSE 0
DEST[127:64] IF (SRC1[127]) Load_64(mem+16) ELSE 0
DEST[VLMAX-1:128] 0
VMAS K MO VP D - 2 5 6 -b it lo a d
DEST[63:0] IF (SRC1[63]) Load_64(mem) ELSE 0
DEST[127:64] IF (SRC1[127]) Load_64(mem+8) ELSE 0
DEST[195:128] IF (SRC1[191]) Load_64(mem +16) ELSE 0
DEST[255:196] IF (SRC1[255]) Load_64(mem +24) ELSE 0
VMAS K MO VP S - 1 2 8 -b it st o re
IF (SRC1[31]) DEST[31:0] SRC2[31:0]
IF (SRC1[63]) DEST[63:32] SRC2[63:32]
IF (SRC1[95]) DEST[95:64] SRC2[95:64]
IF (SRC1[127]) DEST[127:96] SRC2[127:96]
VMAS K MO VP S - 2 5 6 -b it st o re
IF (SRC1[31]) DEST[31:0] SRC2[31:0]
IF (SRC1[63]) DEST[63:32] SRC2[63:32]
IF (SRC1[95]) DEST[95:64] SRC2[95:64]
IF (SRC1[127]) DEST[127:96] SRC2[127:96]
IF (SRC1[159]) DEST[159:128] SRC2[159:128]
IF (SRC1[191]) DEST[191:160] SRC2[191:160]
IF (SRC1[223]) DEST[223:192] SRC2[223:192]
IF (SRC1[255]) DEST[255:224] SRC2[255:224]
VMAS K MO VP D - 1 2 8 -b it st o re
IF (SRC1[63]) DEST[63:0] SRC2[63:0]
IF (SRC1[127]) DEST[127:64] SRC2[127:64]
VMASKMOVConditional SIMD Packed Loads and Stores
INSTRUCTION SET REFERENCE, N-Z
4-508 Vol. 2B
VMAS K MO VP D - 2 5 6 -b it st o re
IF (SRC1[63]) DEST[63:0] SRC2[63:0]
IF (SRC1[127]) DEST[127:64] SRC2[127:64]
VMAS K MO VP S - 2 5 6 -b it lo a d
IF (SRC1[191]) DEST[191:128] SRC2[191:128]
IF (SRC1[255]) DEST[255:192] SRC2[255:192]
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
__m256 _mm256_maskload_ps(float const *a, __m256i mask)
void _mm256_maskstore_ps(float *a, __m256i mask, __m256 b)
__m256d _mm256_maskload_pd(double *a, __m256i mask);
void _mm256_maskstore_pd(double *a, __m256i mask, __m256d b);
__m128 _mm128_maskload_ps(float const *a, __m128i mask)
void _mm128_maskstore_ps(float *a, __m128i mask, __m128 b)
__m128d _mm128_maskload_pd(double *a, __m128i mask);
void _mm128_maskstore_pd(double *a, __m128i mask, __m128d b);
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Exceptions Type 6 (No AC# reported for any mask bit combinations);
additionally
#UD If VEX.W = 1.
VPBLENDD Blend Packed Dwords
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-509
VP B LE N D D B le n d P a cke d D w o rd s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Dword elements from the source operand (second operand) are conditionally written to the destination operand
(first operand) depending on bits in the immediate operand (third operand). The immediate bits (bits 7:0) form a
mask that determines whether the corresponding word in the destination is copied from the source. If a bit in the
mask, corresponding to a word, is 1", then the word is copied, else the word is unchanged.
VEX.128 encoded version: The second source operand can be an XMM register or a 128-bit memory location. The
first source and destination operands are XMM registers. Bits (VLMAX-1:128) of the corresponding YMM register
are zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand is a YMM register
or a 256-bit memory location. The destination operand is a YMM register.
O p e ra t io n
VP B LE N D D (VE X.2 5 6 e n co d e d v e rsio n )
IF (imm8[0] ==1) THEN DEST[31:0] SRC2[31:0]
ELSE DEST[31:0] SRC1[31:0]
IF (imm8[1] ==1) THEN DEST[63:32] SRC2[63:32]
ELSE DEST[63:32] SRC1[63:32]
IF (imm8[2] ==1) THEN DEST[95:64] SRC2[95:64]
ELSE DEST[95:64] SRC1[95:64]
IF (imm8[3] ==1) THEN DEST[127:96] SRC2[127:96]
ELSE DEST[127:96] SRC1[127:96]
IF (imm8[4] ==1) THEN DEST[159:128] SRC2[159:128]
ELSE DEST[159:128] SRC1[159:128]
IF (imm8[5] ==1) THEN DEST[191:160] SRC2[191:160]
ELSE DEST[191:160] SRC1[191:160]
IF (imm8[6] ==1) THEN DEST[223:192] SRC2[223:192]
ELSE DEST[223:192] SRC1[223:192]
IF (imm8[7] ==1) THEN DEST[255:224] SRC2[255:224]
ELSE DEST[255:224] SRC1[255:224]
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.NDS.128.66.0F3A.W0 02 /r ib RVMI V/V AVX2 Select dwords from xmm2 and xmm3/m128 from
mask specified in imm8 and store the values into
xmm1.
VPBLENDD xmm1, xmm2, xmm3/m128, imm8
VEX.NDS.256.66.0F3A.W0 02 /r ib RVMI V/V AVX2 Select dwords fromymm2 and ymm3/m256 from
mask specified in imm8 and store the values into
ymm1.
VPBLENDD ymm1, ymm2, ymm3/m256, imm8
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RVMI ModRM:reg (w) VEX.vvvv ModRM:r/m (r) Imm8
VPBLENDD Blend Packed Dwords
INSTRUCTION SET REFERENCE, N-Z
4-510 Vol. 2B
VP B LE N D D (VE X.1 2 8 e n co d e d v e rsio n )
IF (imm8[0] ==1) THEN DEST[31:0] SRC2[31:0]
ELSE DEST[31:0] SRC1[31:0]
IF (imm8[1] ==1) THEN DEST[63:32] SRC2[63:32]
ELSE DEST[63:32] SRC1[63:32]
IF (imm8[2] ==1) THEN DEST[95:64] SRC2[95:64]
ELSE DEST[95:64] SRC1[95:64]
IF (imm8[3] ==1) THEN DEST[127:96] SRC2[127:96]
ELSE DEST[127:96] SRC1[127:96]
DEST[VLMAX-1:128] 0
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VPBLENDD: __m128i _mm_blend_epi32 (__m128i v1, __m128i v2, const int mask)
VPBLENDD: __m256i _mm256_blend_epi32 (__m256i v1, __m256i v2, const int mask)
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.W = 1.
VPBROADCASTBroadcast Integer Data
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-511
V P B R O AD C AS T B ro a d ca st I n t e g e r D a t a
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Load integer data from the source operand (second operand) and broadcast to all elements of the destination
operand (first operand).
The destination operand is a YMM register. The source operand is 8-bit, 16-bit 32-bit, 64-bit memory location or the
low 8-bit, 16-bit 32-bit, 64-bit data in an XMM register. VPBROADCASTB/D/W/Q also support XMM register as the
source operand.
VBROADCASTI128: The destination operand is a YMM register. The source operand is 128-bit memory location.
Register source encodings for VBROADCASTI128 are reserved and will #UD.
VPBROADCASTB/W/D/Q is supported in both 128-bit and 256-bit wide versions.
VBROADCASTI128 is only supported as a 256-bit wide version.
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b otherwise instructions will #UD.
Attempts to execute any VPBROADCAST* instruction with VEX.W = 1 will cause #UD. If VBROADCASTI128 is
encoded with VEX.L= 0, an attempt to execute the instruction encoded with VEX.L= 0 will cause an #UD exception.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.128.66.0F38.W0 78 /r RM V/V AVX2 Broadcast a byte integer in the source operand to six-
teen locations in xmm1.
VPBROADCASTB xmm1, xmm2/m8
VEX.256.66.0F38.W0 78 /r RM V/V AVX2 Broadcast a byte integer in the source operand to thirty-
two locations in ymm1.
VPBROADCASTB ymm1, xmm2/m8
VEX.128.66.0F38.W0 79 /r RM V/V AVX2 Broadcast a word integer in the source operand to eight
locations in xmm1.
VPBROADCASTW xmm1, xmm2/m16
VEX.256.66.0F38.W0 79 /r RM V/V AVX2 Broadcast a word integer in the source operand to six-
teen locations in ymm1.
VPBROADCASTW ymm1, xmm2/m16
VEX.128.66.0F38.W0 58 /r RM V/V AVX2 Broadcast a dword integer in the source operand to four
locations in xmm1.
VPBROADCASTD xmm1, xmm2/m32
VEX.256.66.0F38.W0 58 /r RM V/V AVX2 Broadcast a dword integer in the source operand to eight
locations in ymm1.
VPBROADCASTD ymm1, xmm2/m32
VEX.128.66.0F38.W0 59 /r RM V/V AVX2 Broadcast a qword element in mem to two locations in
xmm1.
VPBROADCASTQ xmm1, xmm2/m64
VEX.256.66.0F38.W0 59 /r RM V/V AVX2 Broadcast a qword element in mem to four locations in
ymm1.
VPBROADCASTQ ymm1, xmm2/m64
VEX.256.66.0F38.W0 5A /r
VBROADCASTI128 ymm1, m128
RM V/V AVX2 Broadcast 128 bits of integer data in mem to low and
high 128-bits in ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (w) ModRM:r/m (r) NA NA
VPBROADCASTBroadcast Integer Data
INSTRUCTION SET REFERENCE, N-Z
4-512 Vol. 2B
F ig u re 4 -3 3 . V P B R O AD C AS T D O p e ra t io n (VE X.2 5 6 e n co d e d v e rsio n )
F ig u re 4 -3 4 . V P B R O AD C AS T D O p e ra t io n (1 2 8 -b it v e rsio n )
F ig u re 4 -3 5 . V P B R O AD C AS T Q O p e ra t io n
DEST
m32
X0
X0 X0 X0 X0 X0 X0 X0 X0
DEST
m32
X0
X0 X0 X0 0 X0 0 0 0
DEST
m64
X0
X0 X0 X0 X0
VPBROADCASTBroadcast Integer Data
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-513
F ig u re 4 -3 6 . V B R O AD C AS T I 1 2 8 O p e ra t io n
O p e ra t io n
VP B R O AD C AS T B (V E X.1 2 8 e n co d e d v e rsio n )
temp SRC[7:0]
FOR j 0 TO 15
DEST[7+j*8: j*8] temp
ENDFOR
DEST[VLMAX-1:128] 0
VP B R O AD C AS T B (V E X.2 5 6 e n co d e d v e rsio n )
temp SRC[7:0]
FOR j 0 TO 31
DEST[7+j*8: j*8] temp
ENDFOR
VP B R O AD C AS T W (VE X.1 2 8 e n co d e d v e rsio n )
temp SRC[15:0]
FOR j 0 TO 7
DEST[15+j*16: j*16] temp
ENDFOR
DEST[VLMAX-1:128] 0
VP B R O AD C AS T W (VE X.2 5 6 e n co d e d v e rsio n )
temp SRC[15:0]
FOR j 0 TO 15
DEST[15+j*16: j*16] temp
ENDFOR
VP B R O AD C AS T D (1 2 8 b it v e rsio n )
temp SRC[31:0]
FOR j 0 TO 3
DEST[31+j*32: j*32] temp
ENDFOR
DEST[VLMAX-1:128] 0
DEST
m128i
X0
X0 X0
VPBROADCASTBroadcast Integer Data
INSTRUCTION SET REFERENCE, N-Z
4-514 Vol. 2B
VP B R O AD C AS T D (VE X.2 5 6 e n co d e d v e rsio n )
temp SRC[31:0]
FOR j 0 TO 7
DEST[31+j*32: j*32] temp
ENDFOR
VP B R O AD C AS T Q (V E X.1 2 8 e n co d e d v e rsio n )
temp SRC[63:0]
DEST[63:0] temp
DEST[127:64] temp
DEST[VLMAX-1:128] 0
VP B R O AD C AS T Q (V E X.2 5 6 e n co d e d v e rsio n )
temp SRC[63:0]
DEST[63:0] temp
DEST[127:64] temp
DEST[191:128] temp
DEST[255:192] temp
VB R O AD C AS T I 1 2 8
temp SRC[127:0]
DEST[127:0] temp
DEST[VLMAX-1:128] temp
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VPBROADCASTB: __m256i _mm256_broadcastb_epi8(__m128i );
VPBROADCASTW: __m256i _mm256_broadcastw_epi16(__m128i );
VPBROADCASTD: __m256i _mm256_broadcastd_epi32(__m128i );
VPBROADCASTQ: __m256i _mm256_broadcastq_epi64(__m128i );
VPBROADCASTB: __m128i _mm_broadcastb_epi8(__m128i );
VPBROADCASTW: __m128i _mm_broadcastw_epi16(__m128i );
VPBROADCASTD: __m128i _mm_broadcastd_epi32(__m128i );
VPBROADCASTQ: __m128i _mm_broadcastq_epi64(__m128i );
VBROADCASTI128: __m256i _mm256_broadcastsi128_si256(__m128i );
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Exceptions Type 6; additionally
#UD If VEX.W = 1,
If VEX.L = 0 for VBROADCASTI128.
VPERMD Full Doublewords Element Permutation
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-515
VP E R MD F u ll D o u b le w o rd s E le m e n t P e rm u t a t io n
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Use the index values in each dword element of the first source operand (the second operand) to select a dword
element in the second source operand (the third operand), the resultant dword value from the second source
operand is copied to the destination operand (the first operand) in the corresponding position of the index element.
Note that this instruction permits a doubleword in the source operand to be copied to more than one doubleword
location in the destination operand.
An attempt to execute VPERMD encoded with VEX.L= 0 will cause an #UD exception.
O p e ra t io n
VP E R MD (V E X.2 5 6 e n co d e d v e rsio n )
DEST[31:0] (SRC2[255:0] >>(SRC1[2:0] * 32))[31:0];
DEST[63:32] (SRC2[255:0] >>(SRC1[34:32] * 32))[31:0];
DEST[95:64] (SRC2[255:0] >>(SRC1[66:64] * 32))[31:0];
DEST[127:96] (SRC2[255:0] >>(SRC1[98:96] * 32))[31:0];
DEST[159:128] (SRC2[255:0] >>(SRC1[130:128] * 32))[31:0];
DEST[191:160] (SRC2[255:0] >>(SRC1[162:160] * 32))[31:0];
DEST[223:192] (SRC2[255:0] >>(SRC1[194:192] * 32))[31:0];
DEST[255:224] (SRC2[255:0] >>(SRC1[226:224] * 32))[31:0];
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VPERMD: __m256i _mm256_permutevar8x32_epi32(__m256i a, __m256i offsets);
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 0 for VPERMD,
If VEX.W = 1.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.NDS.256.66.0F38.W0 36 /r RVM V/V AVX2 Permute doublewords in ymm3/m256 using indexes in
ymm2 and store the result in ymm1.
VPERMD ymm1, ymm2, ymm3/m256
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RVM ModRM:reg (w) VEX.vvvv ModRM:r/m (r) NA
VPERMPD Permute Double-Precision Floating-Point Elements
INSTRUCTION SET REFERENCE, N-Z
4-516 Vol. 2B
VP E R MP D P e rm u t e D o u b le -P re cisio n F lo a t in g -P o in t E le m e n t s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Use two-bit index values in the immediate byte to select a double-precision floating-point element in the source
operand; the resultant data from the source operand is copied to the corresponding element of the destination
operand in the order of the index field. Note that this instruction permits a qword in the source operand to be copied
to multiple location in the destination operand.
An attempt to execute VPERMPD encoded with VEX.L= 0 will cause an #UD exception.
O p e ra t io n
VP E R MP D (VE X.2 5 6 e n co d e d v e rsio n )
DEST[63:0] (SRC[255:0] >>(IMM8[1:0] * 64))[63:0];
DEST[127:64] (SRC[255:0] >>(IMM8[3:2] * 64))[63:0];
DEST[191:128] (SRC[255:0] >>(IMM8[5:4] * 64))[63:0];
DEST[255:192] (SRC[255:0] >>(IMM8[7:6] * 64))[63:0];
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VPERMPD: __m256d _mm256_permute4x64_pd(__m256d a, int control) ;
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 0.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.256.66.0F3A.W1 01 /r ib RMI V/V AVX2 Permute double-precision floating-point elements in
ymm2/m256 using indexes in imm8 and store the
result in ymm1.
VPERMPD ymm1, ymm2/m256, imm8
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RMI ModRM:reg (w) ModRM:r/m (r) Imm8 NA
VPERMPS Permute Single-Precision Floating-Point Elements
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-517
VP E R MP S P e rm u t e S in g le -P re cisio n F lo a t in g -P o in t E le m e n t s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Use the index values in each dword element of the first source operand (the second operand) to select a single-
precision floating-point element in the second source operand (the third operand), the resultant data from the
second source operand is copied to the destination operand (the first operand) in the corresponding position of the
index element. Note that this instruction permits a doubleword in the source operand to be copied to more than
one doubleword location in the destination operand.
An attempt to execute VPERMPS encoded with VEX.L= 0 will cause an #UD exception.
O p e ra t io n
VP E R MP S (VE X.2 5 6 e n co d e d v e rsio n )
DEST[31:0] (SRC2[255:0] >>(SRC1[2:0] * 32))[31:0];
DEST[63:32] (SRC2[255:0] >>(SRC1[34:32] * 32))[31:0];
DEST[95:64] (SRC2[255:0] >>(SRC1[66:64] * 32))[31:0];
DEST[127:96] (SRC2[255:0] >>(SRC1[98:96] * 32))[31:0];
DEST[159:128] (SRC2[255:0] >>(SRC1[130:128] * 32))[31:0];
DEST[191:160] (SRC2[255:0] >>(SRC1[162:160] * 32))[31:0];
DEST[223:192] (SRC2[255:0] >>(SRC1[194:192] * 32))[31:0];
DEST[255:224] (SRC2[255:0] >>(SRC1[226:224] * 32))[31:0];
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VPERMPS: __m256i _mm256_permutevar8x32_ps(__m256 a, __m256i offsets)
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 0,
If VEX.W = 1.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.NDS.256.66.0F38.W0 16 /r RVM V/V AVX2 Permute single-precision floating-point elements in
ymm3/m256 using indexes in ymm2 and store the
result in ymm1.
VPERMPS ymm1, ymm2, ymm3/m256
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RVM ModRM:reg (w) VEX.vvvv ModRM:r/m (r) NA
VPERMQ Qwords Element Permutation
INSTRUCTION SET REFERENCE, N-Z
4-518 Vol. 2B
VP E R MQ Q w o rd s E le m e n t P e rm u t a t io n
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Use two-bit index values in the immediate byte to select a qword element in the source operand, the resultant
qword value from the source operand is copied to the corresponding element of the destination operand in the
order of the index field. Note that this instruction permits a qword in the source operand to be copied to multiple
locations in the destination operand.
An attempt to execute VPERMQ encoded with VEX.L= 0 will cause an #UD exception.
O p e ra t io n
VP E R MQ (VE X.2 5 6 e n co d e d v e rsio n )
DEST[63:0] (SRC[255:0] >>(IMM8[1:0] * 64))[63:0];
DEST[127:64] (SRC[255:0] >>(IMM8[3:2] * 64))[63:0];
DEST[191:128] (SRC[255:0] >>(IMM8[5:4] * 64))[63:0];
DEST[255:192] (SRC[255:0] >>(IMM8[7:6] * 64))[63:0];
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VPERMQ: __m256i _mm256_permute4x64_epi64(__m256i a, int control)
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.L = 0.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.256.66.0F3A.W1 00 /r ib RMI V/V AVX2 Permute qwords in ymm2/m256 using indexes in
imm8 and store the result in ymm1.
VPERMQ ymm1, ymm2/m256, imm8
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RMI ModRM:reg (w) ModRM:r/m (r) Imm8 NA
VPERM2I128 Permute Integer Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-519
VP E R M2 I 1 2 8 P e rm u t e I n t e g e r Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Permute 128 bit integer data from the first source operand (second operand) and second source operand (third
operand) using bits in the 8-bit immediate and store results in the destination operand (first operand). The first
source operand is a YMM register, the second source operand is a YMM register or a 256-bit memory location, and
the destination operand is a YMM register.
F ig u re 4 -3 7. V P E R M2 I 1 2 8 O p e ra t io n
Imm8[1:0] select the source for the first destination 128-bit field, imm8[5:4] select the source for the second
destination field. If imm8[3] is set, the low 128-bit field is zeroed. If imm8[7] is set, the high 128-bit field is
zeroed.
VEX.L must be 1, otherwise the instruction will #UD.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.NDS.256.66.0F3A.W0 46 /r ib RVMI V/V AVX2 Permute 128-bit integer data in ymm2 and
ymm3/mem using controls fromimm8 and
store result in ymm1.
VPERM2I128 ymm1, ymm2, ymm3/m256, imm8
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RVMI ModRM:reg (w) VEX.vvvv ModRM:r/m (r) Imm8
DEST
SRC1
X0 X1
X0, X1, Y0, or Y1
Y0 Y1
X0, X1, Y0, or Y1
SRC2
VPERM2I128 Permute Integer Values
INSTRUCTION SET REFERENCE, N-Z
4-520 Vol. 2B
O p e ra t io n
VP E R M2 I 1 2 8
CASE IMM8[1:0] of
0: DEST[127:0] SRC1[127:0]
1: DEST[127:0] SRC1[255:128]
2: DEST[127:0] SRC2[127:0]
3: DEST[127:0] SRC2[255:128]
ESAC
CASE IMM8[5:4] of
0: DEST[255:128] SRC1[127:0]
1: DEST[255:128] SRC1[255:128]
2: DEST[255:128] SRC2[127:0]
3: DEST[255:128] SRC2[255:128]
ESAC
IF (imm8[3])
DEST[127:0] 0
FI
IF (imm8[7])
DEST[255:128] 0
FI
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VPERM2I128: __m256i _mm256_permute2x128_si256 (__m256i a, __m256i b, int control)
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Exceptions Type 6; additionally
#UD If VEX.L = 0,
If VEX.W = 1.
VPERMILPD Permute Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-521
VP E R MI LP D P e rm u t e D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Permute double-precision floating-point values in the first source operand (second operand) using 8-bit control
fields in the low bytes of the second source operand (third operand) and store results in the destination operand
(first operand). The first source operand is a YMM register, the second source operand is a YMM register or a 256-
bit memory location, and the destination operand is a YMM register.
F ig u re 4 -3 8 . V P E R MI LP D o p e ra t io n
There is one control byte per destination double-precision element. Each control byte is aligned with the low 8 bits
of the corresponding double-precision destination element. Each control byte contains a 1-bit select field (see
Figure 4-39) that determines which of the source elements are selected. Source elements are restricted to lie in
the same source 128-bit region as the destination.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.NDS.128.66.0F38.W0 0D /r
VPERMILPD xmm1, xmm2, xmm3/m128
RVM V/V AVX Permute double-precision floating-point values
in xmm2 using controls fromxmm3/mem and
store result in xmm1.
VEX.NDS.256.66.0F38.W0 0D /r
VPERMILPD ymm1, ymm2, ymm3/m256
RVM V/V AVX Permute double-precision floating-point values
in ymm2 using controls fromymm3/mem and
store result in ymm1.
VEX.128.66.0F3A.W0 05 /r ib
VPERMILPD xmm1, xmm2/m128, imm8
RMI V/V AVX Permute double-precision floating-point values
in xmm2/mem using controls from imm8.
VEX.256.66.0F3A.W0 05 /r ib
VPERMILPD ymm1, ymm2/m256, imm8
RMI V/V AVX Permute double-precision floating-point values
in ymm2/mem using controls fromimm8.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
RMI ModRM:reg (w) ModRM:r/m (r) imm8 NA
X2..X3 X2..X3 X0..X1 X0..X1 DEST
X3 X2
SRC1
X1 X0
VPERMILPD Permute Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-522 Vol. 2B
F ig u re 4 -3 9 . V P E R MI LP D S h u f f le C o n t ro l
(immediate control version)
Permute double-precision floating-point values in the first source operand (second operand) using two, 1-bit
control fields in the low 2 bits of the 8-bit immediate and store results in the destination operand (first operand).
The source operand is a YMM register or 256-bit memory location and the destination operand is a YMM register.
Note: For the VEX.128.66.0F3A 05 instruction version, VEX.vvvv is reserved and must be 1111b otherwise instruc-
tion will #UD.
Note: For the VEX.256.66.0F3A 05 instruction version, VEX.vvvv is reserved and must be 1111b otherwise instruc-
tion will #UD.
O p e ra t io n
VP E R MI LP D (2 5 6 -b it im m e d ia t e v e rsio n )
IF (imm8[0] =0) THEN DEST[63:0]SRC1[63:0]
IF (imm8[0] =1) THEN DEST[63:0]SRC1[127:64]
IF (imm8[1] =0) THEN DEST[127:64]SRC1[63:0]
IF (imm8[1] =1) THEN DEST[127:64]SRC1[127:64]
IF (imm8[2] =0) THEN DEST[191:128]SRC1[191:128]
IF (imm8[2] =1) THEN DEST[191:128]SRC1[255:192]
IF (imm8[3] =0) THEN DEST[255:192]SRC1[191:128]
IF (imm8[3] =1) THEN DEST[255:192]SRC1[255:192]
VP E R MI LP D (1 2 8 -b it im m e d ia t e v e rsio n )
IF (imm8[0] =0) THEN DEST[63:0]SRC1[63:0]
IF (imm8[0] =1) THEN DEST[63:0]SRC1[127:64]
IF (imm8[1] =0) THEN DEST[127:64]SRC1[63:0]
IF (imm8[1] =1) THEN DEST[127:64]SRC1[127:64]
DEST[VLMAX-1:128] 0
VP E R MI LP D (2 5 6 -b it v a ria b le v e rsio n )
IF (SRC2[1] =0) THEN DEST[63:0]SRC1[63:0]
IF (SRC2[1] =1) THEN DEST[63:0]SRC1[127:64]
IF (SRC2[65] =0) THEN DEST[127:64]SRC1[63:0]
IF (SRC2[65] =1) THEN DEST[127:64]SRC1[127:64]
IF (SRC2[129] =0) THEN DEST[191:128]SRC1[191:128]
IF (SRC2[129] =1) THEN DEST[191:128]SRC1[255:192]
IF (SRC2[193] =0) THEN DEST[255:192]SRC1[191:128]
IF (SRC2[193] =1) THEN DEST[255:192]SRC1[255:192]
1
sel
Bit
. . .
ignored
Control Field1 Control Field 2 Control Field 4
i
g
n
o
r
e
d
65
sel
i
g
n
o
r
e
d
194 193
sel
i
g
n
o
r
e
d
255
ignored
66 127
ignored
2 63
VPERMILPD Permute Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-523
VP E R MI LP D (1 2 8 -b it v a ria b le v e rsio n )
IF (SRC2[1] =0) THEN DEST[63:0]SRC1[63:0]
IF (SRC2[1] =1) THEN DEST[63:0]SRC1[127:64]
IF (SRC2[65] =0) THEN DEST[127:64]SRC1[63:0]
IF (SRC2[65] =1) THEN DEST[127:64]SRC1[127:64]
DEST[VLMAX-1:128] 0
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VPERMILPD: __m128d _mm_permute_pd (__m128d a, int control)
VPERMILPD: __m256d _mm256_permute_pd (__m256d a, int control)
VPERMILPD: __m128d _mm_permutevar_pd (__m128d a, __m128i control);
VPERMILPD: __m256d _mm256_permutevar_pd (__m256d a, __m256i control);
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 6; additionally
#UD If VEX.W = 1
VPERMILPS Permute Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-524 Vol. 2B
VP E R MI LP S P e rm u t e S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
(variable control version)
Permute single-precision floating-point values in the first source operand (second operand) using 8-bit control
fields in the low bytes of corresponding elements the shuffle control (third operand) and store results in the desti-
nation operand (first operand). The first source operand is a YMM register, the second source operand is a YMM
register or a 256-bit memory location, and the destination operand is a YMM register.
F ig u re 4 -4 0 . V P E R MI LP S O p e ra t io n
There is one control byte per destination single-precision element. Each control byte is aligned with the low 8 bits
of the corresponding single-precision destination element. Each control byte contains a 2-bit select field (see
Figure 4-41) that determines which of the source elements are selected. Source elements are restricted to lie in the
same source 128-bit region as the destination.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.NDS.128.66.0F38.W0 0C /r
VPERMILPS xmm1, xmm2, xmm3/m128
RVM V/V AVX Permute single-precision floating-point values in
xmm2 using controls from xmm3/mem and store
result in xmm1.
VEX.128.66.0F3A.W0 04 /r ib
VPERMILPS xmm1, xmm2/m128, imm8
RMI V/V AVX Permute single-precision floating-point values in
xmm2/mem using controls from imm8 and store
result in xmm1.
VEX.NDS.256.66.0F38.W0 0C /r
VPERMILPS ymm1, ymm2, ymm3/m256
RVM V/V AVX Permute single-precision floating-point values in
ymm2 using controls from ymm3/mem and store
result in ymm1.
VEX.256.66.0F3A.W0 04 /r ib
VPERMILPS ymm1, ymm2/m256, imm8
RMI V/V AVX Permute single-precision floating-point values in
ymm2/mem using controls from imm8 and store
result in ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m(r) NA
RMI ModRM:reg (w) ModRM:r/m (r) imm8 NA
X7 .. X4 X7 .. X4 X3 ..X0
X3 .. X0
DEST
SRC1
X0 X1 X2 X3 X4 X5 X6 X7
X3 .. X0
X7 .. X4 X7 .. X4 X3 ..X0
VPERMILPS Permute Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-525
F ig u re 4 -4 1 . V P E R MI LP S S h u f f le C o n t ro l
(immediate control version)
Permute single-precision floating-point values in the first source operand (second operand) using four 2-bit control
fields in the 8-bit immediate and store results in the destination operand (first operand). The source operand is a
YMM register or 256-bit memory location and the destination operand is a YMM register. This is similar to a wider
version of PSHUFD, just operating on single-precision floating-point values.
Note: For the VEX.128.66.0F3A 04 instruction version, VEX.vvvv is reserved and must be 1111b otherwise instruc-
tion will #UD.
Note: For the VEX.256.66.0F3A 04 instruction version, VEX.vvvv is reserved and must be 1111b otherwise instruc-
tion will #UD.
O p e ra t io n
Select4(SRC, control) {
CASE (control[1:0]) OF
0: TMP SRC[31:0];
1: TMP SRC[63:32];
2: TMP SRC[95:64];
3: TMP SRC[127:96];
ESAC;
RETURN TMP
}
VP E R MI LP S (2 5 6 -b it im m e d ia t e v e rsio n )
DEST[31:0] Select4(SRC1[127:0], imm8[1:0]);
DEST[63:32] Select4(SRC1[127:0], imm8[3:2]);
DEST[95:64] Select4(SRC1[127:0], imm8[5:4]);
DEST[127:96] Select4(SRC1[127:0], imm8[7:6]);
DEST[159:128] Select4(SRC1[255:128], imm8[1:0]);
DEST[191:160] Select4(SRC1[255:128], imm8[3:2]);
DEST[223:192] Select4(SRC1[255:128], imm8[5:4]);
DEST[255:224] Select4(SRC1[255:128], imm8[7:6]);
VP E R MI LP S (1 2 8 -b it im m e d ia t e v e rsio n )
DEST[31:0] Select4(SRC1[127:0], imm8[1:0]);
DEST[63:32] Select4(SRC1[127:0], imm8[3:2]);
DEST[95:64] Select4(SRC1[127:0], imm8[5:4]);
DEST[127:96] Select4(SRC1[127:0], imm8[7:6]);
DEST[VLMAX-1:128] 0
sel
Bit
34 33 32
sel
. . .
226 225 224
sel ignored
Control Field 1 Control Field 2 Control Field 7
1 0 255
ignored ignored
63 31
VPERMILPS Permute Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-526 Vol. 2B
VP E R MI LP S (2 5 6 -b it v a ria b le v e rsio n )
DEST[31:0] Select4(SRC1[127:0], SRC2[1:0]);
DEST[63:32] Select4(SRC1[127:0], SRC2[33:32]);
DEST[95:64] Select4(SRC1[127:0], SRC2[65:64]);
DEST[127:96] Select4(SRC1[127:0], SRC2[97:96]);
DEST[159:128] Select4(SRC1[255:128], SRC2[129:128]);
DEST[191:160] Select4(SRC1[255:128], SRC2[161:160]);
DEST[223:192] Select4(SRC1[255:128], SRC2[193:192]);
DEST[255:224] Select4(SRC1[255:128], SRC2[225:224]);
VP E R MI LP S (1 2 8 -b it v a ria b le v e rsio n )
DEST[31:0] Select4(SRC1[127:0], SRC2[1:0]);
DEST[63:32] Select4(SRC1[127:0], SRC2[33:32]);
DEST[95:64] Select4(SRC1[127:0], SRC2[65:64]);
DEST[127:96] Select4(SRC1[127:0], SRC2[97:96]);
DEST[VLMAX-1:128] 0
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VPERM1LPS: __m128 _mm_permute_ps (__m128 a, int control);
VPERM1LPS: __m256 _mm256_permute_ps (__m256 a, int control);
VPERM1LPS: __m128 _mm_permutevar_ps (__m128 a, __m128i control);
VPERM1LPS: __m256 _mm256_permutevar_ps (__m256 a, __m256i control);
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 6; additionally
#UD If VEX.W = 1.
VPERM2F128 Permute Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-527
VP E R M2 F 1 2 8 P e rm u t e F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Permute 128 bit floating-point-containing fields from the first source operand (second operand) and second source
operand (third operand) using bits in the 8-bit immediate and store results in the destination operand (first
operand). The first source operand is a YMM register, the second source operand is a YMM register or a 256-bit
memory location, and the destination operand is a YMM register.
F ig u re 4 -4 2 . V P E R M2 F 1 2 8 O p e ra t io n
Imm8[1:0] select the source for the first destination 128-bit field, imm8[5:4] select the source for the second
destination field. If imm8[3] is set, the low 128-bit field is zeroed. If imm8[7] is set, the high 128-bit field is
zeroed.
VEX.L must be 1, otherwise the instruction will #UD.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.NDS.256.66.0F3A.W0 06 /r ib
VPERM2F128 ymm1, ymm2, ymm3/m256, imm8
RVMI V/V AVX Permute 128-bit floating-point fields in ymm2
and ymm3/mem using controls fromimm8 and
store result in ymm1.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RVMI ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) imm8
DEST
SRC1
X0 X1
X0, X1, Y0, or Y1
Y0 Y1
X0, X1, Y0, or Y1
SRC2
VPERM2F128 Permute Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-528 Vol. 2B
O p e ra t io n
VP E R M2 F 1 2 8
CASE IMM8[1:0] of
0: DEST[127:0] SRC1[127:0]
1: DEST[127:0] SRC1[255:128]
2: DEST[127:0] SRC2[127:0]
3: DEST[127:0] SRC2[255:128]
ESAC
CASE IMM8[5:4] of
0: DEST[255:128] SRC1[127:0]
1: DEST[255:128] SRC1[255:128]
2: DEST[255:128] SRC2[127:0]
3: DEST[255:128] SRC2[255:128]
ESAC
IF (imm8[3])
DEST[127:0] 0
FI
IF (imm8[7])
DEST[VLMAX-1:128] 0
FI
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VPERM2F128: __m256 _mm256_permute2f128_ps (__m256 a, __m256 b, int control)
VPERM2F128: __m256d _mm256_permute2f128_pd (__m256d a, __m256d b, int control)
VPERM2F128: __m256i _mm256_permute2f128_si256 (__m256i a, __m256i b, int control)
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 6; additionally
#UD If VEX.L = 0
If VEX.W = 1.
VPMASKMOV Conditional SIMD Integer Packed Loads and Stores
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-529
VP MAS K MO V C o n d it io n a l S I MD I n t e g e r P a cke d Lo a d s a n d S t o re s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Conditionally moves packed data elements from the second source operand into the corresponding data element
of the destination operand, depending on the mask bits associated with each data element. The mask bits are
specified in the first source operand.
The mask bit for each data element is the most significant bit of that element in the first source operand. If a mask
is 1, the corresponding data element is copied from the second source operand to the destination operand. If the
mask is 0, the corresponding data element is set to zero in the load form of these instructions, and unmodified in
the store form.
The second source operand is a memory address for the load form of these instructions. The destination operand
is a memory address for the store form of these instructions. The other operands are either XMM registers (for
VEX.128 version) or YMM registers (for VEX.256 version).
Faults occur only due to mask-bit required memory accesses that caused the faults. Faults will not occur due to
referencing any memory location if the corresponding mask bit for that memory location is 0. For example, no
faults will be detected if the mask bits are all zero.
Unlike previous MASKMOV instructions (MASKMOVQ and MASKMOVDQU), a nontemporal hint is not applied to
these instructions.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.NDS.128.66.0F38.W0 8C /r RVM V/V AVX2 Conditionally load dword values from m128 using mask
in xmm2 and store in xmm1.
VPMASKMOVD xmm1, xmm2, m128
VEX.NDS.256.66.0F38.W0 8C /r RVM V/V AVX2 Conditionally load dword values from m256 using mask
in ymm2 and store in ymm1.
VPMASKMOVD ymm1, ymm2, m256
VEX.NDS.128.66.0F38.W1 8C /r RVM V/V AVX2 Conditionally load qword values from m128 using mask
in xmm2 and store in xmm1.
VPMASKMOVQ xmm1, xmm2, m128
VEX.NDS.256.66.0F38.W1 8C /r RVM V/V AVX2 Conditionally load qword values from m256 using mask
in ymm2 and store in ymm1.
VPMASKMOVQ ymm1, ymm2, m256
VEX.NDS.128.66.0F38.W0 8E /r MVR V/V AVX2 Conditionally store dword values fromxmm2 using
mask in xmm1.
VPMASKMOVD m128, xmm1, xmm2
VEX.NDS.256.66.0F38.W0 8E /r MVR V/V AVX2 Conditionally store dword values fromymm2 using
mask in ymm1.
VPMASKMOVD m256, ymm1, ymm2
VEX.NDS.128.66.0F38.W1 8E /r MVR V/V AVX2 Conditionally store qword values fromxmm2 using
mask in xmm1.
VPMASKMOVQ m128, xmm1, xmm2
VEX.NDS.256.66.0F38.W1 8E /r MVR V/V AVX2 Conditionally store qword values fromymm2 using
mask in ymm1.
VPMASKMOVQ m256, ymm1, ymm2
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RVM ModRM:reg (w) VEX.vvvv ModRM:r/m (r) NA
MVR ModRM:r/m(w) VEX.vvvv ModRM:reg (r) NA
VPMASKMOV Conditional SIMD Integer Packed Loads and Stores
INSTRUCTION SET REFERENCE, N-Z
4-530 Vol. 2B
Instruction behavior on alignment check reporting with mask bits of less than all 1s are the same as with mask bits
of all 1s.
VMASKMOV should not be used to access memory mapped I/O as the ordering of the individual loads or stores it
does is implementation specific.
In cases where mask bits indicate data should not be loaded or stored paging A and D bits will be set in an imple-
mentation dependent way. However, A and D bits are always set for pages where data is actually loaded/stored.
Note: for load forms, the first source (the mask) is encoded in VEX.vvvv; the second source is encoded in rm_field,
and the destination register is encoded in reg_field.
Note: for store forms, the first source (the mask) is encoded in VEX.vvvv; the second source register is encoded in
reg_field, and the destination memory location is encoded in rm_field.
O p e ra t io n
VP MAS K MO V D - 2 5 6 -b it lo a d
DEST[31:0] IF (SRC1[31]) Load_32(mem) ELSE 0
DEST[63:32] IF (SRC1[63]) Load_32(mem +4) ELSE 0
DEST[95:64] IF (SRC1[95]) Load_32(mem +8) ELSE 0
DEST[127:96] IF (SRC1[127]) Load_32(mem+12) ELSE 0
DEST[159:128] IF (SRC1[159]) Load_32(mem +16) ELSE 0
DEST[191:160] IF (SRC1[191]) Load_32(mem +20) ELSE 0
DEST[223:192] IF (SRC1[223]) Load_32(mem +24) ELSE 0
DEST[255:224] IF (SRC1[255]) Load_32(mem +28) ELSE 0
VP MAS K MO V D -1 2 8 -b it lo a d
DEST[31:0] IF (SRC1[31]) Load_32(mem) ELSE 0
DEST[63:32] IF (SRC1[63]) Load_32(mem +4) ELSE 0
DEST[95:64] IF (SRC1[95]) Load_32(mem +8) ELSE 0
DEST[127:97] IF (SRC1[127]) Load_32(mem+12) ELSE 0
DEST[VLMAX-1:128] 0
VP MAS K MO V Q - 2 5 6 -b it lo a d
DEST[63:0] IF (SRC1[63]) Load_64(mem) ELSE 0
DEST[127:64] IF (SRC1[127]) Load_64(mem+8) ELSE 0
DEST[195:128] IF (SRC1[191]) Load_64(mem +16) ELSE 0
DEST[255:196] IF (SRC1[255]) Load_64(mem +24) ELSE 0
VP MAS K MO V Q - 1 2 8 -b it lo a d
DEST[63:0] IF (SRC1[63]) Load_64(mem) ELSE 0
DEST[127:64] IF (SRC1[127]) Load_64(mem+16) ELSE 0
DEST[VLMAX-1:128] 0
VP MAS K MO V D - 2 5 6 -b it st o re
IF (SRC1[31]) DEST[31:0] SRC2[31:0]
IF (SRC1[63]) DEST[63:32] SRC2[63:32]
IF (SRC1[95]) DEST[95:64] SRC2[95:64]
IF (SRC1[127]) DEST[127:96] SRC2[127:96]
IF (SRC1[159]) DEST[159:128] SRC2[159:128]
IF (SRC1[191]) DEST[191:160] SRC2[191:160]
IF (SRC1[223]) DEST[223:192] SRC2[223:192]
IF (SRC1[255]) DEST[255:224] SRC2[255:224]
VPMASKMOV Conditional SIMD Integer Packed Loads and Stores
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-531
VP MAS K MO V D - 1 2 8 -b it st o re
IF (SRC1[31]) DEST[31:0] SRC2[31:0]
IF (SRC1[63]) DEST[63:32] SRC2[63:32]
IF (SRC1[95]) DEST[95:64] SRC2[95:64]
IF (SRC1[127]) DEST[127:96] SRC2[127:96]
VP MAS K MO V Q - 2 5 6 -b it st o re
IF (SRC1[63]) DEST[63:0] SRC2[63:0]
IF (SRC1[127]) DEST[127:64] SRC2[127:64]
IF (SRC1[191]) DEST[191:128] SRC2[191:128]
IF (SRC1[255]) DEST[255:192] SRC2[255:192]
VP MAS K MO V Q - 1 2 8 -b it st o re
IF (SRC1[63]) DEST[63:0] SRC2[63:0]
IF (SRC1[127]) DEST[127:64] SRC2[127:64]
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VPMASKMOVD: __m256i _mm256_maskload_epi32(int const *a, __m256i mask)
VPMASKMOVD: void _mm256_maskstore_epi32(int *a, __m256i mask, __m256i b)
VPMASKMOVQ: __m256i _mm256_maskload_epi64(__int64 const *a, __m256i mask);
VPMASKMOVQ: void _mm256_maskstore_epi64(__int64 *a, __m256i mask, __m256d b);
VPMASKMOVD: __m128i _mm_maskload_epi32(int const *a, __m128i mask)
VPMASKMOVD: void _mm_maskstore_epi32(int *a, __m128i mask, __m128 b)
VPMASKMOVQ: __m128i _mm_maskload_epi64(__int cont *a, __m128i mask);
VPMASKMOVQ: void _mm_maskstore_epi64(__int64 *a, __m128i mask, __m128i b);
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Exceptions Type 6 (No AC# reported for any mask bit combinations).
VPSLLVD/VPSLLVQ Variable Bit Shift Left Logical
INSTRUCTION SET REFERENCE, N-Z
4-532 Vol. 2B
VP S LLVD /VP S LLVQ Va ria b le B it S h ift Le ft Lo g ica l
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Shifts the bits in the individual data elements (doublewords, or quadword) in the first source operand to the left by
the count value of respective data elements in the second source operand. As the bits in the data elements are
shifted left, the empty low-order bits are cleared (set to 0).
The count values are specified individually in each data element of the second source operand. If the unsigned
integer value specified in the respective data element of the second source operand is greater than 31 (for double-
words), or 63 (for a quadword), then the destination data element are written with 0.
VEX.128 encoded version: The destination and first source operands are XMM registers. The count operand can be
either an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the corresponding YMM register are
zeroed.
VEX.256 encoded version: The destination and first source operands are YMM registers. The count operand can be
either an YMM register or a 256-bit memory location.
O p e ra t io n
VP S LLV D (V E X.1 2 8 v e rsio n )
COUNT_0 SRC2[31 : 0]
(* Repeat Each COUNT_i for the 2nd through 4th dwords of SRC2*)
COUNT_3 SRC2[127 : 96];
IF COUNT_0 <32 THEN
DEST[31:0] ZeroExtend(SRC1[31:0] <<COUNT_0);
ELSE
DEST[31:0] 0;
(* Repeat shift operation for 2nd through 4th dwords *)
IF COUNT_3 <32 THEN
DEST[127:96] ZeroExtend(SRC1[127:96] <<COUNT_3);
ELSE
DEST[127:96] 0;
DEST[VLMAX-1:128] 0;
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.NDS.128.66.0F38.W0 47 /r RVM V/V AVX2 Shift bits in doublewords in xmm2 left by amount speci-
fied in the corresponding element of xmm3/m128 while
shifting in 0s.
VPSLLVD xmm1, xmm2, xmm3/m128
VEX.NDS.128.66.0F38.W1 47 /r RVM V/V AVX2 Shift bits in quadwords in xmm2 left by amount speci-
fied in the corresponding element of xmm3/m128 while
shifting in 0s.
VPSLLVQ xmm1, xmm2, xmm3/m128
VEX.NDS.256.66.0F38.W0 47 /r RVM V/V AVX2 Shift bits in doublewords in ymm2 left by amount speci-
fied in the corresponding element of ymm3/m256 while
shifting in 0s.
VPSLLVD ymm1, ymm2, ymm3/m256
VEX.NDS.256.66.0F38.W1 47 /r RVM V/V AVX2 Shift bits in quadwords in ymm2 left by amount speci-
fied in the corresponding element of ymm3/m256 while
shifting in 0s.
VPSLLVQ ymm1, ymm2, ymm3/m256
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RVM ModRM:reg (w) VEX.vvvv ModRM:r/m (r) NA
VPSLLVD/VPSLLVQ Variable Bit Shift Left Logical
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-533
VP S LLV D (V E X.2 5 6 v e rsio n )
COUNT_0 SRC2[31 : 0];
(* Repeat Each COUNT_i for the 2nd through 7th dwords of SRC2*)
COUNT_7 SRC2[255 : 224];
IF COUNT_0 <32 THEN
DEST[31:0] ZeroExtend(SRC1[31:0] <<COUNT_0);
ELSE
DEST[31:0] 0;
(* Repeat shift operation for 2nd through 7th dwords *)
IF COUNT_7 <32 THEN
DEST[255:224] ZeroExtend(SRC1[255:224] <<COUNT_7);
ELSE
DEST[255:224] 0;
VP S LLV Q (VE X.1 2 8 v e rsio n )
COUNT_0 SRC2[63 : 0];
COUNT_1 SRC2[127 : 64];
IF COUNT_0 <64THEN
DEST[63:0] ZeroExtend(SRC1[63:0] <<COUNT_0);
ELSE
DEST[63:0] 0;
IF COUNT_1 <64 THEN
DEST[127:64] ZeroExtend(SRC1[127:64] <<COUNT_1);
ELSE
DEST[127:96] 0;
DEST[VLMAX-1:128] 0;
VP S LLV Q (VE X.2 5 6 v e rsio n )
COUNT_0 SRC2[5 : 0];
(* Repeat Each COUNT_i for the 2nd through 4th dwords of SRC2*)
COUNT_3 SRC2[197 : 192];
IF COUNT_0 <64THEN
DEST[63:0] ZeroExtend(SRC1[63:0] <<COUNT_0);
ELSE
DEST[63:0] 0;
(* Repeat shift operation for 2nd through 4th dwords *)
IF COUNT_3 <64 THEN
DEST[255:192] ZeroExtend(SRC1[255:192] <<COUNT_3);
ELSE
DEST[255:192] 0;
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VPSLLVD: __m256i _mm256_sllv_epi32 (__m256i m, __m256i count)
VPSLLVD: __m128i _mm_sllv_epi32 (__m128i m, __m128i count)
VPSLLVQ: __m256i _mm256_sllv_epi64 (__m256i m, __m256i count)
VPSLLVQ: __m128i _mm_sllv_epi64 (__m128i m, __m128i count)
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Exceptions Type 4
VPSRAVD Variable Bit Shift Right Arithmetic
INSTRUCTION SET REFERENCE, N-Z
4-534 Vol. 2B
VP S R AVD Va ria b le B it S h ift R ig h t Arit h m e t ic
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Shifts the bits in the individual doubleword data elements in the first source operand to the right by the count value
of respective data elements in the second source operand. As the bits in each data element are shifted right, the
empty high-order bits are filled with the sign bit of the source element.
The count values are specified individually in each data element of the second source operand. If the unsigned
integer value specified in the respective data element of the second source operand is greater than 31, then the
destination data element are filled with the corresponding sign bit of the source element.
VEX.128 encoded version: The destination and first source operands are XMM registers. The count operand can be
either an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the corresponding YMM register are
zeroed.
VEX.256 encoded version: The destination and first source operands are YMM registers. The count operand can be
either an YMM register or a 256-bit memory location.
O p e ra t io n
VP S R AVD (VE X.1 2 8 v e rsio n )
COUNT_0 SRC2[31: 0]
(* Repeat Each COUNT_i for the 2nd through 4th dwords of SRC2*)
COUNT_3 SRC2[127 : 112];
IF COUNT_0 <32 THEN
DEST[31:0] SignExtend(SRC1[31:0] >>COUNT_0);
ELSE
For (i =0 to 31) DEST[i +0] (SRC1[31] );
FI;
(* Repeat shift operation for 2nd through 4th dwords *)
IF COUNT_3 <32 THEN
DEST[127:96] SignExtend(SRC1[127:96] >>COUNT_3);
ELSE
For (i =0 to 31) DEST[i +96] (SRC1[127] );
FI;
DEST[VLMAX-1:128] 0;
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.NDS.128.66.0F38.W0 46 /r RVM V/V AVX2 Shift bits in doublewords in xmm2 right by amount speci-
fied in the corresponding element of xmm3/m128 while
shifting in the sign bits.
VPSRAVD xmm1, xmm2, xmm3/m128
VEX.NDS.256.66.0F38.W0 46 /r RVM V/V AVX2 Shift bits in doublewords in ymm2 right by amount speci-
fied in the corresponding element of ymm3/m256 while
shifting in the sign bits.
VPSRAVD ymm1, ymm2, ymm3/m256
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RVM ModRM:reg (w) VEX.vvvv ModRM:r/m (r) NA
VPSRAVD Variable Bit Shift Right Arithmetic
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-535
VP S R AVD (VE X.2 5 6 v e rsio n )
COUNT_0 SRC2[31 : 0];
(* Repeat Each COUNT_i for the 2nd through 7th dwords of SRC2*)
COUNT_7 SRC2[255 : 224];
IF COUNT_0 <32 THEN
DEST[31:0] SignExtend(SRC1[31:0] >>COUNT_0);
ELSE
For (i =0 to 31) DEST[i +0] (SRC1[31] );
FI;
(* Repeat shift operation for 2nd through 7th dwords *)
IF COUNT_7 <32 THEN
DEST[255:224] SignExtend(SRC1[255:224] >>COUNT_7);
ELSE
For (i =0 to 31) DEST[i +224] (SRC1[255] );
FI;
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VPSRAVD: __m256i _mm256_srav_epi32 (__m256i m, __m256i count)
VPSRAVD: __m128i _mm_srav_epi32 (__m128i m, __m128i count)
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.W = 1.
VPSRLVD/VPSRLVQ Variable Bit Shift Right Logical
INSTRUCTION SET REFERENCE, N-Z
4-536 Vol. 2B
VP S R LVD /VP S R LVQ Va ria b le B it S h ift R ig h t Lo g ica l
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Shifts the bits in the individual data elements (doublewords, or quadword) in the first source operand to the right
by the count value of respective data elements in the second source operand. As the bits in the data elements are
shifted right, the empty high-order bits are cleared (set to 0).
The count values are specified individually in each data element of the second source operand. If the unsigned
integer value specified in the respective data element of the second source operand is greater than 31 (for double-
words), or 63 (for a quadword), then the destination data element are written with 0.
VEX.128 encoded version: The destination and first source operands are XMM registers. The count operand can be
either an XMM register or a 128-bit memory location. Bits (VLMAX-1:128) of the corresponding YMM register are
zeroed.
VEX.256 encoded version: The destination and first source operands are YMM registers. The count operand can be
either an YMM register or a 256-bit memory location.
O p e ra t io n
VP S R LVD (VE X.1 2 8 v e rsio n )
COUNT_0 SRC2[31 : 0]
(* Repeat Each COUNT_i for the 2nd through 4th dwords of SRC2*)
COUNT_3 SRC2[127 : 96];
IF COUNT_0 <32 THEN
DEST[31:0] ZeroExtend(SRC1[31:0] >>COUNT_0);
ELSE
DEST[31:0] 0;
(* Repeat shift operation for 2nd through 4th dwords *)
IF COUNT_3 <32 THEN
DEST[127:96] ZeroExtend(SRC1[127:96] >>COUNT_3);
ELSE
DEST[127:96] 0;
DEST[VLMAX-1:128] 0;
O p co d e /
I n st ru ct io n
O p /
E N
6 4 /3 2
-b it
Mo d e
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.NDS.128.66.0F38.W0 45 /r RVM V/V AVX2 Shift bits in doublewords in xmm2 right by amount spec-
ified in the corresponding element of xmm3/m128 while
shifting in 0s.
VPSRLVD xmm1, xmm2, xmm3/m128
VEX.NDS.128.66.0F38.W1 45 /r RVM V/V AVX2 Shift bits in quadwords in xmm2 right by amount speci-
fied in the corresponding element of xmm3/m128 while
shifting in 0s.
VPSRLVQ xmm1, xmm2, xmm3/m128
VEX.NDS.256.66.0F38.W0 45 /r RVM V/V AVX2 Shift bits in doublewords in ymm2 right by amount spec-
ified in the corresponding element of ymm3/m256 while
shifting in 0s.
VPSRLVD ymm1, ymm2, ymm3/m256
VEX.NDS.256.66.0F38.W1 45 /r RVM V/V AVX2 Shift bits in quadwords in ymm2 right by amount speci-
fied in the corresponding element of ymm3/m256 while
shifting in 0s.
VPSRLVQ ymm1, ymm2, ymm3/m256
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RVM ModRM:reg (w) VEX.vvvv ModRM:r/m (r) NA
VPSRLVD/VPSRLVQ Variable Bit Shift Right Logical
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-537
VP S R LVD (VE X.2 5 6 v e rsio n )
COUNT_0 SRC2[31 : 0];
(* Repeat Each COUNT_i for the 2nd through 7th dwords of SRC2*)
COUNT_7 SRC2[255 : 224];
IF COUNT_0 <32 THEN
DEST[31:0] ZeroExtend(SRC1[31:0] >>COUNT_0);
ELSE
DEST[31:0] 0;
(* Repeat shift operation for 2nd through 7th dwords *)
IF COUNT_7 <32 THEN
DEST[255:224] ZeroExtend(SRC1[255:224] >>COUNT_7);
ELSE
DEST[255:224] 0;
VP S R LVQ (VE X.1 2 8 v e rsio n )
COUNT_0 SRC2[63 : 0];
COUNT_1 SRC2[127 : 64];
IF COUNT_0 <64 THEN
DEST[63:0] ZeroExtend(SRC1[63:0] >>COUNT_0);
ELSE
DEST[63:0] 0;
IF COUNT_1 <64THEN
DEST[127:64] ZeroExtend(SRC1[127:64] >>COUNT_1);
ELSE
DEST[127:64] 0;
DEST[VLMAX-1:128] 0;
VP S R LVQ (VE X.2 5 6 v e rsio n )
COUNT_0 SRC2[63 : 0];
(* Repeat Each COUNT_i for the 2nd through 4th dwords of SRC2*)
COUNT_3 SRC2[255 : 192];
IF COUNT_0 <64 THEN
DEST[63:0] ZeroExtend(SRC1[63:0] >>COUNT_0);
ELSE
DEST[63:0] 0;
(* Repeat shift operation for 2nd through 4th dwords *)
IF COUNT_3 <64THEN
DEST[255:192] ZeroExtend(SRC1[255:192] >>COUNT_3);
ELSE
DEST[255:192] 0;
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VPSRLVD: __m256i _mm256_srlv_epi32 (__m256i m, __m256i count);
VPSRLVD: __m128i _mm_srlv_epi32 (__m128i m, __m128i count);
VPSRLVQ: __m256i _mm256_srlv_epi64 (__m256i m, __m256i count);
VPSRLVQ: __m128i _mm_srlv_epi64 (__m128i m, __m128i count);
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
See Exceptions Type 4
VTESTPD/VTESTPSPacked Bit Test
INSTRUCTION SET REFERENCE, N-Z
4-538 Vol. 2B
VT E S T P D /VT E S T P S P a cke d B it Te st
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
VTESTPS performs a bitwise comparison of all the sign bits of the packed single-precision elements in the first
source operation and corresponding sign bits in the second source operand. If the AND of the source sign bits with
the dest sign bits produces all zeros, the ZF is set else the ZF is clear. If the AND of the source sign bits with the
inverted dest sign bits produces all zeros the CF is set else the CF is clear. An attempt to execute VTESTPS with
VEX.W=1 will cause #UD.
VTESTPD performs a bitwise comparison of all the sign bits of the double-precision elements in the first source
operation and corresponding sign bits in the second source operand. If the AND of the source sign bits with the dest
sign bits produces all zeros, the ZF is set else the ZF is clear. If the AND the source sign bits with the inverted dest
sign bits produces all zeros the CF is set else the CF is clear. An attempt to execute VTESTPS with VEX.W=1 will
cause #UD.
The first source register is specified by the ModR/M reg field.
128-bit version: The first source register is an XMM register. The second source register can be an XMM register or
a 128-bit memory location. The destination register is not modified.
VEX.256 encoded version: The first source register is a YMM register. The second source register can be a YMM
register or a 256-bit memory location. The destination register is not modified.
Note: In VEX-encoded versions, VEX.vvvv is reserved and must be 1111b, otherwise instructions will #UD.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.128.66.0F38.W0 0E /r
VTESTPS xmm1, xmm2/m128
RM V/V AVX Set ZF and CF depending on sign bit AND and
ANDN of packed single-precision floating-point
sources.
VEX.256.66.0F38.W0 0E /r
VTESTPS ymm1, ymm2/m256
RM V/V AVX Set ZF and CF depending on sign bit AND and
ANDN of packed single-precision floating-point
sources.
VEX.128.66.0F38.W0 0F /r
VTESTPD xmm1, xmm2/m128
RM V/V AVX Set ZF and CF depending on sign bit AND and
ANDN of packed double-precision floating-point
sources.
VEX.256.66.0F38.W0 0F /r
VTESTPD ymm1, ymm2/m256
RM V/V AVX Set ZF and CF depending on sign bit AND and
ANDN of packed double-precision floating-point
sources.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r) ModRM:r/m (r) NA NA
VTESTPD/VTESTPSPacked Bit Test
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-539
O p e ra t io n
VT E S T P S (1 2 8 -b it v e rsio n )
TEMP[127:0] SRC[127:0] AND DEST[127:0]
IF (TEMP[31] =TEMP[63] =TEMP[95] =TEMP[127] =0)
THEN ZF 1;
ELSE ZF 0;
TEMP[127:0] SRC[127:0] AND NOT DEST[127:0]
IF (TEMP[31] =TEMP[63] =TEMP[95] =TEMP[127] =0)
THEN CF 1;
ELSE CF 0;
DEST (unmodified)
AF OF PF SF 0;
VT E S T P S (VE X.2 5 6 e n co d e d v e rsio n )
TEMP[255:0] SRC[255:0] AND DEST[255:0]
IF (TEMP[31] =TEMP[63] =TEMP[95] =TEMP[127]=TEMP[160] =TEMP[191] =TEMP[224] =TEMP[255] =0)
THEN ZF 1;
ELSE ZF 0;
TEMP[255:0] SRC[255:0] AND NOT DEST[255:0]
IF (TEMP[31] =TEMP[63] =TEMP[95] =TEMP[127]=TEMP[160] =TEMP[191] =TEMP[224] =TEMP[255] =0)
THEN CF 1;
ELSE CF 0;
DEST (unmodified)
AF OF PF SF 0;
VT E S T P D (1 2 8 -b it v e rsio n )
TEMP[127:0] SRC[127:0] AND DEST[127:0]
IF ( TEMP[63] =TEMP[127] =0)
THEN ZF 1;
ELSE ZF 0;
TEMP[127:0] SRC[127:0] AND NOT DEST[127:0]
IF ( TEMP[63] =TEMP[127] =0)
THEN CF 1;
ELSE CF 0;
DEST (unmodified)
AF OF PF SF 0;
VT E S T P D (VE X.2 5 6 e n co d e d v e rsio n )
TEMP[255:0] SRC[255:0] AND DEST[255:0]
IF (TEMP[63] =TEMP[127] =TEMP[191] =TEMP[255] =0)
THEN ZF 1;
ELSE ZF 0;
TEMP[255:0] SRC[255:0] AND NOT DEST[255:0]
IF (TEMP[63] =TEMP[127] =TEMP[191] =TEMP[255] =0)
THEN CF 1;
ELSE CF 0;
DEST (unmodified)
AF OF PF SF 0;
VTESTPD/VTESTPSPacked Bit Test
INSTRUCTION SET REFERENCE, N-Z
4-540 Vol. 2B
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
VT E S T P S
int _mm256_testz_ps (__m256 s1, __m256 s2);
int _mm256_testc_ps (__m256 s1, __m256 s2);
int _mm256_testnzc_ps (__m256 s1, __m128 s2);
int _mm_testz_ps (__m128 s1, __m128 s2);
int _mm_testc_ps (__m128 s1, __m128 s2);
int _mm_testnzc_ps (__m128 s1, __m128 s2);
VT E S T P D
int _mm256_testz_pd (__m256d s1, __m256d s2);
int _mm256_testc_pd (__m256d s1, __m256d s2);
int _mm256_testnzc_pd (__m256d s1, __m256d s2);
int _mm_testz_pd (__m128d s1, __m128d s2);
int _mm_testc_pd (__m128d s1, __m128d s2);
int _mm_testnzc_pd (__m128d s1, __m128d s2);
F la g s Af fe ct e d
The 0F, AF, PF, SF flags are cleared and the ZF, CF flags are set according to the operation.
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4; additionally
#UD If VEX.vvvv != 1111B.
If VEX.W = 1 for VTESTPS or VTESTPD.
VZEROALLZero All YMM Registers
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-541
VZ E R O ALLZ e ro All YMM R e g ist e rs
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
The instruction zeros contents of all XMM or YMM registers.
Note: VEX.vvvv is reserved and must be 1111b, otherwise instructions will #UD. In Compatibility and legacy 32-
bit mode only the lower 8 registers are modified.
O p e ra t io n
VZ E R O ALL (VE X.2 5 6 e n co d e d v e rsio n )
IF (64-bit mode)
YMM0[VLMAX-1:0] 0
YMM1[VLMAX-1:0] 0
YMM2[VLMAX-1:0] 0
YMM3[VLMAX-1:0] 0
YMM4[VLMAX-1:0] 0
YMM5[VLMAX-1:0] 0
YMM6[VLMAX-1:0] 0
YMM7[VLMAX-1:0] 0
YMM8[VLMAX-1:0] 0
YMM9[VLMAX-1:0] 0
YMM10[VLMAX-1:0] 0
YMM11[VLMAX-1:0] 0
YMM12[VLMAX-1:0] 0
YMM13[VLMAX-1:0] 0
YMM14[VLMAX-1:0] 0
YMM15[VLMAX-1:0] 0
ELSE
YMM0[VLMAX-1:0] 0
YMM1[VLMAX-1:0] 0
YMM2[VLMAX-1:0] 0
YMM3[VLMAX-1:0] 0
YMM4[VLMAX-1:0] 0
YMM5[VLMAX-1:0] 0
YMM6[VLMAX-1:0] 0
YMM7[VLMAX-1:0] 0
YMM8-15: Unmodified
FI
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
VZEROALL: _mm256_zeroall()
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.256.0F.WIG 77
VZEROALL
NP V/V AVX Zero all YMM registers.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
VZEROALLZero All YMM Registers
INSTRUCTION SET REFERENCE, N-Z
4-542 Vol. 2B
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 8.
VZEROUPPERZero Upper Bits of YMM Registers
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-543
VZ E R O U P P E R Z e ro U p p e r B it s o f YMM R e g ist e rs
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
The instruction zeros the bits in position 128 and higher of all YMM registers. The lower 128-bits of the registers
(the corresponding XMM registers) are unmodified.
This instruction is recommended when transitioning between AVX and legacy SSE code - it will eliminate perfor-
mance penalties caused by false dependencies.
Note: VEX.vvvv is reserved and must be 1111b otherwise instructions will #UD. In Compatibility and legacy 32-bit
mode only the lower 8 registers are modified.
O p e ra t io n
VZ E R O U P P E R
IF (64-bit mode)
YMM0[VLMAX-1:128] 0
YMM1[VLMAX-1:128] 0
YMM2[VLMAX-1:128] 0
YMM3[VLMAX-1:128] 0
YMM4[VLMAX-1:128] 0
YMM5[VLMAX-1:128] 0
YMM6[VLMAX-1:128] 0
YMM7[VLMAX-1:128] 0
YMM8[VLMAX-1:128] 0
YMM9[VLMAX-1:128] 0
YMM10[VLMAX-1:128] 0
YMM11[VLMAX-1:128] 0
YMM12[VLMAX-1:128] 0
YMM13[VLMAX-1:128] 0
YMM14[VLMAX-1:128] 0
YMM15[VLMAX-1:128] 0
ELSE
YMM0[VLMAX-1:128] 0
YMM1[VLMAX-1:128] 0
YMM2[VLMAX-1:128] 0
YMM3[VLMAX-1:128] 0
YMM4[VLMAX-1:128] 0
YMM5[VLMAX-1:128] 0
YMM6[VLMAX-1:128] 0
YMM7[VLMAX-1:128] 0
YMM8-15: unmodified
FI
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
VEX.128.0F.WIG 77
VZEROUPPER
NP V/V AVX Zero upper 128 bits of all YMM registers.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
VZEROUPPERZero Upper Bits of YMM Registers
INSTRUCTION SET REFERENCE, N-Z
4-544 Vol. 2B
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
VZEROUPPER: _mm256_zeroupper()
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 8.
WAIT/FWAITWait
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-545
WAI T/F WAI T Wa it
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Causes the processor to check for and handle pending, unmasked, floating-point exceptions before proceeding.
(FWAIT is an alternate mnemonic for WAIT.)
This instruction is useful for synchronizing exceptions in critical sections of code. Coding a WAIT instruction after a
floating-point instruction ensures that any unmasked floating-point exceptions the instruction may raise are
handled before the processor can modify the instructions results. See the section titled Floating-Point Exception
Synchronization in Chapter 8 of the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1,
for more information on using the WAIT/FWAIT instruction.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
O p e ra t io n
CheckForPendingUnmaskedFloatingPointExceptions;
F P U F la g s Af fe ct e d
The C0, C1, C2, and C3 flags are undefined.
F lo a t in g -P o in t E x ce p t io n s
None.
P ro t e ct e d Mo d e E x ce p t io n s
#NM If CR0.MP[bit 1] = 1 and CR0.TS[bit 3] = 1.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
Same exceptions as in protected mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
9B WAIT NP Valid Valid Check pending unmasked floating-point
exceptions.
9B FWAIT NP Valid Valid Check pending unmasked floating-point
exceptions.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
WBINVDWrite Back and Invalidate Cache
INSTRUCTION SET REFERENCE, N-Z
4-546 Vol. 2B
WB I N VD Writ e B a ck a n d I n v a lid a t e C a ch e
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Writes back all modified cache lines in the processors internal cache to main memory and invalidates (flushes) the
internal caches. The instruction then issues a special-function bus cycle that directs external caches to also write
back modified data and another bus cycle to indicate that the external caches should be invalidated.
After executing this instruction, the processor does not wait for the external caches to complete their write-back
and flushing operations before proceeding with instruction execution. It is the responsibility of hardware to respond
to the cache write-back and flush signals. The amount of time or cycles for WBINVD to complete will vary due to
size and other factors of different cache hierarchies. As a consequence, the use of the WBINVD instruction can have
an impact on logical processor interrupt/event response time. Additional information of WBINVD behavior in a
cache hierarchy with hierarchical sharing topology can be found in Chapter 2 of the Intel 64 and IA-32 Architec-
tures Software Developers Manual, Volume 3A.
The WBINVD instruction is a privileged instruction. When the processor is running in protected mode, the CPL of a
program or procedure must be 0 to execute this instruction. This instruction is also a serializing instruction (see
Serializing Instructions in Chapter 8 of the Intel 64 and IA-32 Architectures Software Developers Manual,
Volume 3A).
In situations where cache coherency with main memory is not a concern, software can use the INVD instruction.
This instructions operation is the same in non-64-bit modes and 64-bit mode.
I A-3 2 Arch it e ct u re C o m p a t ib ilit y
The WBINVD instruction is implementation dependent, and its function may be implemented differently on future
Intel 64 and IA-32 processors. The instruction is not supported on IA-32 processors earlier than the Intel486
processor.
O p e ra t io n
WriteBack(InternalCaches);
Flush(InternalCaches);
SignalWriteBack(ExternalCaches);
SignalFlush(ExternalCaches);
Continue; (* Continue execution *)
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the current privilege level is not 0.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#UD If the LOCK prefix is used.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 09 WBINVD NP Valid Valid Write back and flush Internal caches; initiate
writing-back and flushing of external caches.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
WBINVDWrite Back and Invalidate Cache
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-547
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) WBINVD cannot be executed at the virtual-8086 mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
WRFSBASE/WRGSBASEWrite FS/GS Segment Base
INSTRUCTION SET REFERENCE, N-Z
4-548 Vol. 2B
WR F S B AS E /WR G S B AS E Writ e F S /G S S e g m e n t B a se
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Loads the FS or GS segment base address with the general-purpose register indicated by the modR/M:r/m field.
The source operand may be either a 32-bit or a 64-bit general-purpose register. The REX.W prefix indicates the
operand size is 64 bits. If no REX.W prefix is used, the operand size is 32 bits; the upper 32 bits of the source
register are ignored and upper 32 bits of the base address (for FS or GS) are cleared.
This instruction is supported only in 64-bit mode.
O p e ra t io n
FS/GS segment base address SRC;
F la g s Af fe ct e d
None
C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
WRFSBASE: void _writefsbase_u32( unsigned int );
WRFSBASE: _writefsbase_u64( unsigned __int64 );
WRGSBASE: void _writegsbase_u32( unsigned int );
WRGSBASE: _writegsbase_u64( unsigned __int64 );
P ro t e ct e d Mo d e E x ce p t io n s
#UD The WRFSBASE and WRGSBASE instructions are not recognized in protected mode.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#UD The WRFSBASE and WRGSBASE instructions are not recognized in real-address mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#UD The WRFSBASE and WRGSBASE instructions are not recognized in virtual-8086 mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
#UD The WRFSBASE and WRGSBASE instructions are not recognized in compatibility mode.
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 -
b it
Mo d e
C P U I D Fe a -
t u re F la g
D e scrip t io n
F3 0F AE /2
WRFSBASE r32
M V/I FSGSBASE Load the FS base address with the 32-bit value in
the source register.
REX.W +F3 0F AE /2
WRFSBASE r64
M V/I FSGSBASE Load the FS base address with the 64-bit value in
the source register.
F3 0F AE /3
WRGSBASE r32
M V/I FSGSBASE Load the GS base address with the 32-bit value in
the source register.
REX.W +F3 0F AE /3
WRGSBASE r64
M V/I FSGSBASE Load the GS base address with the 64-bit value in
the source register.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
M ModRM:r/m (r) NA NA NA
WRFSBASE/WRGSBASEWrite FS/GS Segment Base
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-549
6 4 -B it Mo d e E x ce p t io n s
#UD If the LOCK prefix is used.
If CR4.FSGSBASE[bit 16] = 0.
If CPUID.07H.0H:EBX.FSGSBASE[bit 0] = 0
#GP(0) If the source register contains a non-canonical address.
WRMSRWrite to Model Specific Register
INSTRUCTION SET REFERENCE, N-Z
4-550 Vol. 2B
WR MS R Writ e t o Mo d e l S p e cific R e g ist e r
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Writes the contents of registers EDX:EAX into the 64-bit model specific register (MSR) specified in the ECX register.
(On processors that support the Intel 64 architecture, the high-order 32 bits of RCX are ignored.) The contents of
the EDX register are copied to high-order 32 bits of the selected MSR and the contents of the EAX register are
copied to low-order 32 bits of the MSR. (On processors that support the Intel 64 architecture, the high-order 32
bits of each of RAX and RDX are ignored.) Undefined or reserved bits in an MSR should be set to values previously
read.
This instruction must be executed at privilege level 0 or in real-address mode; otherwise, a general protection
exception #GP(0) is generated. Specifying a reserved or unimplemented MSR address in ECX will also cause a
general protection exception. The processor will also generate a general protection exception if software attempts
to write to bits in a reserved MSR.
When the WRMSR instruction is used to write to an MTRR, the TLBs are invalidated. This includes global entries (see
Translation Lookaside Buffers (TLBs) in Chapter 3 of the Intel 64 and IA-32 Architectures Software Developers
Manual, Volume 3A).
MSRs control functions for testability, execution tracing, performance-monitoring and machine check errors.
Chapter 35, Model-Specific Registers (MSRs), in the Intel 64 and IA-32 Architectures Software Developers
Manual, Volume 3C, lists all MSRs that can be written with this instruction and their addresses. Note that each
processor family has its own set of MSRs.
The WRMSR instruction is a serializing instruction (see Serializing Instructions in Chapter 8 of the Intel 64 and
IA-32 Architectures Software Developers Manual, Volume 3A). Note that WRMSR to the IA32_TSC_DEADLINE
MSR (MSR index 6E0H) and the X2APIC MSRs (MSR indices 802H to 83FH) are not serializing.
The CPUID instruction should be used to determine whether MSRs are supported (CPUID.01H:EDX[5] = 1) before
using this instruction.
I A-3 2 Arch it e ct u re C o m p a t ib ilit y
The MSRs and the ability to read them with the WRMSR instruction were introduced into the IA-32 architecture with
the Pentium processor. Execution of this instruction by an IA-32 processor earlier than the Pentium processor
results in an invalid opcode exception #UD.
O p e ra t io n
MSR[ECX] EDX:EAX;
F la g s Af fe ct e d
None.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 30 WRMSR NP Valid Valid Write the value in EDX:EAX to MSR specified
by ECX.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
WRMSRWrite to Model Specific Register
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-551
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the current privilege level is not 0.
If the value in ECX specifies a reserved or unimplemented MSR address.
If the value in EDX:EAX sets bits that are reserved in the MSR specified by ECX.
If the source register contains a non-canonical address and ECX specifies one of the following
MSRs: IA32_DS_AREA, IA32_FS_BASE, IA32_GS_BASE, IA32_KERNEL_GS_BASE,
IA32_LSTAR, IA32_SYSENTER_EIP, IA32_SYSENTER_ESP.
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If the value in ECX specifies a reserved or unimplemented MSR address.
If the value in EDX:EAX sets bits that are reserved in the MSR specified by ECX.
If the source register contains a non-canonical address and ECX specifies one of the following
MSRs: IA32_DS_AREA, IA32_FS_BASE, IA32_GS_BASE, IA32_KERNEL_GS_BASE,
IA32_LSTAR, IA32_SYSENTER_EIP, IA32_SYSENTER_ESP.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) The WRMSR instruction is not recognized in virtual-8086 mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
XACQUIRE/XRELEASE Hardware Lock Elision Prefix Hints
INSTRUCTION SET REFERENCE, N-Z
4-552 Vol. 2B
XAC Q U I R E /XR E LE AS E H a rd w a re Lo ck E lisio n P re fix H in t s
D e scrip t io n
The XACQUIRE prefix is a hint to start lock elision on the memory address specified by the instruction and the
XRELEASE prefix is a hint to end lock elision on the memory address specified by the instruction.
The XACQUIRE prefix hint can only be used with the following instructions (these instructions are also referred to
as XACQUIRE-enabled when used with the XACQUIRE prefix):
Instructions with an explicit LOCK prefix (F0H) prepended to forms of the instruction where the destination
operand is a memory operand: ADD, ADC, AND, BTC, BTR, BTS, CMPXCHG, CMPXCHG8B, DEC, INC, NEG, NOT,
OR, SBB, SUB, XOR, XADD, and XCHG.
The XCHG instruction either with or without the presence of the LOCK prefix.
The XRELEASE prefix hint can only be used with the following instructions (also referred to as XRELEASE-enabled
when used with the XRELEASE prefix):
Instructions with an explicit LOCK prefix (F0H) prepended to forms of the instruction where the destination
operand is a memory operand: ADD, ADC, AND, BTC, BTR, BTS, CMPXCHG, CMPXCHG8B, DEC, INC, NEG, NOT,
OR, SBB, SUB, XOR, XADD, and XCHG.
The XCHG instruction either with or without the presence of the LOCK prefix.
The "MOV mem, reg" (Opcode 88H/89H) and "MOV mem, imm" (Opcode C6H/C7H) instructions. In these
cases, the XRELEASE is recognized without the presence of the LOCK prefix.
The lock variables must satisfy the guidelines described in Intel 64 and IA-32 Architectures Software Developers
Manual, Volume 1, Section 14.3.3, for elision to be successful, otherwise an HLE abort may be signaled.
If an encoded byte sequence that meets XACQUIRE/XRELEASE requirements includes both prefixes, then the HLE
semantic is determined by the prefix byte that is placed closest to the instruction opcode. For example, an F3F2C6
will not be treated as a XRELEASE-enabled instruction since the F2H (XACQUIRE) is closest to the instruction
opcode C6. Similarly, an F2F3F0 prefixed instruction will be treated as a XRELEASE-enabled instruction since F3H
(XRELEASE) is closest to the instruction opcode.
Intel 64 and IA-32 Compatibility
The effect of the XACQUIRE/XRELEASE prefix hint is the same in non-64-bit modes and in 64-bit mode.
For instructions that do not support the XACQUIRE hint, the presence of the F2H prefix behaves the same way as
prior hardware, according to
REPNE/REPNZ semantics for string instructions,
Serve as SIMD prefix for legacy SIMD instructions operating on XMM register
Cause #UD if prepending the VEX prefix.
Undefined for non-string instructions or other situations.
For instructions that do not support the XRELEASE hint, the presence of the F3H prefix behaves the same way as in
prior hardware, according to
REP/REPE/REPZ semantics for string instructions,
O p co d e /I n st ru ct io n 6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
F2 V/V HLE
1
N O T E S :
1. Software is not required to check the HLE feature flag to use XACQUIRE or XRELEASE, as they are treated as regular prefix if HLE
feature flag reports 0.
A hint used with an XACQUIRE-enabled instruction to start lock
elision on the instruction memory operand address.
XACQUIRE
F3 V/V HLE A hint used with an XRELEASE-enabled instruction to end lock
elision on the instruction memory operand address.
XRELEASE
XACQUIRE/XRELEASE Hardware Lock Elision Prefix Hints
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-553
Serve as SIMD prefix for legacy SIMD instructions operating on XMM register
Cause #UD if prepending the VEX prefix.
Undefined for non-string instructions or other situations.
O p e ra t io n
XAC Q U I R E
IF XACQUIRE-enabled instruction
THEN
IF (HLE_NEST_COUNT < MAX_HLE_NEST_COUNT) THEN
HLE_NEST_COUNT++
IF (HLE_NEST_COUNT =1) THEN
HLE_ACTIVE 1
IF 64-bit mode
THEN
restartRIP instruction pointer of the XACQUIRE-enabled instruction
ELSE
restartEIP instruction pointer of the XACQUIRE-enabled instruction
FI;
Enter HLE Execution (* record register state, start tracking memory state *)
FI; (* HLE_NEST_COUNT =1*)
IF ElisionBufferAvailable
THEN
Allocate elision buffer
Record address and data for forwarding and commit checking
Perform elision
ELSE
Perform lock acquire operation transactionally but without elision
FI;
ELSE (* HLE_NEST_COUNT =MAX_HLE_NEST_COUNT *)
GOTO HLE_ABORT_PROCESSING
FI;
ELSE
Treat instruction as non-XACQUIRE F2H prefixed legacy instruction
FI;
XR E LE AS E
IF XRELEASE-enabled instruction
THEN
IF (HLE_NEST_COUNT > 0)
THEN
HLE_NEST_COUNT--
IF lock address matches in elision buffer THEN
IF lock satisfies address and value requirements THEN
Deallocate elision buffer
ELSE
GOTO HLE_ABORT_PROCESSING
FI;
FI;
IF (HLE_NEST_COUNT =0)
THEN
IF NoAllocatedElisionBuffer
THEN
XACQUIRE/XRELEASE Hardware Lock Elision Prefix Hints
INSTRUCTION SET REFERENCE, N-Z
4-554 Vol. 2B
Try to commit transactional execution
IF fail to commit transactional execution
THEN
GOTO HLE_ABORT_PROCESSING;
ELSE (* commit success *)
HLE_ACTIVE 0
FI;
ELSE
GOTO HLE_ABORT_PROCESSING
FI;
FI;
FI; (* HLE_NEST_COUNT > 0 *)
ELSE
Treat instruction as non-XRELEASE F3H prefixed legacy instruction
FI;
(* For any HLE abort condition encountered during HLE execution *)
HLE_ABORT_PROCESSING:
HLE_ACTIVE 0
HLE_NEST_COUNT 0
Restore architectural register state
Discard memory updates performed in transaction
Free any allocated lock elision buffers
IF 64-bit mode
THEN
RIP restartRIP
ELSE
EIP restartEIP
FI;
Execute and retire instruction at RIP (or EIP) and ignore any HLE hint
END
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
#GP(0) If the use of prefix causes instruction length to exceed 15 bytes.
XABORT Transactional Abort
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-555
XAB O R T T ra n sa ct io n a l Ab o rt
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
XABORT forces an RTM abort. Following an RTM abort, the logical processor resumes execution at the fallback
address computed through the outermost XBEGIN instruction. The EAX register is updated to reflect an XABORT
instruction caused the abort, and the imm8 argument will be provided in bits 31:24 of EAX.
O p e ra t io n
XAB O R T
IF RTM_ACTIVE =0
THEN
Treat as NOP;
ELSE
GOTO RTM_ABORT_PROCESSING;
FI;
(* For any RTM abort condition encountered during RTM execution *)
RTM_ABORT_PROCESSING:
Restore architectural register state;
Discard memory updates performed in transaction;
Update EAX with status and XABORT argument;
RTM_NEST_COUNT 0;
RTM_ACTIVE 0;
IF 64-bit Mode
THEN
RIP fallbackRIP;
ELSE
EIP fallbackEIP;
FI;
END
F la g s Af fe ct e d
None
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
XABORT: void _xabort( unsigned int);
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O p co d e /I n st ru ct io n O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
C6 F8 ib A V/V RTM Causes an RTM abort if in RTM execution
XABORT imm8
Op/En Operand 1 Operand2 Operand3 Operand4
A imm8 NA NA NA
XABORT Transactional Abort
INSTRUCTION SET REFERENCE, N-Z
4-556 Vol. 2B
O t h e r E x ce p t io n s
#UD CPUID.(EAX=7, ECX=0):RTM[bit 11] = 0.
If LOCK prefix is used.
XADDExchange and Add
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-557
XAD D E x ch a n g e a n d Ad d
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Exchanges the first operand (destination operand) with the second operand (source operand), then loads the sum
of the two values into the destination operand. The destination operand can be a register or a memory location; the
source operand is a register.
In 64-bit mode, the instructions default operation size is 32 bits. Using a REX prefix in the form of REX.R permits
access to additional registers (R8-R15). Using a REX prefix in the form of REX.W promotes operation to 64 bits. See
the summary chart at the beginning of this section for encoding data and limits.
This instruction can be used with a LOCK prefix to allow the instruction to be executed atomically.
I A-3 2 Arch it e ct u re C o m p a t ib ilit y
IA-32 processors earlier than the Intel486 processor do not recognize this instruction. If this instruction is used,
you should provide an equivalent code sequence that runs on earlier processors.
O p e ra t io n
TEMP SRC + DEST;
SRC DEST;
DEST TEMP;
F la g s Af fe ct e d
The CF, PF, AF, SF, ZF, and OF flags are set according to the result of the addition, which is stored in the destination
operand.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the destination is located in a non-writable segment.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used but the destination is not a memory operand.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F C0 /r XADD r/m8, r8 MR Valid Valid Exchange r8 and r/m8; load sum into r/m8.
REX +0F C0 /r XADD r/m8*, r8* MR Valid N.E. Exchange r8 and r/m8; load sum into r/m8.
0F C1 /r XADD r/m16, r16 MR Valid Valid Exchange r16 and r/m16; load sum into r/m16.
0F C1 /r XADD r/m32, r32 MR Valid Valid Exchange r32 and r/m32; load sum into r/m32.
REX.W +0F C1 /r XADD r/m64, r64 MR Valid N.E. Exchange r64 and r/m64; load sum into r/m64.
N O T E S :
* In 64-bit mode, r/m8 can not be encoded to access the following byte registers if a REX prefix is used: AH, BH, CH, DH.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
MR ModRM:r/m (r, w) ModRM:reg (W) NA NA
XADDExchange and Add
INSTRUCTION SET REFERENCE, N-Z
4-558 Vol. 2B
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used but the destination is not a memory operand.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used but the destination is not a memory operand.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used but the destination is not a memory operand.
XBEGIN Transactional Begin
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-559
XB E G I N T ra n sa ct io n a l B e g in
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
The XBEGIN instruction specifies the start of an RTM code region. If the logical processor was not already in trans-
actional execution, then the XBEGIN instruction causes the logical processor to transition into transactional execu-
tion. The XBEGIN instruction that transitions the logical processor into transactional execution is referred to as the
outermost XBEGIN instruction. The instruction also specifies a relative offset to compute the address of the fallback
code path following a transactional abort.
On an RTM abort, the logical processor discards all architectural register and memory updates performed during
the RTM execution and restores architectural state to that corresponding to the outermost XBEGIN instruction. The
fallback address following an abort is computed from the outermost XBEGIN instruction.
O p e ra t io n
XB E G I N
IF RTM_NEST_COUNT < MAX_RTM_NEST_COUNT
THEN
RTM_NEST_COUNT++
IF RTM_NEST_COUNT =1 THEN
IF 64-bit Mode
THEN
fallbackRIP RIP +SignExtend64(IMM)
(* RIP is instruction following XBEGIN instruction *)
ELSE
fallbackEIP EIP +SignExtend32(IMM)
(* EIP is instruction following XBEGIN instruction *)
FI;
IF (64-bit mode)
THEN IF (fallbackRIP is not canonical)
THEN #GP(0)
FI;
ELSE IF (fallbackEIP outside code segment limit)
THEN #GP(0)
FI;
FI;
RTM_ACTIVE 1
Enter RTM Execution (* record register state, start tracking memory state*)
O p co d e /I n st ru ct io n O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
C7 F8 A V/V RTM Specifies the start of an RTM region. Provides a 16-bit relative
offset to compute the address of the fallback instruction address at
which execution resumes following an RTM abort.
XBEGIN rel16
C7 F8 A V/V RTM Specifies the start of an RTM region. Provides a 32-bit relative
offset to compute the address of the fallback instruction address at
which execution resumes following an RTM abort.
XBEGIN rel32
Op/En Operand 1 Operand2 Operand3 Operand4
A Offset NA NA NA
XBEGIN Transactional Begin
INSTRUCTION SET REFERENCE, N-Z
4-560 Vol. 2B
FI; (* RTM_NEST_COUNT =1 *)
ELSE (* RTM_NEST_COUNT =MAX_RTM_NEST_COUNT *)
GOTO RTM_ABORT_PROCESSING
FI;
(* For any RTM abort condition encountered during RTM execution *)
RTM_ABORT_PROCESSING:
Restore architectural register state
Discard memory updates performed in transaction
Update EAX with status
RTM_NEST_COUNT 0
RTM_ACTIVE 0
IF 64-bit mode
THEN
RIP fallbackRIP
ELSE
EIP fallbackEIP
FI;
END
F la g s Af fe ct e d
None
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
XBEGIN: unsigned int _xbegin( void );
S I MD F lo a t in g -P o in t E x ce p t io n s
None
P ro t e ct e d Mo d e E x ce p t io n s
#UD CPUID.(EAX=7, ECX=0):RTM[bit 11]=0.
If LOCK prefix is used.
#GP(0) If the fallback address is outside the CS segment.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP(0) If the fallback address is outside the address space 0000H and FFFFH.
#UD CPUID.(EAX=7, ECX=0):RTM[bit 11]=0.
If LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If the fallback address is outside the address space 0000H and FFFFH.
#UD CPUID.(EAX=7, ECX=0):RTM[bit 11]=0.
If LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -b it Mo d e E x ce p t io n s
#UD CPUID.(EAX=7, ECX=0):RTM[bit 11] = 0.
If LOCK prefix is used.
XBEGIN Transactional Begin
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-561
#GP(0) If the fallback address is non-canonical.
XCHGExchange Register/Memory with Register
INSTRUCTION SET REFERENCE, N-Z
4-562 Vol. 2B
XC H G E x ch a n g e R e g ist e r/Me m o ry w it h R e g ist e r
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Exchanges the contents of the destination (first) and source (second) operands. The operands can be two general-
purpose registers or a register and a memory location. If a memory operand is referenced, the processors locking
protocol is automatically implemented for the duration of the exchange operation, regardless of the presence or
absence of the LOCK prefix or of the value of the IOPL. (See the LOCK prefix description in this chapter for more
information on the locking protocol.)
This instruction is useful for implementing semaphores or similar data structures for process synchronization. (See
Bus Locking in Chapter 8 of the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3A, for
more information on bus locking.)
The XCHG instruction can also be used instead of the BSWAP instruction for 16-bit operands.
In 64-bit mode, the instructions default operation size is 32 bits. Using a REX prefix in the form of REX.R permits
access to additional registers (R8-R15). Using a REX prefix in the form of REX.W promotes operation to 64 bits. See
the summary chart at the beginning of this section for encoding data and limits.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
90+rw XCHG AX, r16 O Valid Valid Exchange r16 with AX.
90+rw XCHG r16, AX O Valid Valid Exchange AX with r16.
90+rd XCHG EAX, r32 O Valid Valid Exchange r32 with EAX.
REX.W +90+rd XCHG RAX, r64 O Valid N.E. Exchange r64 with RAX.
90+rd XCHG r32, EAX O Valid Valid Exchange EAX with r32.
REX.W +90+rd XCHG r64, RAX O Valid N.E. Exchange RAX with r64.
86 /r XCHG r/m8, r8 MR Valid Valid Exchange r8 (byte register) with byte from
r/m8.
REX +86 /r XCHG r/m8*, r8* MR Valid N.E. Exchange r8 (byte register) with byte from
r/m8.
86 /r XCHG r8, r/m8 RM Valid Valid Exchange byte from r/m8 with r8 (byte
register).
REX +86 /r XCHG r8*, r/m8* RM Valid N.E. Exchange byte fromr/m8 with r8 (byte
register).
87 /r XCHG r/m16, r16 MR Valid Valid Exchange r16 with word from r/m16.
87 /r XCHG r16, r/m16 RM Valid Valid Exchange word from r/m16 with r16.
87 /r XCHG r/m32, r32 MR Valid Valid Exchange r32 with doubleword from r/m32.
REX.W +87 /r XCHG r/m64, r64 MR Valid N.E. Exchange r64 with quadword from r/m64.
87 /r XCHG r32, r/m32 RM Valid Valid Exchange doubleword from r/m32 with r32.
REX.W +87 /r XCHG r64, r/m64 RM Valid N.E. Exchange quadword from r/m64 with r64.
N O T E S :
* In 64-bit mode, r/m8 can not be encoded to access the following byte registers if a REX prefix is used: AH, BH, CH, DH.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
O AX/EAX/RAX (r, w) opcode +rd (r, w) NA NA
O opcode +rd (r, w) AX/EAX/RAX (r, w) NA NA
MR ModRM:r/m(r, w) ModRM:reg (r) NA NA
RM ModRM:reg (w) ModRM:r/m (r) NA NA
XCHGExchange Register/Memory with Register
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-563
O p e ra t io n
TEMP DEST;
DEST SRC;
SRC TEMP;
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If either operand is in a non-writable segment.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used but the destination is not a memory operand.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used but the destination is not a memory operand.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used but the destination is not a memory operand.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used but the destination is not a memory operand.
XEND Transactional End
INSTRUCTION SET REFERENCE, N-Z
4-564 Vol. 2B
XE N D T ra n sa ct io n a l E n d
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
The instruction marks the end of an RTM code region. If this corresponds to the outermost scope (that is, including
this XEND instruction, the number of XBEGIN instructions is the same as number of XEND instructions), the logical
processor will attempt to commit the logical processor state atomically. If the commit fails, the logical processor will
rollback all architectural register and memory updates performed during the RTM execution. The logical processor
will resume execution at the fallback address computed from the outermost XBEGIN instruction. The EAX register
is updated to reflect RTM abort information.
XEND executed outside a transactional region will cause a #GP (General Protection Fault).
O p e ra t io n
XE N D
IF (RTM_ACTIVE =0) THEN
SIGNAL #GP
ELSE
RTM_NEST_COUNT--
IF (RTM_NEST_COUNT =0) THEN
Try to commit transaction
IF fail to commit transactional execution
THEN
GOTO RTM_ABORT_PROCESSING;
ELSE (* commit success *)
RTM_ACTIVE 0
FI;
FI;
FI;
(* For any RTM abort condition encountered during RTM execution *)
RTM_ABORT_PROCESSING:
Restore architectural register state
Discard memory updates performed in transaction
Update EAX with status
RTM_NEST_COUNT 0
RTM_ACTIVE 0
IF 64-bit Mode
THEN
RIP fallbackRIP
ELSE
EIP fallbackEIP
FI;
END
O p co d e /I n st ru ct io n O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 01 D5 A V/V RTM Specifies the end of an RTM code region.
XEND
Op/En Operand 1 Operand2 Operand3 Operand4
A NA NA NA NA
XEND Transactional End
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-565
F la g s Af fe ct e d
None
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
XEND: void _xend( void );
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
#UD CPUID.(EAX=7, ECX=0):RTM[bit 11] = 0.
If LOCK or 66H or F2H or F3H prefix is used.
#GP(0) If RTM_ACTIVE = 0.
XGETBVGet Value of Extended Control Register
INSTRUCTION SET REFERENCE, N-Z
4-566 Vol. 2B
XG E T B V G e t Va lu e o f E x t e n d e d C o n t ro l R e g ist e r
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Reads the contents of the extended control register (XCR) specified in the ECX register into registers EDX:EAX. (On
processors that support the Intel 64 architecture, the high-order 32 bits of RCX are ignored.) The EDX register is
loaded with the high-order 32 bits of the XCR and the EAX register is loaded with the low-order 32 bits. (On proces-
sors that support the Intel 64 architecture, the high-order 32 bits of each of RAX and RDX are cleared.) If fewer
than 64 bits are implemented in the XCR being read, the values returned to EDX:EAX in unimplemented bit loca-
tions are undefined.
Specifying a reserved or unimplemented XCR in ECX causes a general protection exception.
Currently, only XCR0 (the XFEATURE_ENABLED_MASK register) is supported. Thus, all other values of ECX are
reserved and will cause a #GP(0).
O p e ra t io n
EDX:EAX XCR[ECX];
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If an invalid XCR is specified in ECX.
#UD If CPUID.01H:ECX.XSAVE[bit 26] = 0.
If CR4.OSXSAVE[bit 18] = 0.
If the LOCK prefix is used.
If 66H, F3H or F2H prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If an invalid XCR is specified in ECX.
#UD If CPUID.01H:ECX.XSAVE[bit 26] = 0.
If CR4.OSXSAVE[bit 18] = 0.
If the LOCK prefix is used.
If 66H, F3H or F2H prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 01 D0 XGETBV NP Valid Valid Reads an XCR specified by ECX into EDX:EAX.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
XGETBVGet Value of Extended Control Register
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-567
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
XLAT/XLATBTable Look-up Translation
INSTRUCTION SET REFERENCE, N-Z
4-568 Vol. 2B
XLAT/XLAT B Ta b le Lo o k -u p T ra n sla t io n
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Locates a byte entry in a table in memory, using the contents of the AL register as a table index, then copies the
contents of the table entry back into the AL register. The index in the AL register is treated as an unsigned integer.
The XLAT and XLATB instructions get the base address of the table in memory from either the DS:EBX or the DS:BX
registers (depending on the address-size attribute of the instruction, 32 or 16, respectively). (The DS segment may
be overridden with a segment override prefix.)
At the assembly-code level, two forms of this instruction are allowed: the explicit-operand form and the no-
operand form. The explicit-operand form (specified with the XLAT mnemonic) allows the base address of the table
to be specified explicitly with a symbol. This explicit-operands form is provided to allow documentation; however,
note that the documentation provided by this form can be misleading. That is, the symbol does not have to specify
the correct base address. The base address is always specified by the DS:(E)BX registers, which must be loaded
correctly before the XLAT instruction is executed.
The no-operands form (XLATB) provides a short form of the XLAT instructions. Here also the processor assumes
that the DS:(E)BX registers contain the base address of the table.
In 64-bit mode, operation is similar to that in legacy or compatibility mode. AL is used to specify the table index
(the operand size is fixed at 8 bits). RBX, however, is used to specify the tables base address. See the summary
chart at the beginning of this section for encoding data and limits.
O p e ra t io n
IF AddressSize = 16
THEN
AL (DS:BX + ZeroExtend(AL));
ELSE IF (AddressSize = 32)
AL (DS:EBX + ZeroExtend(AL)); FI;
ELSE (AddressSize = 64)
AL (RBX + ZeroExtend(AL));
FI;
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
D7 XLAT m8 NP Valid Valid Set AL to memory byte DS:[(E)BX +unsigned
AL].
D7 XLATB NP Valid Valid Set AL to memory byte DS:[(E)BX +unsigned
AL].
REX.W +D7 XLATB NP Valid N.E. Set AL to memory byte [RBX +unsigned AL].
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
XLAT/XLATBTable Look-up Translation
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-569
#UD If the LOCK prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#UD If the LOCK prefix is used.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#UD If the LOCK prefix is used.
XORLogical Exclusive OR
INSTRUCTION SET REFERENCE, N-Z
4-570 Vol. 2B
XO R Lo g ica l E x clu siv e O R
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a bitwise exclusive OR (XOR) operation on the destination (first) and source (second) operands and
stores the result in the destination operand location. The source operand can be an immediate, a register, or a
memory location; the destination operand can be a register or a memory location. (However, two memory oper-
ands cannot be used in one instruction.) Each bit of the result is 1 if the corresponding bits of the operands are
different; each bit is 0 if the corresponding bits are the same.
This instruction can be used with a LOCK prefix to allow the instruction to be executed atomically.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
34 ib XOR AL, imm8 I Valid Valid AL XOR imm8.
35 iw XOR AX, imm16 I Valid Valid AX XOR imm16.
35 id XOR EAX, imm32 I Valid Valid EAX XOR imm32.
REX.W +35 id XOR RAX, imm32 I Valid N.E. RAX XOR imm32 (sign-extended).
80 /6 ib XOR r/m8, imm8 MI Valid Valid r/m8 XOR imm8.
REX +80 /6 ib XOR r/m8*, imm8 MI Valid N.E. r/m8 XOR imm8.
81 /6 iw XOR r/m16, imm16 MI Valid Valid r/m16 XOR imm16.
81 /6 id XOR r/m32, imm32 MI Valid Valid r/m32 XOR imm32.
REX.W +81 /6 id XOR r/m64, imm32 MI Valid N.E. r/m64 XOR imm32 (sign-extended).
83 /6 ib XOR r/m16, imm8 MI Valid Valid r/m16 XOR imm8 (sign-extended).
83 /6 ib XOR r/m32, imm8 MI Valid Valid r/m32 XOR imm8 (sign-extended).
REX.W +83 /6 ib XOR r/m64, imm8 MI Valid N.E. r/m64 XOR imm8 (sign-extended).
30 /r XOR r/m8, r8 MR Valid Valid r/m8 XOR r8.
REX +30 /r XOR r/m8*, r8* MR Valid N.E. r/m8 XOR r8.
31 /r XOR r/m16, r16 MR Valid Valid r/m16 XOR r16.
31 /r XOR r/m32, r32 MR Valid Valid r/m32 XOR r32.
REX.W +31 /r XOR r/m64, r64 MR Valid N.E. r/m64 XOR r64.
32 /r XOR r8, r/m8 RM Valid Valid r8 XOR r/m8.
REX +32 /r XOR r8*, r/m8* RM Valid N.E. r8 XOR r/m8.
33 /r XOR r16, r/m16 RM Valid Valid r16 XOR r/m16.
33 /r XOR r32, r/m32 RM Valid Valid r32 XOR r/m32.
REX.W +33 /r XOR r64, r/m64 RM Valid N.E. r64 XOR r/m64.
N O T E S :
* In 64-bit mode, r/m8 can not be encoded to access the following byte registers if a REX prefix is used: AH, BH, CH, DH.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
I AL/AX/EAX/RAX imm8/16/32 NA NA
MI ModRM:r/m (r, w) imm8/16/32 NA NA
MR ModRM:r/m (r, w) ModRM:reg (r) NA NA
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
XORLogical Exclusive OR
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-571
In 64-bit mode, using a REX prefix in the form of REX.R permits access to additional registers (R8-R15). Using a
REX prefix in the form of REX.W promotes operation to 64 bits. See the summary chart at the beginning of this
section for encoding data and limits.
O p e ra t io n
DEST DEST XOR SRC;
F la g s Af fe ct e d
The OF and CF flags are cleared; the SF, ZF, and PF flags are set according to the result. The state of the AF flag is
undefined.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the destination operand points to a non-writable segment.
If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If the DS, ES, FS, or GS register contains a NULL segment selector.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used but the destination is not a memory operand.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS If a memory operand effective address is outside the SS segment limit.
#UD If the LOCK prefix is used but the destination is not a memory operand.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made.
#UD If the LOCK prefix is used but the destination is not a memory operand.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#AC(0) If alignment checking is enabled and an unaligned memory reference is made while the
current privilege level is 3.
#UD If the LOCK prefix is used but the destination is not a memory operand.
XORPDBitwise Logical XOR for Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-572 Vol. 2B
XO R P D B it w ise Lo g ica l XO R fo r D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a bitwise logical exclusive-OR of the two packed double-precision floating-point values from the source
operand (second operand) and the destination operand (first operand), and stores the result in the destination
operand. The source operand can be an XMM register or a 128-bit memory location. The destination operand is an
XMM register.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
128-bit Legacy SSE version: The second source can be an XMM register or an 128-bit memory location. The desti-
nation is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding
YMM register destination are unmodified.
VEX.128 encoded version: the first source operand is an XMM register or 128-bit memory location. The destination
operand is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand can be a YMM
register or a 256-bit memory location. The destination operand is a YMM register.
O p e ra t io n
XO R P D (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[63:0] DEST[63:0] BITWISE XOR SRC[63:0]
DEST[127:64] DEST[127:64] BITWISE XOR SRC[127:64]
DEST[VLMAX-1:128] (Unmodified)
VXO R P D (VE X.1 2 8 e n co d e d v e rsio n )
DEST[63:0] SRC1[63:0] BITWISE XOR SRC2[63:0]
DEST[127:64] SRC1[127:64] BITWISE XOR SRC2[127:64]
DEST[VLMAX-1:128] 0
VXO R P D (VE X.2 5 6 e n co d e d v e rsio n )
DEST[63:0] SRC1[63:0] BITWISE XOR SRC2[63:0]
DEST[127:64] SRC1[127:64] BITWISE XOR SRC2[127:64]
DEST[191:128] SRC1[191:128] BITWISE XOR SRC2[191:128]
DEST[255:192] SRC1[255:192] BITWISE XOR SRC2[255:192]
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
66 0F 57 /r
XORPD xmm1, xmm2/m128
RM V/V SSE2 Bitwise exclusive-OR of xmm2/m128 and
xmm1.
VEX.NDS.128.66.0F.WIG 57 /r
VXORPD xmm1,xmm2, xmm3/m128
RVM V/V AVX Return the bitwise logical XOR of packed
double-precision floating-point values in xmm2
and xmm3/mem.
VEX.NDS.256.66.0F.WIG 57 /r
VXORPD ymm1, ymm2, ymm3/m256
RVM V/V AVX Return the bitwise logical XOR of packed
double-precision floating-point values in ymm2
and ymm3/mem.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
XORPDBitwise Logical XOR for Double-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-573
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
XORPD: __m128d _mm_xor_pd(__m128d a, __m128d b)
VXORPD: __m256d _mm256_xor_pd (__m256d a, __m256d b);
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4.
XORPSBitwise Logical XOR for Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
4-574 Vol. 2B
XO R P S B it w ise Lo g ica l XO R fo r S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a bitwise logical exclusive-OR of the four packed single-precision floating-point values from the source
operand (second operand) and the destination operand (first operand), and stores the result in the destination
operand. The source operand can be an XMM register or a 128-bit memory location. The destination operand is an
XMM register.
In 64-bit mode, using a REX prefix in the form of REX.R permits this instruction to access additional registers
(XMM8-XMM15).
128-bit Legacy SSE version: The second source can be an XMM register or an 128-bit memory location. The desti-
nation is not distinct from the first source XMM register and the upper bits (VLMAX-1:128) of the corresponding
YMM register destination are unmodified.
VEX.128 encoded version: the first source operand is an XMM register or 128-bit memory location. The destination
operand is an XMM register. The upper bits (VLMAX-1:128) of the corresponding YMM register destination are
zeroed.
VEX.256 encoded version: The first source operand is a YMM register. The second source operand can be a YMM
register or a 256-bit memory location. The destination operand is a YMM register.
O p e ra t io n
XO R P S (1 2 8 -b it Le g a cy S S E v e rsio n )
DEST[31:0] SRC1[31:0] BITWISE XOR SRC2[31:0]
DEST[63:32] SRC1[63:32] BITWISE XOR SRC2[63:32]
DEST[95:64] SRC1[95:64] BITWISE XOR SRC2[95:64]
DEST[127:96] SRC1[127:96] BITWISE XOR SRC2[127:96]
DEST[VLMAX-1:128] (Unmodified)
VXO R P S (VE X.1 2 8 e n co d e d v e rsio n )
DEST[31:0] SRC1[31:0] BITWISE XOR SRC2[31:0]
DEST[63:32] SRC1[63:32] BITWISE XOR SRC2[63:32]
DEST[95:64] SRC1[95:64] BITWISE XOR SRC2[95:64]
DEST[127:96] SRC1[127:96] BITWISE XOR SRC2[127:96]
DEST[VLMAX-1:128] 0
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 57 /r
XORPS xmm1, xmm2/m128
RM V/V SSE Bitwise exclusive-OR of xmm2/m128 and xmm1.
VEX.NDS.128.0F.WIG 57 /r
VXORPS xmm1,xmm2, xmm3/m128
RVM V/V AVX Return the bitwise logical XOR of packed single-
precision floating-point values in xmm2 and
xmm3/mem.
VEX.NDS.256.0F.WIG 57 /r
VXORPS ymm1, ymm2, ymm3/m256
RVM V/V AVX Return the bitwise logical XOR of packed single-
precision floating-point values in ymm2 and
ymm3/mem.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
RM ModRM:reg (r, w) ModRM:r/m (r) NA NA
RVM ModRM:reg (w) VEX.vvvv (r) ModRM:r/m (r) NA
XORPSBitwise Logical XOR for Single-Precision Floating-Point Values
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-575
VXO R P S (VE X.2 5 6 e n co d e d v e rsio n )
DEST[31:0] SRC1[31:0] BITWISE XOR SRC2[31:0]
DEST[63:32] SRC1[63:32] BITWISE XOR SRC2[63:32]
DEST[95:64] SRC1[95:64] BITWISE XOR SRC2[95:64]
DEST[127:96] SRC1[127:96] BITWISE XOR SRC2[127:96]
DEST[159:128] SRC1[159:128] BITWISE XOR SRC2[159:128]
DEST[191:160] SRC1[191:160] BITWISE XOR SRC2[191:160]
DEST[223:192] SRC1[223:192] BITWISE XOR SRC2[223:192]
DEST[255:224] SRC1[255:224] BITWISE XOR SRC2[255:224].
I n t e l C /C ++ C o m p ile r I n t rin sic E q u iv a le n t
XORPS: __m128 _mm_xor_ps(__m128 a, __m128 b)
VXORPS: __m256 _mm256_xor_ps (__m256 a, __m256 b);
S I MD F lo a t in g -P o in t E x ce p t io n s
None.
O t h e r E x ce p t io n s
See Exceptions Type 4.
XRSTORRestore Processor Extended States
INSTRUCTION SET REFERENCE, N-Z
4-576 Vol. 2B
XR S T O R R e st o re P ro ce sso r E x t e n d e d S t a t e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a full or partial restore of the enabled processor states using the state information stored in the memory
address specified by the source operand. The implicit EDX:EAX register pair specifies a 64-bit restore mask.
The format of the XSAVE/XRSTOR area is shown in Table 4-17. The memory layout of the XSAVE/XRSTOR area may
have holes between save areas written by the processor as a result of the processor not supporting certain
processor extended states or system software not supporting certain processor extended states. There is no rela-
tionship between the order of XCR0 bits and the order of the state layout. States corresponding to higher and lower
XCR0 bits may be intermingled in the layout.
XRSTOR operates on each subset of the processor state or a processor extended state in one of three ways
(depending on the corresponding bit in XCR0 (XFEATURE_ENABLED_MASK register), the restore mask EDX:EAX,
and the save mask XSAVE.HEADER.XSTATE_BV in memory):
Updates the processor state component using the state information stored in the respective save area (see
Table 4-17) of the source operand, if the corresponding bit in XCR0, EDX:EAX, and XSAVE.HEADER.XSTATE_BV
are all 1.
Writes certain registers in the processor state component using processor-supplied values (see Table 4-19)
without using state information stored in respective save area of the memory region, if the corresponding bit in
XCR0 and EDX:EAX are both 1, but the corresponding bit in XSAVE.HEADER.XSTATE_BV is 0.
The processor state component is unchanged, if the corresponding bit in XCR0 or EDX:EAX is 0.
The format of the header section (XSAVE.HEADER) of the XSAVE/XRSTOR area is shown in Table 4-18.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F AE /5 XRSTOR mem M Valid Valid Restore processor extended states from
memory. The states are specified by EDX:EAX
REX.W+0F AE /5 XRSTOR64 mem M Valid N.E. Restore processor extended states from
memory. The states are specified by EDX:EAX
Op/En Operand 1 Operand 2 Operand 3 Operand 4
M ModRM:r/m (r) NA NA NA
Ta b le 4 -1 7. G e n e ra l La y o u t o f XS AVE /XR S T O R S a v e Are a
S a v e Are a s O ffse t (B y t e ) S ize (B y t e s)
FPU/SSE SaveArea
1
N O T E S :
1. Bytes 464:511 are available for software use. XRSTOR ignores the value contained in bytes 464:511 of an XSAVE SAVE image.
0 512
Header 512 64
Reserved (Ext_Save_Area_2) CPUID.(EAX=0DH, ECX=2):EBX CPUID.(EAX=0DH, ECX=2):EAX
Reserved(Ext_Save_Area_4)
2
2. State corresponding to higher and lower XCR0 bits may be intermingled in layout.
CPUID.(EAX=0DH, ECX=4):EBX CPUID.(EAX=0DH, ECX=4):EAX
Reserved(Ext_Save_Area_3) CPUID.(EAX=0DH, ECX=3):EBX CPUID.(EAX=0DH, ECX=3):EAX
Reserved(...) ... ...
XRSTORRestore Processor Extended States
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-577
If a processor state component is not enabled in XCR0 but the corresponding save mask bit in
XSAVE.HEADER.XSTATE_BV is 1, an attempt to execute XRSTOR will cause a #GP(0) exception. Software may
specify all 1s in the implicit restore mask EDX:EAX, so that all the enabled processors states in XCR0 are restored
from state information stored in memory or from processor supplied values. When using all 1's as the restore
mask, software is required to determine the total size of the XSAVE/XRSTOR save area (specified as source
operand) to fit all enabled processor states by using the value enumerated in CPUID.(EAX=0D, ECX=0):EBX. While
it's legal to set any bit in the EDX:EAX mask to 1, it is strongly recommended to set only the bits that are required
to save/restore specific states.
An attempt to restore processor states with writing 1s to reserved bits in certain registers (see Table 4-20) will
cause a #GP(0) exception.
Because bit 63 of XCR0 is reserved for future bit vector expansion, it will not be used for any future processor state
feature, and XRSTOR will ignore bit 63 of EDX:EAX (EDX[31]).
A source operand not aligned to 64-byte boundary (for 64-bit and 32-bit modes) will result in a general-protection
(#GP) exception. In 64-bit mode, the upper 32 bits of RDX and RAX are ignored.
Ta b le 4 -1 8 . XS AVE .H E AD E R La y o u t
1 5 8 7 0 B y t e O ffse t fro m
H e a d e r
B y t e O ffse t f ro m XS AVE /XR S T O R
Are a
Rsrvd (Must be 0) XSTATE_BV 0 5 1 2
Reserved Rsrvd (Must be 0) 1 6 5 2 8
Reserved Reserved 3 2 5 4 4
Reserved Reserved 4 8 5 6 0
Ta b le 4 -1 9 . P ro ce sso r S u p p lie d I n it Va lu e s XR S T O R Ma y U se
P ro ce sso r S t a t e C o m p o n e n t P ro ce sso r S u p p lie d R e g ist e r Va lu e s
x87 FPU State FCW 037FH; FTW 0FFFFH; FSW 0H; FPU CS 0H;
FPU DS 0H; FPU IP 0H; FPU DP 0; ST0-ST7 0;
SSE State
1
N O T E S :
1. MXCSR state is not updated by processor supplied values. MXCSR state can only be updated by XRSTOR from state information
stored in XSAVE/XRSTOR area.
If 64-bit Mode: XMM0-XMM15 0H;
Else XMM0-XMM7 0H
Ta b le 4 -2 0 . R e se rv e d B it C h e ck in g a n d XR S T O R
P ro ce sso r S t a t e C o m p o n e n t R e se rv e d B it C h e ck in g
X87 FPU State None
SSE State Reserved bits of MXCSR
XRSTORRestore Processor Extended States
INSTRUCTION SET REFERENCE, N-Z
4-578 Vol. 2B
O p e ra t io n
/* The alignment of the x87 and SSE fields in the XSAVE area is the same as in FXSAVE area*/
RS_TMP_MASK[62:0] (EDX[30:0] <<32 ) OR EAX[31:0];
ST_TMP_MASK[62:0] SRCMEM.HEADER.XSTATE_BV[62:0];
IF ( ( (XCR0[62:0] XOR 7FFFFFFF_FFFFFFFFH ) AND ST_TMP_MASK[62:0] ) )
THEN
#GP(0)
ELSE
FOR i =0, 62 STEP 1
IF ( RS_TMP_MASK[i] and XCR0[i] )
THEN
IF ( ST_TMP_MASK[i] )
CASE ( i ) OF
0: Processor state[x87 FPU] SRCMEM. FPUSSESave_Area[FPU];
1: Processor state[SSE] SRCMEM. FPUSSESave_Area[SSE];
// MXCSR is loaded as part of the SSE state
DEFAULT: // i corresponds to a valid sub-leaf index of CPUID leaf 0DH
Processor state[i] SRCMEM. Ext_Save_Area[ i ];
ESAC;
ELSE
Processor extended state[i] Processor supplied values; (see Table 4-19)
CASE ( i ) OF
1: MXCSR SRCMEM. FPUSSESave_Area[SSE];
ESAC;
FI;
FI;
NEXT;
FI;
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If a memory operand is not aligned on a 64-byte boundary, regardless of segment.
If a bit in XCR0 is 0 and the corresponding bit in HEADER.XSTATE_BV field of the source
operand is 1.
If bytes 23:8 of HEADER is not zero.
If attempting to write any reserved bits of the MXCSR register with 1.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#NM If CR0.TS[bit 3] = 1.
#UD If CPUID.01H:ECX.XSAVE[bit 26] = 0.
If CR4.OSXSAVE[bit 18] = 0.
If the LOCK prefix is used.
If 66H, F3H or F2H prefix is used.
#AC If this exception is disabled a general protection exception (#GP) is signaled if the memory
operand is not aligned on a 16-byte boundary, as described above. If the alignment check
exception (#AC) is enabled (and the CPL is 3), signaling of #AC is not guaranteed and may
vary with implementation, as follows. In all implementations where #AC is not signaled, a
XRSTORRestore Processor Extended States
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-579
general protection exception is signaled in its place. In addition, the width of the alignment
check may also vary with implementation. For instance, for a given implementation, an align-
ment check exception might be signaled for a 2-byte misalignment, whereas a general protec-
tion exception might be signaled for all other misalignments (4-, 8-, or 16-byte
misalignments).
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand is not aligned on a 64-byte boundary, regardless of segment.
If any part of the operand lies outside the effective address space from 0 to FFFFH.
If a bit in XCR0 is 0 and the corresponding bit in HEADER.XSTATE_BV field of the source
operand is 1.
If bytes 23:8 of HEADER is not zero.
If attempting to write any reserved bits of the MXCSR register with 1.
#NM If CR0.TS[bit 3] = 1.
#UD If CPUID.01H:ECX.XSAVE[bit 26] = 0.
If CR4.OSXSAVE[bit 18] = 0.
If the LOCK prefix is used.
If 66H, F3H or F2H prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in Protected Mode
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#GP(0) If the memory address is in a non-canonical form.
If a memory operand is not aligned on a 64-byte boundary, regardless of segment.
If a bit in XCR0 is 0 and the corresponding bit in XSAVE.HEADER.XSTATE_BV is 1.
If bytes 23:8 of HEADER is not zero.
If attempting to write any reserved bits of the MXCSR register with 1.
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#PF(fault-code) If a page fault occurs.
#NM If CR0.TS[bit 3] = 1.
#UD If CPUID.01H:ECX.XSAVE[bit 26] = 0.
If CR4.OSXSAVE[bit 18] = 0.
If the LOCK prefix is used.
If 66H, F3H or F2H prefix is used.
#AC If this exception is disabled a general protection exception (#GP) is signaled if the memory
operand is not aligned on a 16-byte boundary, as described above. If the alignment check
exception (#AC) is enabled (and the CPL is 3), signaling of #AC is not guaranteed and may
vary with implementation, as follows. In all implementations where #AC is not signaled, a
general protection exception is signaled in its place. In addition, the width of the alignment
check may also vary with implementation. For instance, for a given implementation, an align-
ment check exception might be signaled for a 2-byte misalignment, whereas a general protec-
tion exception might be signaled for all other misalignments (4-, 8-, or 16-byte
misalignments).
XSAVESave Processor Extended States
INSTRUCTION SET REFERENCE, N-Z
4-580 Vol. 2B
XS AVE S a v e P ro ce sso r E x t e n d e d S t a t e s
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Performs a full or partial save of the enabled processor state components to a memory address specified in the
destination operand. A full or partial save of the processor states is specified by an implicit mask operand via the
register pair, EDX:EAX. The destination operand is a memory location that must be 64-byte aligned.
The implicit 64-bit mask operand in EDX:EAX specifies the subset of enabled processor state components to save
into the XSAVE/XRSTOR save area. The XSAVE/XRSTOR save area comprises of individual save area for each
processor state components and a header section, see Table 4-17. Each component save area is written if both the
corresponding bits in the save mask operand and in XCR0 (the XFEATURE_ENABLED_MASK register) are 1. A
processor state component save area is not updated if either one of the corresponding bits in the mask operand or
in XCR0 is 0. If the mask operand (EDX:EAX) contains all 1's, all enabled processor state components in XCR0 are
written to the respective component save area.
The bit assignment used for the EDX:EAX register pair matches XCR0 (see chapter 2 of Vol. 3B). For the XSAVE
instruction, software can specify "1" in any bit position of EDX:EAX, irrespective of whether the corresponding bit
position in XCR0 is valid for the processor. The bit vector in EDX:EAX is "anded" with XCR0 to determine which save
area will be written. While it's legal to set any bit in the EDX:EAX mask to 1, it is strongly recommended to set only
the bits that are required to save/restore specific states. When specifying 1 in any bit position of EDX:EAX mask,
software is required to determine the total size of the XSAVE/XRSTOR save area (specified as destination operand)
to fit all enabled processor states by using the value enumerated in CPUID.(EAX=0D, ECX=0):EBX.
The content layout of the XSAVE/XRSTOR save area is architecturally defined to be extendable and enumerated via
the sub-leaves of CPUID.0DH leaf. The extendable framework of the XSAVE/XRSTOR layout is depicted by Table
4-17. The layout of the XSAVE/XRSTOR save area is fixed and may contain non-contiguous individual save areas.
The XSAVE/XRSTOR save area is not compacted if some features are not saved or are not supported by the
processor and/or by system software.
The layout of the register fields of first 512 bytes of the XSAVE/XRSTOR is the same as the FXSAVE/FXRSTOR area
(refer to FXSAVESave x87 FPU, MMX Technology, and SSE State on page 356). But XSAVE/XRSTOR organizes
the 512 byte area as x87 FPU states (including FPU operation states, x87/MMX data registers), MXCSR (including
MXCSR_MASK), and XMM registers.
Bytes 464:511 are available for software use. The processor does not write to bytes 464:511 when executing
XSAVE.
The processor writes 1 or 0 to each HEADER.XSTATE_BV[i] bit field of an enabled processor state component in a
manner that is consistent to XRSTOR's interaction with HEADER.XSTATE_BV (see the operation section of XRSTOR
instruction). If a processor implementation discern that a processor state component is in its initialized state
(according to Table 4-19) it may modify the corresponding bit in the HEADER.XSTATE_BV as 0.
A destination operand not aligned to 64-byte boundary (in either 64-bit or 32-bit modes) will result in a general-
protection (#GP) exception being generated. In 64-bit mode, the upper 32 bits of RDX and RAX are ignored.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F AE /4 XSAVE mem M Valid Valid Save processor extended states to memory.
The states are specified by EDX:EAX
REX.W+0F AE /4 XSAVE64 mem M Valid N.E. Save processor extended states to memory.
The states are specified by EDX:EAX
Op/En Operand 1 Operand 2 Operand 3 Operand 4
M ModRM:r/m (w) NA NA NA
XSAVESave Processor Extended States
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-581
O p e ra t io n
TMP_MASK[62:0] ( (EDX[30:0] <<32 ) OR EAX[31:0] ) AND XCR0[62:0];
FOR i =0, 62 STEP 1
IF ( TMP_MASK[i] =1) THEN
THEN
CASE ( i ) of
0: DEST.FPUSSESAVE_Area[x87 FPU] processor state[x87 FPU];
1: DEST.FPUSSESAVE_Area[SSE] processor state[SSE];
// SSE state include MXCSR
DEFAULT: // i corresponds to a valid sub-leaf index of CPUID leaf 0DH
DEST.Ext_Save_Area[ i ] processor state[i] ;
ESAC:
DEST.HEADER.XSTATE_BV[i] INIT_FUNCTION[i];
FI;
NEXT;
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If a memory operand is not aligned on a 64-byte boundary, regardless of segment.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#NM If CR0.TS[bit 3] = 1.
#UD If CPUID.01H:ECX.XSAVE[bit 26] = 0.
If CR4.OSXSAVE[bit 18] = 0.
If the LOCK prefix is used.
If 66H, F3H or F2H prefix is used.
#AC If this exception is disabled a general protection exception (#GP) is signaled if the memory
operand is not aligned on a 16-byte boundary, as described above. If the alignment check
exception (#AC) is enabled (and the CPL is 3), signaling of #AC is not guaranteed and may
vary with implementation, as follows. In all implementations where #AC is not signaled, a
general protection exception is signaled in its place. In addition, the width of the alignment
check may also vary with implementation. For instance, for a given implementation, an align-
ment check exception might be signaled for a 2-byte misalignment, whereas a general protec-
tion exception might be signaled for all other misalignments (4-, 8-, or 16-byte
misalignments).
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand is not aligned on a 64-byte boundary, regardless of segment.
If any part of the operand lies outside the effective address space from 0 to FFFFH.
#NM If CR0.TS[bit 3] = 1.
#UD If CPUID.01H:ECX.XSAVE[bit 26] = 0.
If CR4.OSXSAVE[bit 18] = 0.
If the LOCK prefix is used.
If 66H, F3H or F2H prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
XSAVESave Processor Extended States
INSTRUCTION SET REFERENCE, N-Z
4-582 Vol. 2B
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
If a memory operand is not aligned on a 64-byte boundary, regardless of segment.
#PF(fault-code) If a page fault occurs.
#NM If CR0.TS[bit 3] = 1.
#UD If CPUID.01H:ECX.XSAVE[bit 26] = 0.
If CR4.OSXSAVE[bit 18] = 0.
If the LOCK prefix is used.
If 66H, F3H or F2H prefix is used.
#AC If this exception is disabled a general protection exception (#GP) is signaled if the memory
operand is not aligned on a 16-byte boundary, as described above. If the alignment check
exception (#AC) is enabled (and the CPL is 3), signaling of #AC is not guaranteed and may
vary with implementation, as follows. In all implementations where #AC is not signaled, a
general protection exception is signaled in its place. In addition, the width of the alignment
check may also vary with implementation. For instance, for a given implementation, an align-
ment check exception might be signaled for a 2-byte misalignment, whereas a general protec-
tion exception might be signaled for all other misalignments (4-, 8-, or 16-byte
misalignments).
XSAVEOPTSave Processor Extended States Optimized
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-583
XS AVE O P T S a v e P ro ce sso r E x t e n d e d S t a t e s O p t im ize d
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
XSAVEOPT performs a full or partial save of the enabled processor state components to a memory address speci-
fied in the destination operand. A full or partial save of the processor states is specified by an implicit mask operand
via the register pair, EDX:EAX. The destination operand is a memory location that must be 64-byte aligned. The
hardware may optimize the manner in which data is saved. The performance of this instruction will be equal or
better than using the XSAVE instruction.
The implicit 64-bit mask operand in EDX:EAX specifies the subset of enabled processor state components to save
into the XSAVE/XRSTOR save area. The XSAVE/XRSTOR save area comprises of individual save area for each
processor state components and a header section, see Table 4-17.
The bit assignment used for the EDX:EAX register pair matches XCR0 (the XFEATURE_ENABLED_MASK register).
For the XSAVEOPT instruction, software can specify "1" in any bit position of EDX:EAX, irrespective of whether the
corresponding bit position in XCR0 is valid for the processor. The bit vector in EDX:EAX is "anded" with XCR0 to
determine which save area will be written. While it's legal to set any bit in the EDX:EAX mask to 1, it is strongly
recommended to set only the bits that are required to save/restore specific states. When specifying 1 in any bit
position of EDX:EAX mask, software is required to determine the total size of the XSAVE/XRSTOR save area (spec-
ified as destination operand) to fit all enabled processor states by using the value enumerated in CPUID.(EAX=0D,
ECX=0):EBX.
The content layout of the XSAVE/XRSTOR save area is architecturally defined to be extendable and enumerated via
the sub-leaves of CPUID.0DH leaf. The extendable framework of the XSAVE/XRSTOR layout is depicted by Table
4-17. The layout of the XSAVE/XRSTOR save area is fixed and may contain non-contiguous individual save areas.
The XSAVE/XRSTOR save area is not compacted if some features are not saved or are not supported by the
processor and/or by system software.
The layout of the register fields of first 512 bytes of the XSAVE/XRSTOR is the same as the FXSAVE/FXRSTOR area.
But XSAVE/XRSTOR organizes the 512 byte area as x87 FPU states (including FPU operation states, x87/MMX data
registers), MXCSR (including MXCSR_MASK), and XMM registers.
The processor writes 1 or 0 to each.HEADER.XSTATE_BV[i] bit field of an enabled processor state component in a
manner that is consistent to XRSTOR's interaction with HEADER.XSTATE_BV.
The state updated to the XSAVE/XRSTOR area may be optimized as follows:
If the state is in its initialized form, the corresponding XSTATE_BV bit may be set to 0, and the corresponding
processor state component that is indicated as initialized will not be saved to memory.
A processor state component save area is not updated if either one of the corresponding bits in the mask operand
or in XCR0 is 0. The processor state component that is updated to the save area is computed by bit-wise AND of
the mask operand (EDX:EAX) with XCR0.
HEADER.XSTATE_BV is updated to reflect the data that is actually written to the save area. A "1" bit in the header
indicates the contents of the save area corresponding to that bit are valid. A "0" bit in the header indicates that the
state corresponding to that bit is in its initialized form. The memory image corresponding to a "0" bit may or may
O p co d e /
I n st ru ct io n
O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F AE /6
XSAVEOPT mem
M V/V XSAVEOPT Save processor extended states specified in
EDX:EAX to memory, optimizing the state save
operation if possible.
REX.W +0F AE /6
XSAVEOPT64 mem
M V/V XSAVEOPT Save processor extended states specified in
EDX:EAX to memory, optimizing the state save
operation if possible.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
M ModRM:r/m (w) NA NA NA
XSAVEOPTSave Processor Extended States Optimized
INSTRUCTION SET REFERENCE, N-Z
4-584 Vol. 2B
not contain the correct (initialized) value since only the header bit (and not the save area contents) is updated
when the header bit value is 0. XRSTOR will ensure the correct value is placed in the register state regardless of the
value of the save area when the header bit is zero.
XS AVE O P T U sa g e G u id e lin e s
When using the XSAVEOPT facility, software must be aware of the following guidelines:
1. The processor uses a tracking mechanism to determine which state components will be written to memory by
the XSAVEOPT instruction. The mechanism includes three sub-conditions that are recorded internally each time
XRSTOR is executed and evaluated on the invocation of the next XSAVEOPT. If a change is detected in any one
of these sub-conditions, XSAVEOPT will behave exactly as XSAVE. The three sub-conditions are:
current CPL of the logical processor
indication whether or not the logical processor is in VMX non-root operation
linear address of the XSAVE/XRSTOR area
2. Upon allocation of a new XSAVE/XRSTOR area and before an XSAVE or XSAVEOPT instruction is used, the save
area header (HEADER.XSTATE) must be initialized to zeroes for proper operation.
3. XSAVEOPT is designed primarily for use in context switch operations. The values stored by the XSAVEOPT
instruction depend on the values previously stored in a given XSAVE area.
4. Manual modifications to the XSAVE area between an XRSTOR instruction and the matching XSAVEOPT may
result in data corruption.
5. For optimization to be performed properly, the XRSTOR XSAVEOPT pair must use the same segment when
referencing the XSAVE area and the base of that segment must be unchanged between the two operations.
6. Software should avoid executing XSAVEOPT into a buffer from which it hadnt previously executed a XRSTOR.
For newly allocated buffers, software can execute XRSTOR with the linear address of the buffer and a restore
mask of EDX:EAX = 0. Executing XRSTOR(0:0) doesnt restore any state, but ensures expected operation of
the XSAVEOPT instruction.
7. The XSAVE area can be moved or even paged, but the contents at the linear address of the save area at an
XSAVEOPT must be the same as that when the previous XRSTOR was performed.
A destination operand not aligned to 64-byte boundary (in either 64-bit or 32-bit modes) will result in a general-
protection (#GP) exception being generated. In 64-bit mode, the upper 32 bits of RDX and RAX are ignored.
O p e ra t io n
TMP_MASK[62:0] (EDX[30:0] <<32 ) OR EAX[31:0] ) AND XCR0[62:0];
FOR i =0, 62 STEP 1
IF (TMP_MASK[i] =1)
THEN
If not HW_CAN_OPTIMIZE_SAVE
THEN
CASE ( i ) of
0: DEST.FPUSSESAVE_Area[x87 FPU] processor state[x87 FPU];
1: DEST.FPUSSESAVE_Area[SSE] processor state[SSE];
// SSE state include MXCSR
2: DEST.EXT_SAVE_Area2[YMM] processor state[YMM];
DEFAULT: // i corresponds to a valid sub-leaf index of CPUID leaf 0DH
DEST.Ext_Save_Area[ i ] processor state[i] ;
ESAC:
FI;
DEST.HEADER.XSTATE_BV[i] INIT_FUNCTION[i];
FI;
NEXT;
XSAVEOPTSave Processor Extended States Optimized
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-585
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If a memory operand effective address is outside the CS, DS, ES, FS, or GS segment limit.
If a memory operand is not aligned on a 64-byte boundary, regardless of segment.
#SS(0) If a memory operand effective address is outside the SS segment limit.
#PF(fault-code) If a page fault occurs.
#NM If CR0.TS[bit 3] = 1.
#UD If CPUID.01H:ECX.XSAVE[bit 26] = 0.
If CPUID.(EAX=0DH, ECX=01H):EAX.XSAVEOPT[bit 0] = 0.
If CR4.OSXSAVE[bit 18] = 0.
If the LOCK prefix is used.
If 66H, F3H or F2H prefix is used.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If a memory operand is not aligned on a 64-byte boundary, regardless of segment.
If any part of the operand lies outside the effective address space from 0 to FFFFH.
#NM If CR0.TS[bit 3] = 1.
#UD If CPUID.01H:ECX.XSAVE[bit 26] = 0.
If CPUID.(EAX=0DH, ECX=01H):EAX.XSAVEOPT[bit 0] = 0.
If CR4.OSXSAVE[bit 18] = 0.
If the LOCK prefix is used.
If 66H, F3H or F2H prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
Same exceptions as in protected mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
#SS(0) If a memory address referencing the SS segment is in a non-canonical form.
#GP(0) If the memory address is in a non-canonical form.
If a memory operand is not aligned on a 64-byte boundary, regardless of segment.
#PF(fault-code) If a page fault occurs.
#NM If CR0.TS[bit 3] = 1.
#UD If CPUID.01H:ECX.XSAVE[bit 26] = 0.
If CPUID.(EAX=0DH, ECX=01H):EAX.XSAVEOPT[bit 0] = 0.
If CR4.OSXSAVE[bit 18] = 0.
If the LOCK prefix is used.
If 66H, F3H or F2H prefix is used.
XSETBVSet Extended Control Register
INSTRUCTION SET REFERENCE, N-Z
4-586 Vol. 2B
XS E T B V S e t E x t e n d e d C o n t ro l R e g ist e r
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
Writes the contents of registers EDX:EAX into the 64-bit extended control register (XCR) specified in the ECX
register. (On processors that support the Intel 64 architecture, the high-order 32 bits of RCX are ignored.) The
contents of the EDX register are copied to high-order 32 bits of the selected XCR and the contents of the EAX
register are copied to low-order 32 bits of the XCR. (On processors that support the Intel 64 architecture, the high-
order 32 bits of each of RAX and RDX are ignored.) Undefined or reserved bits in an XCR should be set to values
previously read.
This instruction must be executed at privilege level 0 or in real-address mode; otherwise, a general protection
exception #GP(0) is generated. Specifying a reserved or unimplemented XCR in ECX will also cause a general
protection exception. The processor will also generate a general protection exception if software attempts to write
to reserved bits in an XCR.
Currently, only XCR0 (the XFEATURE_ENABLED_MASK register) is supported. Thus, all other values of ECX are
reserved and will cause a #GP(0). Note that bit 0 of XCR0 (corresponding to x87 state) must be set to 1; the
instruction will cause a #GP(0) if an attempt is made to clear this bit. Additionally, bit 1 of XCR0 (corresponding to
AVX state) and bit 2 of XCR0 (corresponding to SSE state) must be set to 1 when using AVX registers; the instruc-
tion will cause a #GP(0) if an attempt is made to set XCR0[2:1] = 10.
O p e ra t io n
XCR[ECX] EDX:EAX;
F la g s Af fe ct e d
None.
P ro t e ct e d Mo d e E x ce p t io n s
#GP(0) If the current privilege level is not 0.
If an invalid XCR is specified in ECX.
If the value in EDX:EAX sets bits that are reserved in the XCR specified by ECX.
If an attempt is made to clear bit 0 of XCR0.
If an attempt is made to set XCR0[2:1] = 10.
#UD If CPUID.01H:ECX.XSAVE[bit 26] = 0.
If CR4.OSXSAVE[bit 18] = 0.
If the LOCK prefix is used.
If 66H, F3H or F2H prefix is used.
O p co d e I n st ru ct io n O p /
E n
6 4 -B it
Mo d e
C o m p a t /
Le g Mo d e
D e scrip t io n
0F 01 D1 XSETBV NP Valid Valid Write the value in EDX:EAX to the XCR
specified by ECX.
Op/En Operand 1 Operand 2 Operand 3 Operand 4
NP NA NA NA NA
XSETBVSet Extended Control Register
INSTRUCTION SET REFERENCE, N-Z
Vol. 2B 4-587
R e a l-Ad d re ss Mo d e E x ce p t io n s
#GP If an invalid XCR is specified in ECX.
If the value in EDX:EAX sets bits that are reserved in the XCR specified by ECX.
If an attempt is made to clear bit 0 of XCR0.
If an attempt is made to set XCR0[2:1] = 10.
#UD If CPUID.01H:ECX.XSAVE[bit 26] = 0.
If CR4.OSXSAVE[bit 18] = 0.
If the LOCK prefix is used.
If 66H, F3H or F2H prefix is used.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#GP(0) The XSETBV instruction is not recognized in virtual-8086 mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
Same exceptions as in protected mode.
6 4 -B it Mo d e E x ce p t io n s
Same exceptions as in protected mode.
XTEST Test If In Transactional Execution
INSTRUCTION SET REFERENCE, N-Z
4-588 Vol. 2B
XT E S T Te st I f I n T ra n sa ct io n a l E xe cu t io n
I n st ru ct io n O p e ra n d E n co d in g
D e scrip t io n
The XTEST instruction queries the transactional execution status. If the instruction executes inside a transaction-
ally executing RTM region or a transactionally executing HLE region, then the ZF flag is cleared, else it is set.
O p e ra t io n
XT E S T
IF (RTM_ACTIVE =1 OR HLE_ACTIVE =1)
THEN
ZF 0
ELSE
ZF 1
FI;
F la g s Af f e ct e d
The ZF flag is cleared if the instruction is executed transactionally; otherwise it is set to 1. The CF, OF, SF, PF, and
AF, flags are cleared.
I n t e l C /C ++C o m p ile r I n t rin sic E q u iv a le n t
XTEST: int _xtest( void );
S I MD F lo a t in g -P o in t E x ce p t io n s
None
O t h e r E x ce p t io n s
#UD CPUID.(EAX=7, ECX=0):HLE[bit 4] = 0 and CPUID.(EAX=7, ECX=0):RTM[bit 11] = 0.
If LOCK or 66H or F2H or F3H prefix is used.
O p co d e /I n st ru ct io n O p /
E n
6 4 /3 2 b it
Mo d e
S u p p o rt
C P U I D
Fe a t u re
F la g
D e scrip t io n
0F 01 D6 A V/V HLE or
RTM
Test if executing in a transactional region
XTEST
Op/En Operand 1 Operand2 Operand3 Operand4
A NA NA NA NA
Vol. 2C 5-1
C H AP T E R 5
S AF E R MO D E E XT E N S I O N S R E F E R E N C E
5 .1 O VE R VI E W
This chapter describes the Safer Mode Extensions (SMX) for the Intel 64 and IA-32 architectures. Safer Mode
Extensions (SMX) provide a programming interface for system software to establish a measured environment
within the platform to support trust decisions by end users. The measured environment includes:
Measured launch of a system executive, referred to as a Measured Launched Environment (MLE)
1
. The system
executive may be based on a Virtual Machine Monitor (VMM), a measured VMM is referred to as MVMM
2
.
Mechanisms to ensure the above measurement is protected and stored in a secure location in the platform.
Protection mechanisms that allow the VMM to control attempts to modify the VMM
The measurement and protection mechanisms used by a measured environment are supported by the capabilities
of an Intel Trusted Execution Technology (Intel TXT) platform:
The SMX are the processors programming interface in an Intel TXT platform;
The chipset in an Intel TXT platform provides enforcement of the protection mechanisms;
Trusted Platform Module (TPM) 1.2 in the platform provides platform configuration registers (PCRs) to store
software measurement values.
5 .2 S MX F U N C T I O N ALI T Y
SMX functionality is provided in an Intel 64 processor through the GETSEC instruction via leaf functions. The
GETSEC instruction supports multiple leaf functions. Leaf functions are selected by the value in EAX at the time
GETSEC is executed. Each GETSEC leaf function is documented separately in the reference pages with a unique
mnemonic (even though these mnemonics share the same opcode, 0F 37).
5 .2 .1 D e t e ct in g a n d E n a b lin g S MX
Software can detect support for SMX operation using the CPUID instruction. If software executes CPUID with 1 in
EAX, a value of 1 in bit 6 of ECX indicates support for SMX operation (GETSEC is available), see CPUID instruction
for the layout of feature flags of reported by CPUID.01H:ECX.
System software enables SMX operation by setting CR4.SMXE[Bit 14] = 1 before attempting to execute GETSEC.
Otherwise, execution of GETSEC results in the processor signaling an invalid opcode exception (#UD).
If the CPUID SMX feature flag is clear (CPUID.01H.ECX[Bit 6] = 0), attempting to set CR4.SMXE[Bit 14] results in
a general protection exception.
The IA32_FEATURE_CONTROL MSR (at address 03AH) provides feature control bits that configure operation of
VMX and SMX. These bits are documented in Table 5-1.
1. See IntelTrusted Execution Technology Measured Launched Environment Programming Guide.
2. An MVMM is sometimes referred to as a measured launched environment (MLE). See IntelTrusted Execution Technology Measured
Launched Environment Programming Guide
SAFER MODE EXTENSIONS REFERENCE
5-2 Vol. 2C
Bit 0 is a lock bit. If the lock bit is clear, an attempt to execute VMXON will cause a general-protection exception.
Attempting to execute GETSEC[SENTER] when the lock bit is clear will also cause a general-protection
exception. If the lock bit is set, WRMSR to the IA32_FEATURE_CONTROL MSR will cause a general-protection
exception. Once the lock bit is set, the MSR cannot be modified until a power-on reset. System BIOS can use
this bit to provide a setup option for BIOS to disable support for VMX, SMX or both VMX and SMX.
Bit 1 enables VMX in SMX operation (between executing the SENTER and SEXIT leaves of GETSEC). If this bit is
clear, an attempt to execute VMXON in SMX will cause a general-protection exception if executed in SMX
operation. Attempts to set this bit on logical processors that do not support both VMX operation (Chapter 5,
Safer Mode Extensions Reference) and SMX operation cause general-protection exceptions.
Bit 2 enables VMX outside SMX operation. If this bit is clear, an attempt to execute VMXON will cause a general-
protection exception if executed outside SMX operation. Attempts to set this bit on logical processors that do
not support VMX operation cause general-protection exceptions.
Bits 8 through 14 specify enabled functionality of the SENTER leaf function. Each bit in the field represents an
enable control for a corresponding SENTER function. Only enabled SENTER leaf functionality can be used when
executing SENTER.
Bits 15 specify global enable of all SENTER functionalities.
5 .2 .2 S MX I n st ru ct io n S u m m a ry
System software must first query for available GETSEC leaf functions by executing GETSEC[CAPABILITIES]. The
CAPABILITIES leaf function returns a bit map of available GETSEC leaves. An attempt to execute an unsupported
leaf index results in an undefined opcode (#UD) exception.
5 .2 .2 .1 G E T S E C [C AP AB I LI T I E S ]
The SMX functionality provides an architectural interface for newer processor generations to extend SMX capabili-
ties. Specifically, the GETSEC instruction provides a capability leaf function for system software to discover the
available GETSEC leaf functions that are supported in a processor. Table 5-2 lists the currently available GETSEC
leaf functions.
Ta b le 5 -1 . La y o u t o f I A3 2 _F E AT U R E _C O N T R O L
B it P o sit io n D e scrip t io n
0 Lock bit (0 =unlocked, 1 =locked). When set to '1' further writes to this MSR are blocked.
1 Enable VMX in SMX operation
2 Enable VMX outside SMX operation
7:3 Reserved
14:8 SENTER Local Function Enables: When set, each bit in the field represents an enable control for a corresponding
SENTER function.
15 SENTER Global Enable: Must be set to 1 to enable operation of GETSEC[SENTER]
63:16 Reserved
SAFER MODE EXTENSIONS REFERENCE
Vol. 2C 5-3
.
5 .2 .2 .2 G E T S E C [E N T E R AC C S ]
The GETSEC[ENTERACCS] leaf enables authenticated code execution mode. The ENTERACCS leaf function
performs an authenticated code module load using the chipset public key as the signature verification. ENTERACCS
requires the existence of an Intel Trusted Execution Technology capable chipset since it unlocks the chipset
private configuration register space after successful authentication of the loaded module. The physical base
address and size of the authenticated code module are specified as input register values in EBX and ECX, respec-
tively.
While in the authenticated code execution mode, certain processor state properties change. For this reason, the
time in which the processor operates in authenticated code execution mode should be limited to minimize impact
on external system events.
Upon entry into , the previous paging context is disabled (since the authenticated code module image is specified
with physical addresses and can no longer rely upon external memory-based page-table structures).
Prior to executing the GETSEC[ENTERACCS] leaf, system software must ensure the logical processor issuing
GETSEC[ENTERACCS] is the boot-strap processor (BSP), as indicated by IA32_APIC_BASE.BSP = 1. System soft-
ware must ensure other logical processors are in a suitable idle state and not marked as BSP.
The GETSEC[ENTERACCS] leaf may be used by different agents to load different authenticated code modules to
perform functions related to different aspects of a measured environment, for example system software and
Intel TXT enabled BIOS may use more than one authenticated code modules.
5 .2 .2 .3 G E T S E C [E XI TAC ]
GETSEC[EXITAC] takes the processor out of . When this instruction leaf is executed, the contents of the authenti-
cated code execution area are scrubbed and control is transferred to the non-authenticated context defined by a
near pointer passed with the GETSEC[EXITAC] instruction.
The authenticated code execution area is no longer accessible after completion of GETSEC[EXITAC]. RBX (or EBX)
holds the address of the near absolute indirect target to be taken.
5 .2 .2 .4 G E T S E C [S E N T E R ]
The GETSEC[SENTER] leaf function is used by the initiating logical processor (ILP) to launch an MLE.
GETSEC[SENTER] can be considered a superset of the ENTERACCS leaf, because it enters as part of the measured
environment launch.
Measured environment startup consists of the following steps:
Ta b le 5 -2 . G E T S E C Le a f F u n ct io n s
I n d e x (E AX) Le a f fu n ct io n D e scrip t io n
0 CAPABILITIES Returns the available leaf functions of the GETSEC instruction
1 Undefined Reserved
2 ENTERACCS Enter
3 EXITAC Exit
4 SENTER Launch an MLE
5 SEXIT Exit the MLE
6 PARAMETERS Return SMX related parameter information
7 SMCTRL SMX mode control
8 WAKEUP Wake up sleeping processors in safer mode
9 - (4G-1) Undefined Reserved
SAFER MODE EXTENSIONS REFERENCE
5-4 Vol. 2C
the ILP rendezvous the responding logical processors (RLPs) in the platform into a controlled state (At the
completion of this handshake, all the RLPs except for the ILP initiating the measured environment launch are
placed in a newly defined SENTER sleep state).
Load and authenticate the authenticated code module required by the measured environment, and enter
authenticated code execution mode.
Verify and lock certain system configuration parameters.
Measure the dynamic root of trust and store into the PCRs in TPM.
Transfer control to the MLE with interrupts disabled.
Prior to executing the GETSEC[SENTER] leaf, system software must ensure the platforms TPM is ready for access
and the ILP is the boot-strap processor (BSP), as indicated by IA32_APIC_BASE.BSP. System software must ensure
other logical processors (RLPs) are in a suitable idle state and not marked as BSP.
System software launching a measurement environment is responsible for providing a proper authenticate code
module address when executing GETSEC[SENTER]. The AC module responsible for the launch of a measured envi-
ronment and loaded by GETSEC[SENTER] is referred to as SINIT. See Intel Trusted Execution Technology
Measured Launched Environment Programming Guide for additional information on system software requirements
prior to executing GETSEC[SENTER].
5 .2 .2 .5 G E T S E C [S E XI T ]
System software exits the measured environment by executing the instruction GETSEC[SEXIT] on the ILP. This
instruction rendezvous the responding logical processors in the platform for exiting from the measured environ-
ment. External events (if left masked) are unmasked and Intel TXT-capable chipsets private configuration space
is re-locked.
5 .2 .2 .6 G E T S E C [P AR AME T E R S ]
The GETSEC[PARAMETERS] leaf function is used to report attributes, options and limitations of SMX operation.
Software uses this leaf to identify operating limits or additional options.
The information reported by GETSEC[PARAMETERS] may require executing the leaf multiple times using EBX as an
index. If the GETSEC[PARAMETERS] instruction leaf or if a specific parameter field is not available, then SMX oper-
ation should be interpreted to use the default limits of respective GETSEC leaves or parameter fields defined in the
GETSEC[PARAMETERS] leaf.
5 .2 .2 .7 G E T S E C [S MC T R L]
The GETSEC[SMCTRL] leaf function is used for providing additional control over specific conditions associated with
the SMX architecture. An input register is supported for selecting the control operation to be performed. See the
specific leaf description for details on the type of control provided.
5 .2 .2 .8 G E T S E C [WAK E U P ]
Responding logical processors (RLPs) are placed in the SENTER sleep state after the initiating logical processor
executes GETSEC[SENTER]. The ILP can wake up RLPs to join the measured environment by using
GETSEC[WAKEUP].When the RLPs in SENTER sleep state wake up, these logical processors begin execution at the
entry point defined in a data structure held in system memory (pointed to by an chipset register LT.MLE.JOIN) in
TXT configuration space.
5 .2 .3 Me a su re d E n v iro n m e n t a n d S MX
This section gives a simplified view of a representative life cycle of a measured environment that is launched by a
system executive using SMX leaf functions. Intel Trusted Execution Technology Measured Launched Environment
Programming Guide provides more detailed examples of using SMX and chipset resources (including chipset regis-
ters, Trusted Platform Module) to launch an MVMM.
SAFER MODE EXTENSIONS REFERENCE
Vol. 2C 5-5
The life cycle starts with the system executive (an OS, an OS loader, and so forth) loading the MLE and SINIT AC
module into available system memory. The system executive must validate and prepare the platform for the
measured launch. When the platform is properly configured, the system executive executes GETSEC[SENTER] on
the initiating logical processor (ILP) to rendezvous the responding logical processors into an SENTER sleep state,
the ILP then enters into using the SINIT AC module. In a multi-threaded or multi-processing environment, the
system executive must ensure that other logical processors are already in an idle loop, or asleep (such as after
executing HLT) before executing GETSEC[SENTER].
After the GETSEC[SENTER] rendezvous handshake is performed between all logical processors in the platform, the
ILP loads the chipset authenticated code module (SINIT) and performs an authentication check. If the check
passes, the processor hashes the SINIT AC module and stores the result into TPM PCR 17. It then switches execu-
tion context to the SINIT AC module. The SINIT AC module will perform a number of platform operations,
including: verifying the system configuration, protecting the system memory used by the MLE from I/O devices
capable of DMA, producing a hash of the MLE, storing the hash value in TPM PCR 18, and various other operations.
When SINIT completes execution, it executes the GETSEC[EXITAC] instruction and transfers control the MLE at the
designated entry point.
Upon receiving control from the SINIT AC module, the MLE must establish its protection and isolation controls
before enabling DMA and interrupts and transferring control to other software modules. It must also wakeup the
RLPs from their SENTER sleep state using the GETSEC[WAKEUP] instruction and bring them into its protection and
isolation environment.
While executing in a measured environment, the MVMM can access the Trusted Platform Module (TPM) in locality 2.
The MVMM has complete access to all TPM commands and may use the TPM to report current measurement values
or use the measurement values to protect information such that only when the platform configuration registers
(PCRs) contain the same value is the information released from the TPM. This protection mechanism is known as
sealing.
A measured environment shutdown is ultimately completed by executing GETSEC[SEXIT]. Prior to this step system
software is responsible for scrubbing sensitive information left in the processor caches, system memory.
5 .3 G E T S E C LE AF F U N C T I O N S
This section provides detailed descriptions of each leaf function of the GETSEC instruction. GETSEC is available only
if CPUID.01H:ECX[Bit 6] = 1. This indicates the availability of SMX and the GETSEC instruction. Before GETSEC can
be executed, SMX must be enabled by setting CR4.SMXE[Bit 14] = 1.
A GETSEC leaf can only be used if it is shown to be available as reported by the GETSEC[CAPABILITIES] function.
Attempts to access a GETSEC leaf index not supported by the processor, or if CR4.SMXE is 0, results in the signaling
of an undefined opcode exception.
All GETSEC leaf functions are available in protected mode, including the compatibility sub-mode of IA-32e mode
and the 64-bit sub-mode of IA-32e mode. Unless otherwise noted, the behavior of all GETSEC functions and inter-
actions related to the measured environment are independent of IA-32e mode. This also applies to the interpreta-
tion of register widths
1
passed as input parameters to GETSEC functions and to register results returned as output
parameters.
The GETSEC functions ENTERACCS, SENTER, SEXIT, and WAKEUP require a Intel TXT capable-chipset to be
present in the platform. The GETSEC[CAPABILITIES] returned bit vector in position 0 indicates an Intel TXT-
capable chipset has been sampled present
2
by the processor.
The processor's operating mode also affects the execution of the following GETSEC leaf functions: SMCTRL, ENTER-
ACCS, EXITAC, SENTER, SEXIT, and WAKEUP. These functions are only allowed in protected mode at CPL = 0. They
1. This chapter uses the 64-bit notation RAX, RIP, RSP, RFLAGS, etc. for processor registers because processors that support SMX also
support Intel 64 Architecture. The MVMM can be launched in IA-32e mode or outside IA-32e mode. The 64-bit notation of processor
registers also refer to its 32-bit forms if SMX is used in 32-bit environment. In some places, notation such as EAX is used to refer
specifically to lower 32 bits of the indicated register
2. Sampled present means that the processor sent a message to the chipset and the chipset responded that it (a) knows about the
message and (b) is capable of executing SENTER. This means that the chipset CAN support IntelTXT, and is configured and WILLING
to support it.
SAFER MODE EXTENSIONS REFERENCE
5-6 Vol. 2C
are not allowed while in SMM in order to prevent potential intra-mode conflicts. Further execution qualifications
exist to prevent potential architectural conflicts (for example: nesting of the measured environment or authenti-
cated code execution mode). See the definitions of the GETSEC leaf functions for specific requirements.
For the purpose of performance monitor counting, the execution of GETSEC functions is counted as a single instruc-
tion with respect to retired instructions. The response by a responding logical processor (RLP) to messages associ-
ated with GETSEC[SENTER] or GTSEC[SEXIT] is transparent to the retired instruction count on the ILP.
GETSEC[CAPABILITIES] - Report the SMX Capabilities
SAFER MODE EXTENSIONS REFERENCE
Vol. 2C 5-7
G E T S E C [C AP AB I LI T I E S ] - R e p o rt t h e S MX C a p a b ilit ie s
D e scrip t io n
The GETSEC[CAPABILITIES] function returns a bit vector of supported GETSEC leaf functions. The CAPABILITIES
leaf of GETSEC is selected with EAX set to 0 at entry. EBX is used as the selector for returning the bit vector field in
EAX. GETSEC[CAPABILITIES] may be executed at all privilege levels, but the CR4.SMXE bit must be set or an unde-
fined opcode exception (#UD) is returned.
With EBX = 0 upon execution of GETSEC[CAPABILITIES], EAX returns the a bit vector representing status on the
presence of a Intel TXT-capable chipset and the first 30 available GETSEC leaf functions. The format of the
returned bit vector is provided in Table 5-3.
If bit 0 is set to 1, then an Intel TXT-capable chipset has been sampled present by the processor. If bits in the
range of 1-30 are set, then the corresponding GETSEC leaf function is available. If the bit value at a given bit index
is 0, then the GETSEC leaf function corresponding to that index is unsupported and attempted execution results in
a #UD.
Bit 31 of EAX indicates if further leaf indexes are supported. If the Extended Leafs bit 31 is set, then additional leaf
functions are accessed by repeating GETSEC[CAPABILITIES] with EBX incremented by one. When the most signifi-
cant bit of EAX is not set, then additional GETSEC leaf functions are not supported; indexing EBX to a higher value
results in EAX returning zero.
O p e ra t io n
IF (CR4.SMXE=0)
THEN #UD;
ELSIF (in VMX non-root operation)
THEN VM Exit (reason=GETSEC instruction);
IF (EBX=0) THEN
BitVector 0;
O p co d e I n st ru ct io n D e scrip t io n
0F 37
(EAX =0)
GETSEC[CAPABILITIES] Report the SMX capabilities.
The capabilities index is input in EBX with the result returned in EAX.
Ta b le 5 -3 . G e t se c C a p a b ilit y R e su lt E n co d in g (E B X = 0 )
F ie ld B it p o sit io n D e scrip t io n
Chipset Present 0 IntelTXT-capable chipset is present
Undefined 1 Reserved
ENTERACCS 2 GETSEC[ENTERACCS] is available
EXITAC 3 GETSEC[EXITAC] is available
SENTER 4 GETSEC[SENTER] is available
SEXIT 5 GETSEC[SEXIT] is available
PARAMETERS 6 GETSEC[PARAMETERS] is available
SMCTRL 7 GETSEC[SMCTRL] is available
WAKEUP 8 GETSEC[WAKEUP] is available
Undefined 30:9 Reserved
Extended Leafs 31 Reserved for extended information reporting of GETSEC capabilities
GETSEC[CAPABILITIES] - Report the SMX Capabilities
SAFER MODE EXTENSIONS REFERENCE
5-8 Vol. 2C
IF (TXT chipset present)
BitVector[Chipset present] 1;
IF (ENTERACCS Available)
THEN BitVector[ENTERACCS] 1;
IF (EXITAC Available)
THEN BitVector[EXITAC] 1;
IF (SENTER Available)
THEN BitVector[SENTER] 1;
IF (SEXIT Available)
THEN BitVector[SEXIT] 1;
IF (PARAMETERS Available)
THEN BitVector[PARAMETERS] 1;
IF (SMCTRL Available)
THEN BitVector[SMCTRL] 1;
IF (WAKEUP Available)
THEN BitVector[WAKEUP] 1;
EAX BitVector;
ELSE
EAX 0;
END;;
F la g s Af fe ct e d
None
U se o f P re f ixe s
LOCK Causes #UD
REP* Cause #UD (includes REPNE/REPNZ and REP/REPE/REPZ)
Operand size Causes #UD
Segment overrides Ignored
Address size Ignored
REX Ignored
P ro t e ct e d Mo d e E x ce p t io n s
#UD IF CR4.SMXE = 0.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#UD IF CR4.SMXE = 0.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#UD IF CR4.SMXE = 0.
C o m p a t ib ilit y Mo d e E x ce p t io n s
#UD IF CR4.SMXE = 0.
6 4 -B it Mo d e E x ce p t io n s
#UD IF CR4.SMXE = 0.
V M-e x it C o n d it io n
Reason (GETSEC) IF in VMX non-root operation.
GETSEC[ENTERACCS] - Execute Authenticated Chipset Code
SAFER MODE EXTENSIONS REFERENCE
Vol. 2C 5-9
G E T S E C [E N T E R AC C S ] - E xe cu t e Au t h e n t ica t e d C h ip se t C o d e
D e scrip t io n
The GETSEC[ENTERACCS] function loads, authenticates and executes an authenticated code module using an
Intel TXT platform chipset's public key. The ENTERACCS leaf of GETSEC is selected with EAX set to 2 at entry.
There are certain restrictions enforced by the processor for the execution of the GETSEC[ENTERACCS] instruction:
Execution is not allowed unless the processor is in protected mode or IA-32e mode with CPL = 0 and
EFLAGS.VM = 0.
Processor cache must be available and not disabled, that is, CR0.CD and CR0.NW bits must be 0.
For processor packages containing more than one logical processor, CR0.CD is checked to ensure consistency
between enabled logical processors.
For enforcing consistency of operation with numeric exception reporting using Interrupt 16, CR0.NE must be
set.
An Intel TXT-capable chipset must be present as communicated to the processor by sampling of the power-on
configuration capability field after reset.
The processor can not already be in authenticated code execution mode as launched by a previous
GETSEC[ENTERACCS] or GETSEC[SENTER] instruction without a subsequent exiting using GETSEC[EXITAC]).
To avoid potential operability conflicts between modes, the processor is not allowed to execute this instruction
if it currently is in SMM or VMX operation.
To insure consistent handling of SIPI messages, the processor executing the GETSEC[ENTERACCS] instruction
must also be designated the BSP (boot-strap processor) as defined by IA32_APIC_BASE.BSP (Bit 8).
Failure to conform to the above conditions results in the processor signaling a general protection exception.
Prior to execution of the ENTERACCS leaf, other logical processors, i.e. RLPs, in the platform must be:
idle in a wait-for-SIPI state (as initiated by an INIT assertion or through reset for non-BSP designated
processors), or
in the SENTER sleep state as initiated by a GETSEC[SENTER] from the initiating logical processor (ILP).
If other logical processor(s) in the same package are not idle in one of these states, execution of ENTERACCS
signals a general protection exception. The same requirement and action applies if the other logical processor(s) of
the same package do not have CR0.CD = 0.
A successful execution of ENTERACCS results in the ILP entering an authenticated code execution mode. Prior to
reaching this point, the processor performs several checks. These include:
Establish and check the location and size of the specified authenticated code module to be executed by the
processor.
Inhibit the ILPs response to the external events: INIT, A20M, NMI and SMI.
Broadcast a message to enable protection of memory and I/O from other processor agents.
Load the designated code module into an authenticated code execution area.
Isolate the contents of the authenticated code execution area from further state modification by external
agents.
Authenticate the authenticated code module.
Initialize the initiating logical processor state based on information contained in the authenticated code module
header.
Unlock the Intel TXT-capable chipset private configuration space and TPM locality 3 space.
O p co d e I n st ru ct io n D e scrip t io n
0F 37
(EAX =2)
GETSEC[ENTERACCS] Enter authenticated code execution mode.
EBX holds the authenticated code module physical base address. ECX holds the authenticated
code module size (bytes).
GETSEC[ENTERACCS] - Execute Authenticated Chipset Code
SAFER MODE EXTENSIONS REFERENCE
5-10 Vol. 2C
Begin execution in the authenticated code module at the defined entry point.
The GETSEC[ENTERACCS] function requires two additional input parameters in the general purpose registers EBX
and ECX. EBX holds the authenticated code (AC) module physical base address (the AC module must reside below
4 GBytes in physical address space) and ECX holds the AC module size (in bytes). The physical base address and
size are used to retrieve the code module from system memory and load it into the internal authenticated code
execution area. The base physical address is checked to verify it is on a modulo-4096 byte boundary. The size is
verified to be a multiple of 64, that it does not exceed the internal authenticated code execution area capacity (as
reported by GETSEC[CAPABILITIES]), and that the top address of the AC module does not exceed 32 bits. An error
condition results in an abort of the authenticated code execution launch and the signaling of a general protection
exception.
As an integrity check for proper processor hardware operation, execution of GETSEC[ENTERACCS] will also check
the contents of all the machine check status registers (as reported by the MSRs IA32_MCi_STATUS) for any valid
uncorrectable error condition. In addition, the global machine check status register IA32_MCG_STATUS MCIP bit
must be cleared and the IERR processor package pin (or its equivalent) must not be asserted, indicating that no
machine check exception processing is currently in progress. These checks are performed prior to initiating the
load of the authenticated code module. Any outstanding valid uncorrectable machine check error condition present
in these status registers at this point will result in the processor signaling a general protection violation.
The ILP masks the response to the assertion of the external signals INIT#, A20M, NMI#, and SMI#. This masking
remains active until optionally unmasked by GETSEC[EXITAC] (this defined unmasking behavior assumes
GETSEC[ENTERACCS] was not executed by a prior GETSEC[SENTER]). The purpose of this masking control is to
prevent exposure to existing external event handlers that may not be under the control of the authenticated code
module.
The ILP sets an internal flag to indicate it has entered authenticated code execution mode. The state of the A20M
pin is likewise masked and forced internally to a de-asserted state so that any external assertion is not recognized
during authenticated code execution mode.
To prevent other (logical) processors from interfering with the ILP operating in authenticated code execution mode,
memory (excluding implicit write-back transactions) access and I/O originating from other processor agents are
blocked. This protection starts when the ILP enters into authenticated code execution mode. Only memory and I/O
transactions initiated from the ILP are allowed to proceed. Exiting authenticated code execution mode is done by
executing GETSEC[EXITAC]. The protection of memory and I/O activities remains in effect until the ILP executes
GETSEC[EXITAC].
Prior to launching the authenticated execution module using GETSEC[ENTERACCS] or GETSEC[SENTER], the
processors MTRRs (Memory Type Range Registers) must first be initialized to map out the authenticated RAM
addresses as WB (writeback). Failure to do so may affect the ability for the processor to maintain isolation of the
loaded authenticated code module. If the processor detected this requirement is not met, it will signal an Intel
TXT reset condition with an error code during the loading of the authenticated code module.
While physical addresses within the load module must be mapped as WB, the memory type for locations outside of
the module boundaries must be mapped to one of the supported memory types as returned by GETSEC[PARAME-
TERS] (or UC as default).
To conform to the minimum granularity of MTRR MSRs for specifying the memory type, authenticated code RAM
(ACRAM) is allocated to the processor in 4096 byte granular blocks. If an AC module size as specified in ECX is not
a multiple of 4096 then the processor will allocate up to the next 4096 byte boundary for mapping as ACRAM with
indeterminate data. This pad area will not be visible to the authenticated code module as external memory nor can
it depend on the value of the data used to fill the pad area.
At the successful completion of GETSEC[ENTERACCS], the architectural state of the processor is partially initialized
from contents held in the header of the authenticated code module. The processor GDTR, CS, and DS selectors are
initialized from fields within the authenticated code module. Since the authenticated code module must be relocat-
able, all address references must be relative to the authenticated code module base address in EBX. The processor
GDTR base value is initialized to the AC module header field GDTBasePtr + module base address held in EBX and
the GDTR limit is set to the value in the GDTLimit field. The CS selector is initialized to the AC module header SegSel
field, while the DS selector is initialized to CS + 8. The segment descriptor fields are implicitly initialized to BASE=0,
LIMIT=FFFFFh, G=1, D=1, P=1, S=1, read/write access for DS, and execute/read access for CS. The processor
begins the authenticated code module execution with the EIP set to the AC module header EntryPoint field +
module base address (EBX). The AC module based fields used for initializing the processor state are checked for
consistency and any failure results in a shutdown condition.
GETSEC[ENTERACCS] - Execute Authenticated Chipset Code
SAFER MODE EXTENSIONS REFERENCE
Vol. 2C 5-11
A summary of the register state initialization after successful completion of GETSEC[ENTERACCS] is given for the
processor in Table 5-4. The paging is disabled upon entry into authenticated code execution mode. The authenti-
cated code module is loaded and initially executed using physical addresses. It is up to the system software after
execution of GETSEC[ENTERACCS] to establish a new (or restore its previous) paging environment with an appro-
priate mapping to meet new protection requirements. EBP is initialized to the authenticated code module base
physical address for initial execution in the authenticated environment. As a result, the authenticated code can
reference EBP for relative address based references, given that the authenticated code module must be position
independent.
The segmentation related processor state that has not been initialized by GETSEC[ENTERACCS] requires appro-
priate initialization before use. Since a new GDT context has been established, the previous state of the segment
selector values held in ES, SS, FS, GS, TR, and LDTR might not be valid.
The MSR IA32_EFER is also unconditionally cleared as part of the processor state initialized by ENTERACCS. Since
paging is disabled upon entering authenticated code execution mode, a new paging environment will have to be
reestablished in order to establish IA-32e mode while operating in authenticated code execution mode.
Debug exception and trap related signaling is also disabled as part of GETSEC[ENTERACCS]. This is achieved by
resetting DR7, TF in EFLAGs, and the MSR IA32_DEBUGCTL. These debug functions are free to be re-enabled once
supporting exception handler(s), descriptor tables, and debug registers have been properly initialized following
Ta b le 5 -4 . R e g ist e r S t a t e I n it ia liza t io n a f t e r G E T S E C [E N T E R AC C S ]
R e g ist e r S t a t e I n it ia liza t io n S t a t u s C o m m e n t
CR0 PG0, AM0, WP0: Others unchanged Paging, Alignment Check, Write-protection are
disabled
CR4 MCE0: Others unchanged Machine Check Exceptions Disabled
EFLAGS 00000002H
IA32_EFER 0H IA-32e mode disabled
EIP AC.base +EntryPoint AC.base is in EBX as input to GETSEC[ENTERACCS]
[E|R]BX Pre-ENTERACCS state: Next [E|R]IP prior to
GETSEC[ENTERACCS]
Carry forward 64-bit processor state across
GETSEC[ENTERACCS]
ECX Pre-ENTERACCS state: [31:16]=GDTR.limit;
[15:0]=CS.sel
Carry forward processor state across
GETSEC[ENTERACCS]
[E|R]DX Pre-ENTERACCS state:
GDTR base
Carry forward 64-bit processor state across
GETSEC[ENTERACCS]
EBP AC.base
CS Sel=[SegSel], base=0, limit=FFFFFh, G=1, D=1,
AR=9BH
DS Sel=[SegSel] +8, base=0, limit=FFFFFh, G=1, D=1,
AR=93H
GDTR Base=AC.base (EBX) +[GDTBasePtr],
Limit=[GDTLimit]
DR7 00000400H
IA32_DEBUGCTL 0H
IA32_MISC_ENABLE see Table5-5 for example The number of initialized fields may change due.to
processor implementation
GETSEC[ENTERACCS] - Execute Authenticated Chipset Code
SAFER MODE EXTENSIONS REFERENCE
5-12 Vol. 2C
entry into authenticated code execution mode. Also, any pending single-step trap condition will have been cleared
upon entry into this mode.
The IA32_MISC_ENABLE MSR is initialized upon entry into authenticated execution mode. Certain bits of this MSR
are preserved because preserving these bits may be important to maintain previously established platform settings
(See the footnote for Table 5-5.). The remaining bits are cleared for the purpose of establishing a more consistent
environment for the execution of authenticated code modules. One of the impacts of initializing this MSR is any
previous condition established by the MONITOR instruction will be cleared.
To support the possible return to the processor architectural state prior to execution of GETSEC[ENTERACCS],
certain critical processor state is captured and stored in the general- purpose registers at instruction completion.
[E|R]BX holds effective address ([E|R]IP) of the instruction that would execute next after GETSEC[ENTERACCS],
ECX[15:0] holds the CS selector value, ECX[31:16] holds the GDTR limit field, and [E|R]DX holds the GDTR base
field. The subsequent authenticated code can preserve the contents of these registers so that this state can be
manually restored if needed, prior to exiting authenticated code execution mode with GETSEC[EXITAC]. For the
processor state after exiting authenticated code execution mode, see the description of GETSEC[SEXIT].
The IDTR will also require reloading with a new IDT context after entering authenticated code execution mode,
before any exceptions or the external interrupts INTR and NMI can be handled. Since external interrupts are re-
enabled at the completion of authenticated code execution mode (as terminated with EXITAC), it is recommended
that a new IDT context be established before this point. Until such a new IDT context is established, the
programmer must take care in not executing an INT n instruction or any other operation that would result in an
exception or trap signaling.
Prior to completion of the GETSEC[ENTERACCS] instruction and after successful authentication of the AC module,
the private configuration space of the Intel TXT chipset is unlocked. The authenticated code module alone can gain
access to this normally restricted chipset state for the purpose of securing the platform.
Once the authenticated code module is launched at the completion of GETSEC[ENTERACCS], it is free to enable
interrupts by setting EFLAGS.IF and enable NMI by execution of IRET. This presumes that it has re-established
interrupt handling support through initialization of the IDT, GDT, and corresponding interrupt handling code.
Ta b le 5 -5 . I A3 2 _MI S C _E N AB LE MS R I n it ia liza t io n
1
b y E N T E R AC C S a n d S E N T E R
N O T E S :
1. The number of IA32_MISC_ENABLE fields that are initialized may vary due to processor implementations.
F ie ld B it p o sit io n D e scrip t io n
Fast strings enable 0 Clear to 0
FOPCODE compatibility mode
enable
2 Clear to 0
Thermal monitor enable 3 Set to 1 if other thermal monitor capability is not enabled.
2
2. ENTERACCS (and SENTER) initialize the state of processor thermal throttling such that at least a minimum level is enabled. If thermal
throttling is already enabled when executing one of these GETSEC leaves, then no change in the thermal throttling control settings
will occur. If thermal throttling is disabled, then it will be enabled via setting of the thermal throttle control bit 3 as a result of execut-
ing these GETSEC leaves.
Split-lock disable 4 Clear to 0
Bus lock on cache line splits
disable
8 Clear to 0
Hardware prefetch disable 9 Clear to 0
GV1/2 legacy enable 15 Clear to 0
MONITOR/MWAIT s/menable 18 Clear to 0
Adjacent sector prefetch disable 19 Clear to 0
GETSEC[ENTERACCS] - Execute Authenticated Chipset Code
SAFER MODE EXTENSIONS REFERENCE
Vol. 2C 5-13
O p e ra t io n in a U n i-P ro ce sso r P la t fo rm
(* The state of the internal flag ACMODEFLAG persists across instruction boundary *)
IF (CR4.SMXE=0)
THEN #UD;
ELSIF (in VMX non-root operation)
THEN VM Exit (reason=GETSEC instruction);
ELSIF (GETSEC leaf unsupported)
THEN #UD;
ELSIF ((in VMX operation) or
(CR0.PE=0) or (CR0.CD=1) or (CR0.NW=1) or (CR0.NE=0) or
(CPL>0) or (EFLAGS.VM=1) or
(IA32_APIC_BASE.BSP=0) or
(TXT chipset not present) or
(ACMODEFLAG=1) or (IN_SMM=1))
THEN #GP(0);
IF (GETSEC[PARAMETERS].Parameter_Type =5, MCA_Handling (bit 6) =0)
FOR I =0 to IA32_MCG_CAP.COUNT-1 DO
IF (IA32_MC[I]_STATUS = uncorrectable error)
THEN #GP(0);
OD;
FI;
IF (IA32_MCG_STATUS.MCIP=1) or (IERR pin is asserted)
THEN #GP(0);
ACBASE EBX;
ACSIZE ECX;
IF (((ACBASE MOD 4096) !=0) or ((ACSIZE MOD 64 )!=0 ) or (ACSIZE <minimum module size) OR (ACSIZE >authenticated RAM
capacity)) or ((ACBASE+ACSIZE) >(2^32 -1)))
THEN #GP(0);
IF (secondary thread(s) CR0.CD =1) or ((secondary thread(s) NOT(wait-for-SIPI)) and
(secondary thread(s) not in SENTER sleep state)
THEN #GP(0);
Mask SMI, INIT, A20M, and NMI external pin events;
IA32_MISC_ENABLE (IA32_MISC_ENABLE & MASK_CONST*)
(* The hexadecimal value of MASK_CONST may vary due to processor implementations *)
A20M 0;
IA32_DEBUGCTL 0;
Invalidate processor TLB(s);
Drain Outgoing Transactions;
ACMODEFLAG 1;
SignalTXTMessage(ProcessorHold);
Load the internal ACRAM based on the AC module size;
(* Ensure that all ACRAM loads hit Write Back memory space *)
IF (ACRAM memory type !=WB)
THEN TXT-SHUTDOWN(#BadACMMType);
IF (AC module header version isnot supported) OR (ACRAM[ModuleType] <>2)
THEN TXT-SHUTDOWN(#UnsupportedACM);
(* Authenticate the AC Module and shutdown with an error if it fails *)
KEY GETKEY(ACRAM, ACBASE);
KEYHASH HASH(KEY);
CSKEYHASH READ(TXT.PUBLIC.KEY);
IF (KEYHASH <>CSKEYHASH)
THEN TXT-SHUTDOWN(#AuthenticateFail);
SIGNATURE DECRYPT(ACRAM, ACBASE, KEY);
(* The value of SIGNATURE_LEN_CONST is implementation-specific*)
GETSEC[ENTERACCS] - Execute Authenticated Chipset Code
SAFER MODE EXTENSIONS REFERENCE
5-14 Vol. 2C
FOR I=0 to SIGNATURE_LEN_CONST - 1 DO
ACRAM[SCRATCH.I] SIGNATURE[I];
COMPUTEDSIGNATURE HASH(ACRAM, ACBASE, ACSIZE);
FOR I=0 to SIGNATURE_LEN_CONST - 1 DO
ACRAM[SCRATCH.SIGNATURE_LEN_CONST+I] COMPUTEDSIGNATURE[I];
IF (SIGNATURE<>COMPUTEDSIGNATURE)
THEN TXT-SHUTDOWN(#AuthenticateFail);
ACMCONTROL ACRAM[CodeControl];
IF ((ACMCONTROL.0 =0) and (ACMCONTROL.1 =1) and (snoop hit to modified line detected on ACRAM load))
THEN TXT-SHUTDOWN(#UnexpectedHITM);
IF (ACMCONTROL reserved bits are set)
THEN TXT-SHUTDOWN(#BadACMFormat);
IF ((ACRAM[GDTBasePtr] <(ACRAM[HeaderLen] * 4 +Scratch_size)) OR
((ACRAM[GDTBasePtr] +ACRAM[GDTLimit]) >=ACSIZE))
THEN TXT-SHUTDOWN(#BadACMFormat);
IF ((ACMCONTROL.0 =1) and (ACMCONTROL.1 =1) and (snoop hit to modified line detected on ACRAM load))
THEN ACEntryPoint ACBASE+ACRAM[ErrorEntryPoint];
ELSE
ACEntryPoint ACBASE+ACRAM[EntryPoint];
IF ((ACEntryPoint >=ACSIZE) OR (ACEntryPoint <(ACRAM[HeaderLen] * 4 +Scratch_size)))THEN TXT-SHUTDOWN(#BadACMFormat);
IF (ACRAM[GDTLimit] & FFFF0000h)
THEN TXT-SHUTDOWN(#BadACMFormat);
IF ((ACRAM[SegSel] >(ACRAM[GDTLimit] - 15)) OR (ACRAM[SegSel] <8))
THEN TXT-SHUTDOWN(#BadACMFormat);
IF ((ACRAM[SegSel].TI=1) OR (ACRAM[SegSel].RPL!=0))
THEN TXT-SHUTDOWN(#BadACMFormat);
CR0.[PG.AM.WP] 0;
CR4.MCE 0;
EFLAGS 00000002h;
IA32_EFER 0h;
[E|R]BX [E|R]IP of the instruction after GETSEC[ENTERACCS];
ECX Pre-GETSEC[ENTERACCS] GDT.limit:CS.sel;
[E|R]DX Pre-GETSEC[ENTERACCS] GDT.base;
EBP ACBASE;
GDTR.BASE ACBASE+ACRAM[GDTBasePtr];
GDTR.LIMIT ACRAM[GDTLimit];
CS.SEL ACRAM[SegSel];
CS.BASE 0;
CS.LIMIT FFFFFh;
CS.G 1;
CS.D 1;
CS.AR 9Bh;
DS.SEL ACRAM[SegSel]+8;
DS.BASE 0;
DS.LIMIT FFFFFh;
DS.G 1;
DS.D 1;
DS.AR 93h;
DR7 00000400h;
IA32_DEBUGCTL 0;
SignalTXTMsg(OpenPrivate);
SignalTXTMsg(OpenLocality3);
EIP ACEntryPoint;
END;
GETSEC[ENTERACCS] - Execute Authenticated Chipset Code
SAFER MODE EXTENSIONS REFERENCE
Vol. 2C 5-15
F la g s Af fe ct e d
All flags are cleared.
U se o f P re f ixe s
LOCK Causes #UD
REP* Cause #UD (includes REPNE/REPNZ and REP/REPE/REPZ)
Operand size Causes #UD
Segment overrides Ignored
Address size Ignored
REX Ignored
P ro t e ct e d Mo d e E x ce p t io n s
#UD If CR4.SMXE = 0.
If GETSEC[ENTERACCS] is not reported as supported by GETSEC[CAPABILITIES].
#GP(0) If CR0.CD = 1 or CR0.NW = 1 or CR0.NE = 0 or CR0.PE = 0 or CPL > 0 or EFLAGS.VM = 1.
If a Intel TXT-capable chipset is not present.
If in VMX root operation.
If the initiating processor is not designated as the bootstrap processor via the MSR bit
IA32_APIC_BASE.BSP.
If the processor is already in authenticated code execution mode.
If the processor is in SMM.
If a valid uncorrectable machine check error is logged in IA32_MC[I]_STATUS.
If the authenticated code base is not on a 4096 byte boundary.
If the authenticated code size > processor internal authenticated code area capacity.
If the authenticated code size is not modulo 64.
If other enabled logical processor(s) of the same package CR0.CD = 1.
If other enabled logical processor(s) of the same package are not in the wait-for-SIPI or
SENTER sleep state.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#UD If CR4.SMXE = 0.
If GETSEC[ENTERACCS] is not reported as supported by GETSEC[CAPABILITIES].
#GP(0) GETSEC[ENTERACCS] is not recognized in real-address mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#UD If CR4.SMXE = 0.
If GETSEC[ENTERACCS] is not reported as supported by GETSEC[CAPABILITIES].
#GP(0) GETSEC[ENTERACCS] is not recognized in virtual-8086 mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
All protected mode exceptions apply.
#GP IF AC code module does not reside in physical address below 2^32 -1.
6 4 -B it Mo d e E x ce p t io n s
All protected mode exceptions apply.
#GP IF AC code module does not reside in physical address below 2^32 -1.
GETSEC[ENTERACCS] - Execute Authenticated Chipset Code
SAFER MODE EXTENSIONS REFERENCE
5-16 Vol. 2C
V M-e x it C o n d it io n
Reason (GETSEC) IF in VMX non-root operation.
GETSEC[EXITAC]Exit Authenticated Code Execution Mode
SAFER MODE EXTENSIONS REFERENCE
Vol. 2C 5-17
G E T S E C [E XI TAC ]E x it Au t h e n t ica t e d C o d e E xe cu t io n Mo d e
D e scrip t io n
The GETSEC[EXITAC] leaf function exits the ILP out of authenticated code execution mode established by
GETSEC[ENTERACCS] or GETSEC[SENTER]. The EXITAC leaf of GETSEC is selected with EAX set to 3 at entry. EBX
(or RBX, if in 64-bit mode) holds the near jump target offset for where the processor execution resumes upon
exiting authenticated code execution mode. EDX contains additional parameter control information. Currently only
an input value of 0 in EDX is supported. All other EDX settings are considered reserved and result in a general
protection violation.
GETSEC[EXITAC] can only be executed if the processor is in protected mode with CPL = 0 and EFLAGS.VM = 0. The
processor must also be in authenticated code execution mode. To avoid potential operability conflicts between
modes, the processor is not allowed to execute this instruction if it is in SMM or in VMX operation. A violation of
these conditions results in a general protection violation.
Upon completion of the GETSEC[EXITAC] operation, the processor unmasks responses to external event signals
INIT#, NMI#, and SMI#. This unmasking is performed conditionally, based on whether the authenticated code
execution mode was entered via execution of GETSEC[SENTER] or GETSEC[ENTERACCS]. If the processor is in
authenticated code execution mode due to the execution of GETSEC[SENTER], then these external event signals
will remain masked. In this case, A20M is kept disabled in the measured environment until the measured environ-
ment executes GETSEC[SEXIT]. INIT# is unconditionally unmasked by EXITAC. Note that any events that are
pending, but have been blocked while in authenticated code execution mode, will be recognized at the completion
of the GETSEC[EXITAC] instruction if the pin event is unmasked.
The intent of providing the ability to optionally leave the pin events SMI#, and NMI# masked is to support the
completion of a measured environment bring-up that makes use of VMX. In this envisioned security usage
scenario, these events will remain masked until an appropriate virtual machine has been established in order to
field servicing of these events in a safer manner. Details on when and how events are masked and unmasked in
VMX operation are described in Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3C. It
should be cautioned that if no VMX environment is to be activated following GETSEC[EXITAC], that these events
will remain masked until the measured environment is exited with GETSEC[SEXIT]. If this is not desired then the
GETSEC function SMCTRL(0) can be used for unmasking SMI# in this context. NMI# can be correspondingly
unmasked by execution of IRET.
A successful exit of the authenticated code execution mode requires the ILP to perform additional steps as outlined
below:
Invalidate the contents of the internal authenticated code execution area.
Invalidate processor TLBs.
Clear the internal processor AC Mode indicator flag.
Re-lock the TPM locality 3 space.
Unlock the Intel TXT-capable chipset memory and I/O protections to allow memory and I/O activity by other
processor agents.
Perform a near absolute indirect jump to the designated instruction location.
The content of the authenticated code execution area is invalidated by hardware in order to protect it from further
use or visibility. This internal processor storage area can no longer be used or relied upon after GETSEC[EXITAC].
Data structures need to be re-established outside of the authenticated code execution area if they are to be refer-
enced after EXITAC. Since addressed memory content formerly mapped to the authenticated code execution area
may no longer be coherent with external system memory after EXITAC, processor TLBs in support of linear to phys-
ical address translation are also invalidated.
Upon completion of GETSEC[EXITAC] a near absolute indirect transfer is performed with EIP loaded with the
contents of EBX (based on the current operating mode size). In 64-bit mode, all 64 bits of RBX are loaded into RIP
O p co d e I n st ru ct io n D e scrip t io n
0F 37
(EAX=3)
GETSEC[EXITAC] Exit authenticated code execution mode.
RBX holds the Near Absolute Indirect jump target and EDX hold the exit parameter flags
GETSEC[EXITAC]Exit Authenticated Code Execution Mode
SAFER MODE EXTENSIONS REFERENCE
5-18 Vol. 2C
if REX.W precedes GETSEC[EXITAC]. Otherwise RBX is treated as 32 bits even while in 64-bit mode. Conventional
CS limit checking is performed as part of this control transfer. Any exception conditions generated as part of this
control transfer will be directed to the existing IDT; thus it is recommended that an IDTR should also be established
prior to execution of the EXITAC function if there is a need for fault handling. In addition, any segmentation related
(and paging) data structures to be used after EXITAC should be re-established or validated by the authenticated
code prior to EXITAC.
In addition, any segmentation related (and paging) data structures to be used after EXITAC need to be re-estab-
lished and mapped outside of the authenticated RAM designated area by the authenticated code prior to EXITAC.
Any data structure held within the authenticated RAM allocated area will no longer be accessible after completion
by EXITAC.
O p e ra t io n
(* The state of the internal flag ACMODEFLAG and SENTERFLAG persist across instruction boundary *)
IF (CR4.SMXE=0)
THEN #UD;
ELSIF ( in VMX non-root operation)
THEN VM Exit (reason=GETSEC instruction);
ELSIF (GETSEC leaf unsupported)
THEN #UD;
ELSIF ((in VMX operation) or ( (in 64-bit mode) and ( RBX is non-canonical) )
(CR0.PE=0) or (CPL>0) or (EFLAGS.VM=1) or
(ACMODEFLAG=0) or (IN_SMM=1)) or (EDX !=0))
THEN #GP(0);
IF (OperandSize =32)
THEN tempEIP EBX;
ELSIF (OperandSize =64)
THEN tempEIP RBX;
ELSE
tempEIP EBX AND 0000FFFFH;
IF (tempEIP >code segment limit)
THEN #GP(0);
Invalidate ACRAM contents;
Invalidate processor TLB(s);
Drain outgoing messages;
SignalTXTMsg(CloseLocality3);
SignalTXTMsg(LockSMRAM);
SignalTXTMsg(ProcessorRelease);
Unmask INIT;
IF (SENTERFLAG=0)
THEN Unmask SMI, INIT, NMI, and A20M pin event;
ELSEIF (IA32_SMM_MONITOR_CTL[0] =0)
THEN Unmask SMI pin event;
ACMODEFLAG 0;
EIP tempEIP;
END;
F la g s Af fe ct e d
None.
U se o f P re f ixe s
LOCK Causes #UD
REP* Cause #UD (includes REPNE/REPNZ and REP/REPE/REPZ)
Operand size Causes #UD
GETSEC[EXITAC]Exit Authenticated Code Execution Mode
SAFER MODE EXTENSIONS REFERENCE
Vol. 2C 5-19
Segment overrides Ignored
Address size Ignored
REX.W Sets 64-bit mode Operand size attribute
P ro t e ct e d Mo d e E x ce p t io n s
#UD If CR4.SMXE = 0.
If GETSEC[EXITAC] is not reported as supported by GETSEC[CAPABILITIES].
#GP(0) If CR0.PE = 0 or CPL>0 or EFLAGS.VM =1.
If in VMX root operation.
If the processor is not currently in authenticated code execution mode.
If the processor is in SMM.
If any reserved bit position is set in the EDX parameter register.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#UD If CR4.SMXE = 0.
If GETSEC[EXITAC] is not reported as supported by GETSEC[CAPABILITIES].
#GP(0) GETSEC[EXITAC] is not recognized in real-address mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#UD If CR4.SMXE = 0.
If GETSEC[EXITAC] is not reported as supported by GETSEC[CAPABILITIES].
#GP(0) GETSEC[EXITAC] is not recognized in virtual-8086 mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
All protected mode exceptions apply.
6 4 -B it Mo d e E x ce p t io n s
All protected mode exceptions apply.
#GP(0) If the target address in RBX is not in a canonical form.
V M-E x it C o n d it io n
Reason (GETSEC) IF in VMX non-root operation.
GETSEC[SENTER]Enter a Measured Environment
SAFER MODE EXTENSIONS REFERENCE
5-20 Vol. 2C
G E T S E C [S E N T E R ]E n t e r a Me a su re d E n v iro n m e n t
D e scrip t io n
The GETSEC[SENTER] instruction initiates the launch of a measured environment and places the initiating logical
processor (ILP) into the authenticated code execution mode. The SENTER leaf of GETSEC is selected with EAX set
to 4 at execution. The physical base address of the AC module to be loaded and authenticated is specified in EBX.
The size of the module in bytes is specified in ECX. EDX controls the level of functionality supported by the
measured environment launch. To enable the full functionality of the protected environment launch, EDX must be
initialized to zero.
The authenticated code base address and size parameters (in bytes) are passed to the GETSEC[SENTER] instruc-
tion using EBX and ECX respectively. The ILP evaluates the contents of these registers according to the rules for the
AC module address in GETSEC[ENTERACCS]. AC module execution follows the same rules, as set by
GETSEC[ENTERACCS].
The launching software must ensure that the TPM.ACCESS_0.activeLocality bit is clear before executing the
GETSEC[SENTER] instruction.
There are restrictions enforced by the processor for execution of the GETSEC[SENTER] instruction:
Execution is not allowed unless the processor is in protected mode or IA-32e mode with CPL = 0 and
EFLAGS.VM = 0.
Processor cache must be available and not disabled using the CR0.CD and NW bits.
For enforcing consistency of operation with numeric exception reporting using Interrupt 16, CR0.NE must be
set.
An Intel TXT-capable chipset must be present as communicated to the processor by sampling of the power-on
configuration capability field after reset.
The processor can not be in authenticated code execution mode or already in a measured environment (as
launched by a previous GETSEC[ENTERACCS] or GETSEC[SENTER] instruction).
To avoid potential operability conflicts between modes, the processor is not allowed to execute this instruction
if it currently is in SMM or VMX operation.
To insure consistent handling of SIPI messages, the processor executing the GETSEC[SENTER] instruction must
also be designated the BSP (boot-strap processor) as defined by A32_APIC_BASE.BSP (Bit 8).
EDX must be initialized to a setting supportable by the processor. Unless enumeration by the GETSEC[PARAM-
ETERS] leaf reports otherwise, only a value of zero is supported.
Failure to abide by the above conditions results in the processor signaling a general protection violation.
This instruction leaf starts the launch of a measured environment by initiating a rendezvous sequence for all logical
processors in the platform. The rendezvous sequence involves the initiating logical processor sending a message
(by executing GETSEC[SENTER]) and other responding logical processors (RLPs) acknowledging the message, thus
synchronizing the RLP(s) with the ILP.
In response to a message signaling the completion of rendezvous, RLPs clear the bootstrap processor indicator flag
(IA32_APIC_BASE.BSP) and enter an SENTER sleep state. In this sleep state, RLPs enter an idle processor condi-
tion while waiting to be activated after a measured environment has been established by the system executive.
RLPs in the SENTER sleep state can only be activated by the GETSEC leaf function WAKEUP in a measured environ-
ment.
A successful launch of the measured environment results in the initiating logical processor entering the authenti-
cated code execution mode. Prior to reaching this point, the ILP performs the following steps internally:
Inhibit processor response to the external events: INIT, A20M, NMI, and SMI.
O p co d e I n st ru ct io n D e scrip t io n
0F 37
(EAX=4)
GETSEC[SENTER] Launch a measured environment
EBX holds the SINIT authenticated code module physical base address.
ECX holds the SINIT authenticated code module size (bytes).
EDX controls the level of functionality supported by the measured environment launch.
GETSEC[SENTER]Enter a Measured Environment
SAFER MODE EXTENSIONS REFERENCE
Vol. 2C 5-21
Establish and check the location and size of the authenticated code module to be executed by the ILP.
Check for the existence of an Intel TXT-capable chipset.
Verify the current power management configuration is acceptable.
Broadcast a message to enable protection of memory and I/O from activities from other processor agents.
Load the designated AC module into authenticated code execution area.
Isolate the content of authenticated code execution area from further state modification by external agents.
Authenticate the AC module.
Updated the Trusted Platform Module (TPM) with the authenticated code module's hash.
Initialize processor state based on the authenticated code module header information.
Unlock the Intel TXT-capable chipset private configuration register space and TPM locality 3 space.
Begin execution in the authenticated code module at the defined entry point.
As an integrity check for proper processor hardware operation, execution of GETSEC[SENTER] will also check the
contents of all the machine check status registers (as reported by the MSRs IA32_MCi_STATUS) for any valid
uncorrectable error condition. In addition, the global machine check status register IA32_MCG_STATUS MCIP bit
must be cleared and the IERR processor package pin (or its equivalent) must be not asserted, indicating that no
machine check exception processing is currently in-progress. These checks are performed twice: once by the ILP
prior to the broadcast of the rendezvous message to RLPs, and later in response to RLPs acknowledging the
rendezvous message. Any outstanding valid uncorrectable machine check error condition present in the machine
check status registers at the first check point will result in the ILP signaling a general protection violation. If an
outstanding valid uncorrectable machine check error condition is present at the second check point, then this will
result in the corresponding logical processor signaling the more severe TXT-shutdown condition with an error code
of 12.
Before loading and authentication of the target code module is performed, the processor also checks that the
current voltage and bus ratio encodings correspond to known good values supportable by the processor. The MSR
IA32_PERF_STATUS values are compared against either the processor supported maximum operating target
setting, system reset setting, or the thermal monitor operating target. If the current settings do not meet any of
these criteria then the SENTER function will attempt to change the voltage and bus ratio select controls in a
processor-specific manner. This adjustment may be to the thermal monitor, minimum (if different), or maximum
operating target depending on the processor.
This implies that some thermal operating target parameters configured by BIOS may be overridden by SENTER.
The measured environment software may need to take responsibility for restoring such settings that are deemed
to be safe, but not necessarily recognized by SENTER. If an adjustment is not possible when an out of range setting
is discovered, then the processor will abort the measured launch. This may be the case for chipset controlled
settings of these values or if the controllability is not enabled on the processor. In this case it is the responsibility
of the external software to program the chipset voltage ID and/or bus ratio select settings to known good values
recognized by the processor, prior to executing SENTER.
N O T E
For a mobile processor, an adjustment can be made according to the thermal monitor operating
target. For a quad-core processor the SENTER adjustment mechanism may result in a more conser-
vative but non-uniform voltage setting, depending on the pre-SENTER settings per core.
The ILP and RLPs mask the response to the assertion of the external signals INIT#, A20M, NMI#, and SMI#. The
purpose of this masking control is to prevent exposure to existing external event handlers until a protected handler
has been put in place to directly handle these events. Masked external pin events may be unmasked conditionally
or unconditionally via the GETSEC[EXITAC], GETSEC[SEXIT], GETSEC[SMCTRL] or for specific VMX related opera-
tions such as a VM entry or the VMXOFF instruction (see respective GETSEC leaves and Intel 64 and IA-32 Archi-
tectures Software Developers Manual, Volume 3C for more details).The state of the A20M pin is masked and
forced internally to a de-asserted state so that external assertion is not recognized. A20M masking as set by
GETSEC[SENTER] is undone only after taking down the measured environment with the GETSEC[SEXIT] instruc-
tion or processor reset. INTR is masked by simply clearing the EFLAGS.IF bit. It is the responsibility of system soft-
ware to control the processor response to INTR through appropriate management of EFLAGS.
GETSEC[SENTER]Enter a Measured Environment
SAFER MODE EXTENSIONS REFERENCE
5-22 Vol. 2C
To prevent other (logical) processors from interfering with the ILP operating in authenticated code execution mode,
memory (excluding implicit write-back transactions) and I/O activities originating from other processor agents are
blocked. This protection starts when the ILP enters into authenticated code execution mode. Only memory and I/O
transactions initiated from the ILP are allowed to proceed. Exiting authenticated code execution mode is done by
executing GETSEC[EXITAC]. The protection of memory and I/O activities remains in effect until the ILP executes
GETSEC[EXITAC].
Once the authenticated code module has been loaded into the authenticated code execution area, it is protected
against further modification from external bus snoops. There is also a requirement that the memory type for the
authenticated code module address range be WB (via initialization of the MTRRs prior to execution of this instruc-
tion). If this condition is not satisfied, it is a violation of security and the processor will force a TXT system reset
(after writing an error code to the chipset LT.ERRORCODE register). This action is referred to as a Intel TXT reset
condition. It is performed when it is considered unreliable to signal an error through the conventional exception
reporting mechanism.
To conform to the minimum granularity of MTRR MSRs for specifying the memory type, authenticated code RAM
(ACRAM) is allocated to the processor in 4096 byte granular blocks. If an AC module size as specified in ECX is not
a multiple of 4096 then the processor will allocate up to the next 4096 byte boundary for mapping as ACRAM with
indeterminate data. This pad area will not be visible to the authenticated code module as external memory nor can
it depend on the value of the data used to fill the pad area.
Once successful authentication has been completed by the ILP, the computed hash is stored in the TPM at PCR17
after this register is implicitly reset. PCR17 is a dedicated register for holding the computed hash of the authenti-
cated code module loaded and subsequently executed by the GETSEC[SENTER]. As part of this process, the
dynamic PCRs 18-22 are reset so they can be utilized by subsequently software for registration of code and data
modules. After successful execution of SENTER, PCR17 contains the measurement of AC code and the SENTER
launching parameters.
After authentication is completed successfully, the private configuration space of the Intel TXT-capable chipset is
unlocked so that the authenticated code module and measured environment software can gain access to this
normally restricted chipset state. The Intel TXT-capable chipset private configuration space can be locked later by
software writing to the chipset LT.CMD.CLOSE-PRIVATE register or unconditionally using the GETSEC[SEXIT]
instruction.
The SENTER leaf function also initializes some processor architecture state for the ILP from contents held in the
header of the authenticated code module. Since the authenticated code module is relocatable, all address refer-
ences are relative to the base address passed in via EBX. The ILP GDTR base value is initialized to EBX +
[GDTBasePtr] and GDTR limit set to [GDTLimit]. The CS selector is initialized to the value held in the AC module
header field SegSel, while the DS, SS, and ES selectors are initialized to CS+8. The segment descriptor fields are
initialized implicitly with BASE=0, LIMIT=FFFFFh, G=1, D=1, P=1, S=1, read/write/accessed for DS, SS, and ES,
while execute/read/accessed for CS. Execution in the authenticated code module for the ILP begins with the EIP set
to EBX + [EntryPoint]. AC module defined fields used for initializing processor state are consistency checked with a
failure resulting in an TXT-shutdown condition.
Table 5-6 provides a summary of processor state initialization for the ILP and RLP(s) after successful completion of
GETSEC[SENTER]. For both ILP and RLP(s), paging is disabled upon entry to the measured environment. It is up to
the ILP to establish a trusted paging environment, with appropriate mappings, to meet protection requirements
established during the launch of the measured environment. RLP state initialization is not completed until a subse-
quent wake-up has been signaled by execution of the GETSEC[WAKEUP] function by the ILP.
GETSEC[SENTER]Enter a Measured Environment
SAFER MODE EXTENSIONS REFERENCE
Vol. 2C 5-23
Segmentation related processor state that has not been initialized by GETSEC[SENTER] requires appropriate
initialization before use. Since a new GDT context has been established, the previous state of the segment selector
values held in FS, GS, TR, and LDTR may no longer be valid. The IDTR will also require reloading with a new IDT
context after launching the measured environment before exceptions or the external interrupts INTR and NMI can
be handled. In the meantime, the programmer must take care in not executing an INT n instruction or any other
condition that would result in an exception or trap signaling.
Debug exception and trap related signaling is also disabled as part of execution of GETSEC[SENTER]. This is
achieved by clearing DR7, TF in EFLAGs, and the MSR IA32_DEBUGCTL as defined in Table 5-6. These can be re-
enabled once supporting exception handler(s), descriptor tables, and debug registers have been properly re-initial-
ized following SENTER. Also, any pending single-step trap condition will be cleared at the completion of SENTER for
both the ILP and RLP(s).
Performance related counters and counter control registers are cleared as part of execution of SENTER on both the
ILP and RLP. This implies any active performance counters at the time of SENTER execution will be disabled. To
reactive the processor performance counters, this state must be re-initialized and re-enabled.
Since MCE along with all other state bits (with the exception of SMXE) are cleared in CR4 upon execution of SENTER
processing, any enabled machine check error condition that occurs will result in the processor performing the TXT-
Ta b le 5 -6 . R e g ist e r S t a t e I n it ia liza t io n a ft e r G E T S E C [S E N T E R ] a n d G E T S E C [WAK E U P ]
R e g ist e r S t a t e I LP a ft e r G E T S E C [S E N T E R ] R LP a ft e r G E T S E C [WAK E U P ]
CR0 PG0, AM0, WP0; Others unchanged PG0, CD0, NW0, AM0, WP0; PE1, NE1
CR4 00004000H 00004000H
EFLAGS 00000002H 00000002H
IA32_EFER 0H 0
EIP [EntryPoint from MLE header
1
] [LT.MLE.JOIN +12]
EBX Unchanged [SINIT.BASE] Unchanged
EDX SENTER control flags Unchanged
EBP SINIT.BASE Unchanged
CS Sel=[SINIT SegSel], base=0, limit=FFFFFh, G=1,
D=1, AR=9BH
Sel =[LT.MLE.JOIN +8], base =0, limit =FFFFFH, G =
1, D =1, AR =9BH
DS, ES, SS Sel=[SINIT SegSel] +8, base=0, limit=FFFFFh, G=1,
D=1, AR=93H
Sel =[LT.MLE.JOIN +8] +8, base =0, limit =FFFFFH,
G =1, D =1, AR =93H
GDTR Base=SINIT.base (EBX) +[SINIT.GDTBasePtr],
Limit=[SINIT.GDTLimit]
Base =[LT.MLE.JOIN +4], Limit =[LT.MLE.JOIN]
DR7 00000400H 00000400H
IA32_DEBUGCTL 0H 0H
Performance
counters and counter
control registers
0H 0H
IA32_MISC_ENABLE See Table5-5 See Table5-5
IA32_SMM_MONITOR
_CTL
Bit 20 Bit 20
N O T E S :
1. See Intel Trusted Execution Technology Measured Launched Environment Programming Guide for MLE header
format.
GETSEC[SENTER]Enter a Measured Environment
SAFER MODE EXTENSIONS REFERENCE
5-24 Vol. 2C
shutdown action. This also applies to an RLP while in the SENTER sleep state. For each logical processor CR4.MCE
must be reestablished with a valid machine check exception handler to otherwise avoid an TXT-shutdown under
such conditions.
The MSR IA32_EFER is also unconditionally cleared as part of the processor state initialized by SENTER for both the
ILP and RLP. Since paging is disabled upon entering authenticated code execution mode, a new paging environment
will have to be re-established if it is desired to enable IA-32e mode while operating in authenticated code execution
mode.
The miscellaneous feature control MSR, IA32_MISC_ENABLE, is initialized as part of the measured environment
launch. Certain bits of this MSR are preserved because preserving these bits may be important to maintain previ-
ously established platform settings. See the footnote for Table 5-5 The remaining bits are cleared for the purpose
of establishing a more consistent environment for the execution of authenticated code modules. Among the impact
of initializing this MSR, any previous condition established by the MONITOR instruction will be cleared.
Effect of MSR IA32_FEATURE_CONTROL MSR
Bits 15:8 of the IA32_FEATURE_CONTROL MSR affect the execution of GETSEC[SENTER]. These bits consist of two
fields:
Bit 15: a global enable control for execution of SENTER.
Bits 14:8: a parameter control field providing the ability to qualify SENTER execution based on the level of
functionality specified with corresponding EDX parameter bits 6:0.
The layout of these fields in the IA32_FEATURE_CONTROL MSR is shown in Table 5-1.
Prior to the execution of GETSEC[SENTER], the lock bit of IA32_FEATURE_CONTROL MSR must be bit set to affirm
the settings to be used. Once the lock bit is set, only a power-up reset condition will clear this MSR. The
IA32_FEATURE_CONTROL MSR must be configured in accordance to the intended usage at platform initialization.
Note that this MSR is only available on SMX or VMX enabled processors. Otherwise, IA32_FEATURE_CONTROL is
treated as reserved.
The IntelTrusted Execution Technology Measured Launched Environment Programming Guide provides additional details and
requirements for programming measured environment software to launch in an Intel TXT platform.
O p e ra t io n in a U n i-P ro ce sso r P la t fo rm
(* The state of the internal flag ACMODEFLAG and SENTERFLAG persist across instruction boundary *)
GETSEC[SENTER] (ILP only):
IF (CR4.SMXE=0)
THEN #UD;
ELSE IF (in VMX non-root operation)
THEN VM Exit (reason=GETSEC instruction);
ELSE IF (GETSEC leaf unsupported)
THEN #UD;
ELSE IF ((in VMX root operation) or
(CR0.PE=0) or (CR0.CD=1) or (CR0.NW=1) or (CR0.NE=0) or
(CPL>0) or (EFLAGS.VM=1) or
(IA32_APIC_BASE.BSP=0) or (TXT chipset not present) or
(SENTERFLAG=1) or (ACMODEFLAG=1) or (IN_SMM=1) or
(TPM interface is not present) or
(EDX !=(SENTER_EDX_support_mask & EDX)) or
(IA32_CR_FEATURE_CONTROL[0]=0) or (IA32_CR_FEATURE_CONTROL[15]=0) or
((IA32_CR_FEATURE_CONTROL[14:8] & EDX[6:0]) !=EDX[6:0]))
THEN #GP(0);
IF (GETSEC[PARAMETERS].Parameter_Type =5, MCA_Handling (bit 6) =0)
FOR I =0 to IA32_MCG_CAP.COUNT-1 DO
IF IA32_MC[I]_STATUS =uncorrectable error
THEN #GP(0);
FI;
OD;
GETSEC[SENTER]Enter a Measured Environment
SAFER MODE EXTENSIONS REFERENCE
Vol. 2C 5-25
FI;
IF (IA32_MCG_STATUS.MCIP=1) or (IERR pin is asserted)
THEN #GP(0);
ACBASE EBX;
ACSIZE ECX;
IF (((ACBASE MOD 4096) !=0) or ((ACSIZE MOD 64) !=0 ) or (ACSIZE <minimum
module size) or (ACSIZE >AC RAM capacity) or ((ACBASE+ACSIZE) >(2^32 -1)))
THEN #GP(0);
Mask SMI, INIT, A20M, and NMI external pin events;
SignalTXTMsg(SENTER);
DO
WHILE (no SignalSENTER message);
TXT_SENTER__MSG_EVENT (ILP & RLP):
Mask and clear SignalSENTER event;
Unmask SignalSEXIT event;
IF (in VMX operation)
THEN TXT-SHUTDOWN(#IllegalEvent);
FOR I =0 to IA32_MCG_CAP.COUNT-1 DO
IF IA32_MC[I]_STATUS =uncorrectable error
THEN TXT-SHUTDOWN(#UnrecovMCError);
FI;
OD;
IF (IA32_MCG_STATUS.MCIP=1) or (IERR pin is asserted)
THEN TXT-SHUTDOWN(#UnrecovMCError);
IF (Voltage or bus ratio status are NOT at a known good state)
THEN IF (Voltage select and bus ratio are internally adjustable)
THEN
Make product-specific adjustment on operating parameters;
ELSE
TXT-SHUTDOWN(#IIlegalVIDBRatio);
FI;
IA32_MISC_ENABLE (IA32_MISC_ENABLE & MASK_CONST*)
(* The hexadecimal value of MASK_CONST may vary due to processor implementations *)
A20M 0;
IA32_DEBUGCTL 0;
Invalidate processor TLB(s);
Drain outgoing transactions;
Clear performance monitor counters and control;
SENTERFLAG 1;
SignalTXTMsg(SENTERAck);
IF (logical processor is not ILP)
THEN GOTO RLP_SENTER_ROUTINE;
(* ILP waits for all logical processors to ACK *)
DO
DONE TXT.READ(LT.STS);
WHILE (not DONE);
SignalTXTMsg(SENTERContinue);
SignalTXTMsg(ProcessorHold);
FOR I=ACBASE to ACBASE+ACSIZE-1 DO
ACRAM[I-ACBASE].ADDR I;
ACRAM[I-ACBASE].DATA LOAD(I);
OD;
GETSEC[SENTER]Enter a Measured Environment
SAFER MODE EXTENSIONS REFERENCE
5-26 Vol. 2C
IF (ACRAM memory type !=WB)
THEN TXT-SHUTDOWN(#BadACMMType);
IF (AC module header version is not supported) OR (ACRAM[ModuleType] <>2)
THEN TXT-SHUTDOWN(#UnsupportedACM);
KEY GETKEY(ACRAM, ACBASE);
KEYHASH HASH(KEY);
CSKEYHASH LT.READ(LT.PUBLIC.KEY);
IF (KEYHASH <>CSKEYHASH)
THEN TXT-SHUTDOWN(#AuthenticateFail);
SIGNATURE DECRYPT(ACRAM, ACBASE, KEY);
(* The value of SIGNATURE_LEN_CONST is implementation-specific*)
FOR I=0 to SIGNATURE_LEN_CONST - 1 DO
ACRAM[SCRATCH.I] SIGNATURE[I];
COMPUTEDSIGNATURE HASH(ACRAM, ACBASE, ACSIZE);
FOR I=0 to SIGNATURE_LEN_CONST - 1 DO
ACRAM[SCRATCH.SIGNATURE_LEN_CONST+I] COMPUTEDSIGNATURE[I];
IF (SIGNATURE !=COMPUTEDSIGNATURE)
THEN TXT-SHUTDOWN(#AuthenticateFail);
ACMCONTROL ACRAM[CodeControl];
IF ((ACMCONTROL.0 =0) and (ACMCONTROL.1 =1) and (snoop hit to modified line detected on ACRAM load))
THEN TXT-SHUTDOWN(#UnexpectedHITM);
IF (ACMCONTROL reserved bits are set)
THEN TXT-SHUTDOWN(#BadACMFormat);
IF ((ACRAM[GDTBasePtr] <(ACRAM[HeaderLen] * 4 +Scratch_size)) OR
((ACRAM[GDTBasePtr] +ACRAM[GDTLimit]) >=ACSIZE))
THEN TXT-SHUTDOWN(#BadACMFormat);
IF ((ACMCONTROL.0 =1) and (ACMCONTROL.1 =1) and (snoop hit to modified
line detected on ACRAM load))
THEN ACEntryPoint ACBASE+ACRAM[ErrorEntryPoint];
ELSE
ACEntryPoint ACBASE+ACRAM[EntryPoint];
IF ((ACEntryPoint >=ACSIZE) or (ACEntryPoint <(ACRAM[HeaderLen] * 4 +Scratch_size)))
THEN TXT-SHUTDOWN(#BadACMFormat);
IF ((ACRAM[SegSel] >(ACRAM[GDTLimit] - 15)) or (ACRAM[SegSel] <8))
THEN TXT-SHUTDOWN(#BadACMFormat);
IF ((ACRAM[SegSel].TI=1) or (ACRAM[SegSel].RPL!=0))
THEN TXT-SHUTDOWN(#BadACMFormat);
ACRAM[SCRATCH.SIGNATURE_LEN_CONST] EDX;
WRITE(TPM.HASH.START) 0;
FOR I=0 to SIGNATURE_LEN_CONST +3 DO
WRITE(TPM.HASH.DATA) ACRAM[SCRATCH.I];
WRITE(TPM.HASH.END) 0;
ACMODEFLAG 1;
CR0.[PG.AM.WP] 0;
CR4 00004000h;
EFLAGS 00000002h;
IA32_EFER 0;
EBP ACBASE;
GDTR.BASE ACBASE+ACRAM[GDTBasePtr];
GDTR.LIMIT ACRAM[GDTLimit];
CS.SEL ACRAM[SegSel];
CS.BASE 0;
CS.LIMIT FFFFFh;
CS.G 1;
GETSEC[SENTER]Enter a Measured Environment
SAFER MODE EXTENSIONS REFERENCE
Vol. 2C 5-27
CS.D 1;
CS.AR 9Bh;
DS.SEL ACRAM[SegSel]+8;
DS.BASE 0;
DS.LIMIT FFFFFh;
DS.G 1;
DS.D 1;
DS.AR 93h;
SS DS;
ES DS;
DR7 00000400h;
IA32_DEBUGCTL 0;
SignalTXTMsg(UnlockSMRAM);
SignalTXTMsg(OpenPrivate);
SignalTXTMsg(OpenLocality3);
EIP ACEntryPoint;
END;
RLP_SENTER_ROUTINE: (RLP only)
Mask SMI, INIT, A20M, and NMI external pin events
Unmask SignalWAKEUP event;
Wait for SignalSENTERContinue message;
IA32_APIC_BASE.BSP 0;
GOTO SENTER sleep state;
END;
F la g s Af fe ct e d
All flags are cleared.
U se o f P re f ixe s
LOCK Causes #UD
REP* Cause #UD (includes REPNE/REPNZ and REP/REPE/REPZ)
Operand size Causes #UD
Segment overrides Ignored
Address size Ignored
REX Ignored
P ro t e ct e d Mo d e E x ce p t io n s
#UD If CR4.SMXE = 0.
If GETSEC[SENTER] is not reported as supported by GETSEC[CAPABILITIES].
#GP(0) If CR0.CD = 1 or CR0.NW = 1 or CR0.NE = 0 or CR0.PE = 0 or CPL > 0 or EFLAGS.VM = 1.
If in VMX root operation.
If the initiating processor is not designated as the bootstrap processor via the MSR bit
IA32_APIC_BASE.BSP.
If an Intel TXT-capable chipset is not present.
If an Intel TXT-capable chipset interface to TPM is not detected as present.
If a protected partition is already active or the processor is already in authenticated code
mode.
If the processor is in SMM.
If a valid uncorrectable machine check error is logged in IA32_MC[I]_STATUS.
GETSEC[SENTER]Enter a Measured Environment
SAFER MODE EXTENSIONS REFERENCE
5-28 Vol. 2C
If the authenticated code base is not on a 4096 byte boundary.
If the authenticated code size > processor's authenticated code execution area storage
capacity.
If the authenticated code size is not modulo 64.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#UD If CR4.SMXE = 0.
If GETSEC[SENTER] is not reported as supported by GETSEC[CAPABILITIES].
#GP(0) GETSEC[SENTER] is not recognized in real-address mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#UD If CR4.SMXE = 0.
If GETSEC[SENTER] is not reported as supported by GETSEC[CAPABILITIES].
#GP(0) GETSEC[SENTER] is not recognized in virtual-8086 mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
All protected mode exceptions apply.
#GP IF AC code module does not reside in physical address below 2^32 -1.
6 4 -B it Mo d e E x ce p t io n s
All protected mode exceptions apply.
#GP IF AC code module does not reside in physical address below 2^32 -1.
V M-E x it C o n d it io n
Reason (GETSEC) IF in VMX non-root operation.
GETSEC[SEXIT]Exit Measured Environment
SAFER MODE EXTENSIONS REFERENCE
Vol. 2C 5-29
G E T S E C [S E XI T ]E x it Me a su re d E n v iro n m e n t
D e scrip t io n
The GETSEC[SEXIT] instruction initiates an exit of a measured environment established by GETSEC[SENTER]. The
SEXIT leaf of GETSEC is selected with EAX set to 5 at execution. This instruction leaf sends a message to all logical
processors in the platform to signal the measured environment exit.
There are restrictions enforced by the processor for the execution of the GETSEC[SEXIT] instruction:
Execution is not allowed unless the processor is in protected mode (CR0.PE = 1) with CPL = 0 and EFLAGS.VM
= 0.
The processor must be in a measured environment as launched by a previous GETSEC[SENTER] instruction,
but not still in authenticated code execution mode.
To avoid potential inter-operability conflicts between modes, the processor is not allowed to execute this
instruction if it currently is in SMM or in VMX operation.
To insure consistent handling of SIPI messages, the processor executing the GETSEC[SEXIT] instruction must
also be designated the BSP (bootstrap processor) as defined by the register bit IA32_APIC_BASE.BSP (bit 8).
Failure to abide by the above conditions results in the processor signaling a general protection violation.
This instruction initiates a sequence to rendezvous the RLPs with the ILP. It then clears the internal processor flag
indicating the processor is operating in a measured environment.
In response to a message signaling the completion of rendezvous, all RLPs restart execution with the instruction
that was to be executed at the time GETSEC[SEXIT] was recognized. This applies to all processor conditions, with
the following exceptions:
If an RLP executed HLT and was in this halt state at the time of the message initiated by GETSEC[SEXIT], then
execution resumes in the halt state.
If an RLP was executing MWAIT, then a message initiated by GETSEC[SEXIT] causes an exit of the MWAIT
state, falling through to the next instruction.
If an RLP was executing an intermediate iteration of a string instruction, then the processor resumes execution
of the string instruction at the point which the message initiated by GETSEC[SEXIT] was recognized.
If an RLP is still in the SENTER sleep state (never awakened with GETSEC[WAKEUP]), it will be sent to the wait-
for-SIPI state after first clearing the bootstrap processor indicator flag (IA32_APIC_BASE.BSP) and any
pending SIPI state. In this case, such RLPs are initialized to an architectural state consistent with having taken
a soft reset using the INIT# pin.
Prior to completion of the GETSEC[SEXIT] operation, both the ILP and any active RLPs unmask the response of the
external event signals INIT#, A20M, NMI#, and SMI#. This unmasking is performed unconditionally to recognize
pin events which are masked after a GETSEC[SENTER]. The state of A20M is unmasked, as the A20M pin is not
recognized while the measured environment is active.
On a successful exit of the measured environment, the ILP re-locks the Intel TXT-capable chipset private config-
uration space. GETSEC[SEXIT] does not affect the content of any PCR.
At completion of GETSEC[SEXIT] by the ILP, execution proceeds to the next instruction. Since EFLAGS and the
debug register state are not modified by this instruction, a pending trap condition is free to be signaled if previously
enabled.
O p e ra t io n in a U n i-P ro ce sso r P la t fo rm
(* The state of the internal flag ACMODEFLAG and SENTERFLAG persist across instruction boundary *)
G E T S E C [S E XI T ] (I LP o n ly ):
IF (CR4.SMXE=0)
O p co d e I n st ru ct io n D e scrip t io n
0F 37
(EAX=5)
GETSEC[SEXIT] Exit measured environment
GETSEC[SEXIT]Exit Measured Environment
SAFER MODE EXTENSIONS REFERENCE
5-30 Vol. 2C
THEN #UD;
ELSE IF (in VMX non-root operation)
THEN VM Exit (reason=GETSEC instruction);
ELSE IF (GETSEC leaf unsupported)
THEN #UD;
ELSE IF ((in VMX root operation) or
(CR0.PE=0) or (CPL>0) or (EFLAGS.VM=1) or
(IA32_APIC_BASE.BSP=0) or
(TXT chipset not present) or
(SENTERFLAG=0) or (ACMODEFLAG=1) or (IN_SMM=1))
THEN #GP(0);
SignalTXTMsg(SEXIT);
DO
WHILE (no SignalSEXIT message);
T XT _S E XI T _MS G _E VE N T (I LP & R LP ):
Mask and clear SignalSEXIT event;
Clear MONITOR FSM;
Unmask SignalSENTER event;
IF (in VMX operation)
THEN TXT-SHUTDOWN(#IllegalEvent);
SignalTXTMsg(SEXITAck);
IF (logical processor is not ILP)
THEN GOTO RLP_SEXIT_ROUTINE;
(* ILP waits for all logical processors to ACK *)
DO
DONE READ(LT.STS);
WHILE (NOT DONE);
SignalTXTMsg(SEXITContinue);
SignalTXTMsg(ClosePrivate);
SENTERFLAG 0;
Unmask SMI, INIT, A20M, and NMI external pin events;
END;
R LP _S E XI T _R O U T I N E (R LP s o n ly ):
Wait for SignalSEXITContinue message;
Unmask SMI, INIT, A20M, and NMI external pin events;
IF (prior execution state =HLT)
THEN reenter HLT state;
IF (prior execution state =SENTER sleep)
THEN
IA32_APIC_BASE.BSP 0;
Clear pending SIPI state;
Call INIT_PROCESSOR_STATE;
Unmask SIPI event;
GOTO WAIT-FOR-SIPI;
FI;
END;
F la g s Af fe ct e d
ILP: None.
RLPs: all flags are modified for an RLP. returning to wait-for-SIPI state, none otherwise
GETSEC[SEXIT]Exit Measured Environment
SAFER MODE EXTENSIONS REFERENCE
Vol. 2C 5-31
U se o f P re f ixe s
LOCK Causes #UD
REP* Cause #UD (includes REPNE/REPNZ and REP/REPE/REPZ)
Operand size Causes #UD
Segment overrides Ignored
Address size Ignored
REX Ignored
P ro t e ct e d Mo d e E x ce p t io n s
#UD If CR4.SMXE = 0.
If GETSEC[SEXIT] is not reported as supported by GETSEC[CAPABILITIES].
#GP(0) If CR0.PE = 0 or CPL > 0 or EFLAGS.VM = 1.
If in VMX root operation.
If the initiating processor is not designated as the via the MSR bit IA32_APIC_BASE.BSP.
If an Intel TXT-capable chipset is not present.
If a protected partition is not already active or the processor is already in authenticated code
mode.
If the processor is in SMM.
R e a l-Ad d re ss Mo d e E x ce p t io n s
#UD If CR4.SMXE = 0.
If GETSEC[SEXIT] is not reported as supported by GETSEC[CAPABILITIES].
#GP(0) GETSEC[SEXIT] is not recognized in real-address mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#UD If CR4.SMXE = 0.
If GETSEC[SEXIT] is not reported as supported by GETSEC[CAPABILITIES].
#GP(0) GETSEC[SEXIT] is not recognized in virtual-8086 mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
All protected mode exceptions apply.
6 4 -B it Mo d e E x ce p t io n s
All protected mode exceptions apply.
V M-E x it C o n d it io n
Reason (GETSEC) IF in VMX non-root operation.
GETSEC[PARAMETERS]Report the SMX Parameters
SAFER MODE EXTENSIONS REFERENCE
5-32 Vol. 2C
G E T S E C [P AR AME T E R S ]R e p o rt t h e S MX P a ra m e t e rs
D e scrip t io n
The GETSEC[PARAMETERS] instruction returns specific parameter information for SMX features supported by the
processor. Parameter information is returned in EAX, EBX, and ECX, with the input parameter selected using EBX.
Software retrieves parameter information by searching with an input index for EBX starting at 0, and then reading
the returned results in EAX, EBX, and ECX. EAX[4:0] is designated to return a parameter type field indicating if a
parameter is available and what type it is. If EAX[4:0] is returned with 0, this designates a null parameter and indi-
cates no more parameters are available.
Table 5-7 defines the parameter types supported in current and future implementations.
O p co d e I n st ru ct io n D e scrip t io n
0F 37
(EAX=6)
GETSEC[PARAMETERS] Report the SMX Parameters
The parameters index is input in EBX with the result returned in EAX, EBX, and ECX.
Ta b le 5 -7. S MX R e p o rt in g P a ra m e t e rs Fo rm a t
P a ra m e t e r
T y p e E AX[4 :0 ] P a ra m e t e r D e scrip t io n E AX[3 1 :5 ] E B X[3 1 :0 ] E C X[3 1 :0 ]
0 NULL Reserved (0 returned) Reserved (unmodified) Reserved (unmodified)
1 Supported AC module
versions
Reserved (0 returned) version comparison mask version numbers
supported
2 Max size of authenticated
code execution area
Multiply by 32 for size in
bytes
Reserved (unmodified) Reserved (unmodified)
3 External memory types
supported during AC mode
Memory type bit mask Reserved (unmodified) Reserved (unmodified)
4 Selective SENTER
functionality control
EAX[14:8] correspond to
available SENTER function
disable controls
Reserved (unmodified) Reserved (unmodified)
5 TXT extensions support TXT Feature Extensions
Flags (see Table5-8)
Reserved Reserved
6-31 Undefined Reserved (unmodified) Reserved (unmodified) Reserved (unmodified)
GETSEC[PARAMETERS]Report the SMX Parameters
SAFER MODE EXTENSIONS REFERENCE
Vol. 2C 5-33
Ta b le 5 -8 . T X T Fe a t u re E x t e n sio n s F la g s
Supported AC module versions (as defined by the AC module HeaderVersion field) can be determined for a partic-
ular SMX capable processor by the type 1 parameter. Using EBX to index through the available parameters reported
by GETSEC[PARAMETERS] for each unique parameter set returned for type 1, software can determine the
complete list of AC module version(s) supported.
For each parameter set, EBX returns the comparison mask and ECX returns the available HeaderVersion field
values supported, after AND'ing the target HeaderVersion with the comparison mask. Software can then determine
if a particular AC module version is supported by following the pseudo-code search routine given below:
parameter_search_index=0
do {
EBX=parameter_search_index++
EAX=6
GETSEC
if (EAX[4:0] =1) {
if ((version_query & EBX) =ECX) {
version_is_supported=1
break
}
}
}while (EAX[4:0]!=0)
If only AC modules with a HeaderVersion of 0 are supported by the processor, then only one parameter set of type
1 will be returned, as follows: EAX = 00000001H,
EBX = FFFFFFFFH and ECX = 00000000H.
The maximum capacity for an authenticated code execution area supported by the processor is reported with the
parameter type of 2. The maximum supported size in bytes is determined by multiplying the returned size in
EAX[31:5] by 32. Thus, for a maximum supported authenticated RAM size of 32KBytes, EAX returns with
00008002H.
Supportable memory types for memory mapped outside of the authenticated code execution area are reported
with the parameter type of 3. While is active, as initiated by the GETSEC functions SENTER and ENTERACCS and
terminated by EXITAC, there are restrictions on what memory types are allowed for the rest of system memory. It
is the responsibility of the system software to initialize the memory type range register (MTRR) MSRs and/or the
page attribute table (PAT) to only map memory types consistent with the reporting of this parameter. The reporting
of supportable memory types of external memory is indicated using a bit map returned in EAX[31:8]. These bit
positions correspond to the memory type encodings defined for the MTRR MSR and PAT programming. See
Table 5-9.
The parameter type of 4 is used for enumerating the availability of selective GETSEC[SENTER] function disable
controls. If a 1 is reported in bits 14:8 of the returned parameter EAX, then this indicates a disable control capa-
B it D e fin it io n D e scrip t io n
5 Processor based
S-CRTM support
Returns 1 if this processor implements a processor-rooted S-CRTM capability and 0 if not (S-
CRTM is rooted in BIOS).
This flag cannot be used to infer whether the chipset supports TXT or whether the
processor support SMX.
6 Machine Check
Handling
Returns 1 if it machine check status registers can be preserved through ENTERACCS and
SENTER. If this bit is 1, the caller of ENTERACCS and SENTER is not required to clear machine
check error status bits before invoking these GETSEC leaves.
If this bit returns 0, the caller of ENTERACCS and SENTER must clear all machine check error
status bits before invoking these GETSEC leaves.
31:7 Reserved Reserved for future use. Will return 0.
GETSEC[PARAMETERS]Report the SMX Parameters
SAFER MODE EXTENSIONS REFERENCE
5-34 Vol. 2C
bility exists with SENTER for a particular function. The enumerated field in bits 14:8 corresponds to use of the EDX
input parameter bits 6:0 for SENTER. If an enumerated field bit is set to 1, then the corresponding EDX input
parameter bit of EDX may be set to 1 to disable that designated function. If the enumerated field bit is 0 or this
parameter is not reported, then no disable capability exists with the corresponding EDX input parameter for
SENTER, and EDX bit(s) must be cleared to 0 to enable execution of SENTER. If no selective disable capability for
SENTER exists as enumerated, then the corresponding bits in the IA32_FEATURE_CONTROL MSR bits 14:8 must
also be programmed to 1 if the SENTER global enable bit 15 of the MSR is set. This is required to enable future
extensibility of SENTER selective disable capability with respect to potentially separate software initialization of the
MSR.
If the GETSEC[PARAMETERS] leaf or specific parameter is not present for a given SMX capable processor, then
default parameter values should be assumed. These are defined in Table 5-10.
O p e ra t io n
(* example of a processor supporting only a 0.0 HeaderVersion, 32K ACRAM size, memory types UC and WC *)
IF (CR4.SMXE=0)
THEN #UD;
ELSE IF (in VMX non-root operation)
THEN VM Exit (reason=GETSEC instruction);
ELSE IF (GETSEC leaf unsupported)
THEN #UD;
(* example of a processor supporting a 0.0 HeaderVersion *)
IF (EBX=0) THEN
EAX 00000001h;
EBX FFFFFFFFh;
ECX 00000000h;
ELSE IF (EBX=1)
(* example of a processor supporting a 32K ACRAM size *)
Ta b le 5 -9 . E x t e rn a l Me m o ry T y p e s U sin g P a ra m e t e r 3
E AX B it P o sit io n P a ra m e t e r D e scrip t io n
8 Uncacheable (UC)
9 Write Combining (WC)
11:10 Reserved
12 Write-through (WT)
13 Write-protected (WP)
14 Write-back (WB)
31:15 Reserved
Ta b le 5 -1 0 . D e f a u lt P a ra m e t e r Va lu e s
P a ra m e t e r T y p e E AX[4 :0 ] D e f a u lt S e t t in g P a ra m e t e r D e scrip t io n
1 0.0 only Supported AC module versions
2 32 KBytes Authenticated code execution area size
3 UC only External memory types supported during AC execution mode
4 None Available SENTER selective disable controls
GETSEC[PARAMETERS]Report the SMX Parameters
SAFER MODE EXTENSIONS REFERENCE
Vol. 2C 5-35
THEN EAX 00008002h;
ESE IF (EBX=2)
(* example of a processor supporting external memory types of UC and WC *)
THEN EAX 00000303h;
ESE IF (EBX=other value(s) less than unsupported index value)
(* EAX value varies. Consult Table 5-7 and Table 5-8*)
ELSE (* unsupported index*)
EAX 00000000h;
END;
F la g s Af fe ct e d
None.
U se o f P re f ixe s
LOCK Causes #UD
REP* Cause #UD (includes REPNE/REPNZ and REP/REPE/REPZ)
Operand size Causes #UD
Segment overrides Ignored
Address size Ignored
REX Ignored
P ro t e ct e d Mo d e E x ce p t io n s
#UD If CR4.SMXE = 0.
If GETSEC[PARAMETERS] is not reported as supported by GETSEC[CAPABILITIES].
R e a l-Ad d re ss Mo d e E x ce p t io n s
#UD If CR4.SMXE = 0.
If GETSEC[PARAMETERS] is not reported as supported by GETSEC[CAPABILITIES].
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#UD If CR4.SMXE = 0.
If GETSEC[PARAMETERS] is not reported as supported by GETSEC[CAPABILITIES].
C o m p a t ib ilit y Mo d e E x ce p t io n s
All protected mode exceptions apply.
6 4 -B it Mo d e E x ce p t io n s
All protected mode exceptions apply.
V M-E x it C o n d it io n
Reason (GETSEC) IF in VMX non-root operation.
GETSEC[SMCTRL]SMX Mode Control
SAFER MODE EXTENSIONS REFERENCE
5-36 Vol. 2C
G E T S E C [S MC T R L]S MX Mo d e C o n t ro l
D e scrip t io n
The GETSEC[SMCTRL] instruction is available for performing certain SMX specific mode control operations. The
operation to be performed is selected through the input register EBX. Currently only an input value in EBX of 0 is
supported. All other EBX settings will result in the signaling of a general protection violation.
If EBX is set to 0, then the SMCTRL leaf is used to re-enable SMI events. SMI is masked by the ILP executing the
GETSEC[SENTER] instruction (SMI is also masked in the responding logical processors in response to SENTER
rendezvous messages.). The determination of when this instruction is allowed and the events that are unmasked
is dependent on the processor context (See Table 5-11). For brevity, the usage of SMCTRL where EBX=0 will be
referred to as GETSEC[SMCTRL(0)].
As part of support for launching a measured environment, the SMI, NMI and INIT events are masked after
GETSEC[SENTER], and remain masked after exiting authenticated execution mode. Unmasking these events
should be accompanied by securely enabling these event handlers. These security concerns can be addressed in
VMX operation by a MVMM.
The VM monitor can choose two approaches:
In a dual monitor approach, the executive software will set up an SMM monitor in parallel to the executive VMM
(i.e. the MVMM), see Chapter 34, System Management Mode of Intel 64 and IA-32 Architectures Software
Developers Manual, Volume 3C. The SMM monitor is dedicated to handling SMI events without compromising
the security of the MVMM. This usage model of handling SMI while a measured environment is active does not
require the use of GETSEC[SMCTRL(0)] as event re-enabling after the VMX environment launch is handled
implicitly and through separate VMX based controls.
If a dedicated SMM monitor will not be established and SMIs are to be handled within the measured
environment, then GETSEC[SMCTRL(0)] can be used by the executive software to re-enable SMI that has been
masked as a result of SENTER.
Table 5-11 defines the processor context in which GETSEC[SMCTRL(0)] can be used and which events will be
unmasked. Note that the events that are unmasked are dependent upon the currently operating processor context.
O p co d e I n st ru ct io n D e scrip t io n
0F 37 (EAX =7) GETSEC[SMCTRL] Perform specified SMX mode control as selected with the input EBX.
Ta b le 5 -1 1 . S u p p o rt e d Act i o n s fo r G E T S E C [S MC T R L(0 )]
I LP Mo d e o f O p e ra t io n S MC T R L e xe cu t io n a ct io n
In VMX non-root operation VM exit
SENTERFLAG =0 #GP(0), illegal context
In authenticated code execution mode
(ACMODEFLAG =1)
#GP(0), illegal context
SENTERFLAG =1, not in VMX operation, not in
SMM
Unmask SMI
SENTERFLAG =1, in VMX root operation, not in
SMM
Unmask SMI if SMM monitor is not configured, otherwise #GP(0)
SENTERFLAG =1, In VMX root operation, in SMM #GP(0), illegal context
GETSEC[SMCTRL]SMX Mode Control
SAFER MODE EXTENSIONS REFERENCE
Vol. 2C 5-37
O p e ra t io n
(* The state of the internal flag ACMODEFLAG and SENTERFLAG persist across instruction boundary *)
IF (CR4.SMXE=0)
THEN #UD;
ELSE IF (in VMX non-root operation)
THEN VM Exit (reason=GETSEC instruction);
ELSE IF (GETSEC leaf unsupported)
THEN #UD;
ELSE IF ((CR0.PE=0) or (CPL>0) OR (EFLAGS.VM=1))
THEN #GP(0);
ELSE IF((EBX=0) and (SENTERFLAG=1) and (ACMODEFLAG=0) and (IN_SMM=0) and
(((in VMX root operation) and (SMM monitor not configured)) or (not in VMX operation)) )
THEN unmask SMI;
ELSE
#GP(0);
END
F la g s Af fe ct e d
None.
U se o f P re f ixe s
LOCK Causes #UD
REP* Cause #UD (includes REPNE/REPNZ and REP/REPE/REPZ)
Operand size Causes #UD
Segment overrides Ignored
Address size Ignored
REX Ignored
P ro t e ct e d Mo d e E x ce p t io n s
#UD If CR4.SMXE = 0.
If GETSEC[SMCTRL] is not reported as supported by GETSEC[CAPABILITIES].
#GP(0) If CR0.PE = 0 or CPL > 0 or EFLAGS.VM = 1.
If in VMX root operation.
If a protected partition is not already active or the processor is currently in authenticated code
mode.
If the processor is in SMM.
If the SMM monitor is not configured
R e a l-Ad d re ss Mo d e E x ce p t io n s
#UD If CR4.SMXE = 0.
If GETSEC[SMCTRL] is not reported as supported by GETSEC[CAPABILITIES].
#GP(0) GETSEC[SMCTRL] is not recognized in real-address mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#UD If CR4.SMXE = 0.
If GETSEC[SMCTRL] is not reported as supported by GETSEC[CAPABILITIES].
#GP(0) GETSEC[SMCTRL] is not recognized in virtual-8086 mode.
GETSEC[SMCTRL]SMX Mode Control
SAFER MODE EXTENSIONS REFERENCE
5-38 Vol. 2C
C o m p a t ib ilit y Mo d e E x ce p t io n s
All protected mode exceptions apply.
6 4 -B it Mo d e E x ce p t io n s
All protected mode exceptions apply.
V M-e x it C o n d it io n
Reason (GETSEC) IF in VMX non-root operation.
GETSEC[WAKEUP]Wake up sleeping processors in measured environment
SAFER MODE EXTENSIONS REFERENCE
Vol. 2C 5-39
G E T S E C [WAK E U P ]Wa ke u p sle e p in g p ro ce sso rs in m e a su re d e n v iro n m e n t
D e scrip t io n
The GETSEC[WAKEUP] leaf function broadcasts a wake-up message to all logical processors currently in the
SENTER sleep state. This GETSEC leaf must be executed only by the ILP, in order to wake-up the RLPs. Responding
logical processors (RLPs) enter the SENTER sleep state after completion of the SENTER rendezvous sequence.
The GETSEC[WAKEUP] instruction may only be executed:
In a measured environment as initiated by execution of GETSEC[SENTER].
Outside of authenticated code execution mode.
Execution is not allowed unless the processor is in protected mode with CPL = 0 and EFLAGS.VM = 0.
In addition, the logical processor must be designated as the boot-strap processor as configured by setting
IA32_APIC_BASE.BSP = 1.
If these conditions are not met, attempts to execute GETSEC[WAKEUP] result in a general protection violation.
An RLP exits the SENTER sleep state and start execution in response to a WAKEUP signal initiated by ILPs execu-
tion of GETSEC[WAKEUP]. The RLP retrieves a pointer to a data structure that contains information to enable
execution from a defined entry point. This data structure is located using a physical address held in the Intel TXT-
capable chipset configuration register LT.MLE.JOIN. The register is publicly writable in the chipset by all processors
and is not restricted by the Intel TXT-capable chipset configuration register lock status. The format of this data
structure is defined in Table 5-12.
The MLE JOIN data structure contains the information necessary to initialize RLP processor state and permit the
processor to join the measured environment. The GDTR, LIP, and CS, DS, SS, and ES selector values are initialized
using this data structure. The CS selector index is derived directly from the segment selector initializer field; DS,
SS, and ES selectors are initialized to CS+8. The segment descriptor fields are initialized implicitly with BASE = 0,
LIMIT = FFFFFH, G = 1, D = 1, P = 1, S = 1; read/write/access for DS, SS, and ES; and execute/read/access for
CS. It is the responsibility of external software to establish a GDT pointed to by the MLE JOIN data structure that
contains descriptor entries consistent with the implicit settings initialized by the processor (see Table 5-6). Certain
states from the content of Table 5-12 are checked for consistency by the processor prior to execution. A failure of
any consistency check results in the RLP aborting entry into the protected environment and signaling an Intel
TXT shutdown condition. The specific checks performed are documented later in this section. After successful
completion of processor consistency checks and subsequent initialization, RLP execution in the measured environ-
ment begins from the entry point at offset 12 (as indicated in Table 5-12).
O p co d e I n st ru ct io n D e scrip t io n
0F 37
(EAX=8)
GETSEC[WAKEUP] Wake up the responding logical processors from the SENTER sleep state.
Ta b le 5 -1 2 . R LP MV MM JO I N D a t a S t ru ct u re
O ffse t F ie ld
0 GDT limit
4 GDT base pointer
8 Segment selector initializer
12 EIP
GETSEC[WAKEUP]Wake up sleeping processors in measured environment
SAFER MODE EXTENSIONS REFERENCE
5-40 Vol. 2C
O p e ra t io n
(* The state of the internal flag ACMODEFLAG and SENTERFLAG persist across instruction boundary *)
IF (CR4.SMXE=0)
THEN #UD;
ELSE IF (in VMX non-root operation)
THEN VM Exit (reason=GETSEC instruction);
ELSE IF (GETSEC leaf unsupported)
THEN #UD;
ELSE IF ((CR0.PE=0) or (CPL>0) or (EFLAGS.VM=1) or (SENTERFLAG=0) or (ACMODEFLAG=1) or (IN_SMM=0) or (in VMX operation) or
(IA32_APIC_BASE.BSP=0) or (TXT chipset not present))
THEN #GP(0);
ELSE
SignalTXTMsg(WAKEUP);
END;
RLP_SIPI_WAKEUP_FROM_SENTER_ROUTINE: (RLP only)
WHILE (no SignalWAKEUP event);
IF (IA32_SMM_MONITOR_CTL[0] !=ILP.IA32_SMM_MONITOR_CTL[0])
THEN TXT-SHUTDOWN(#IllegalEvent)
IF (IA32_SMM_MONITOR_CTL[0] =0)
THEN Unmask SMI pin event;
ELSE
Mask SMI pin event;
Mask A20M, and NMI external pin events (unmask INIT);
Mask SignalWAKEUP event;
Invalidate processor TLB(s);
Drain outgoing transactions;
TempGDTRLIMIT LOAD(LT.MLE.JOIN);
TempGDTRBASE LOAD(LT.MLE.JOIN+4);
TempSegSel LOAD(LT.MLE.JOIN+8);
TempEIP LOAD(LT.MLE.JOIN+12);
IF (TempGDTLimit & FFFF0000h)
THEN TXT-SHUTDOWN(#BadJOINFormat);
IF ((TempSegSel >TempGDTRLIMIT-15) or (TempSegSel <8))
THEN TXT-SHUTDOWN(#BadJOINFormat);
IF ((TempSegSel.TI=1) or (TempSegSel.RPL!=0))
THEN TXT-SHUTDOWN(#BadJOINFormat);
CR0.[PG,CD,NW,AM,WP] 0;
CR0.[NE,PE] 1;
CR4 00004000h;
EFLAGS 00000002h;
IA32_EFER 0;
GDTR.BASE TempGDTRBASE;
GDTR.LIMIT TempGDTRLIMIT;
CS.SEL TempSegSel;
CS.BASE 0;
CS.LIMIT FFFFFh;
CS.G 1;
CS.D 1;
CS.AR 9Bh;
DS.SEL TempSegSel+8;
DS.BASE 0;
DS.LIMIT FFFFFh;
DS.G 1;
GETSEC[WAKEUP]Wake up sleeping processors in measured environment
SAFER MODE EXTENSIONS REFERENCE
Vol. 2C 5-41
DS.D 1;
DS.AR 93h;
SS DS;
ES DS;
DR7 00000400h;
IA32_DEBUGCTL 0;
EIP TempEIP;
END;
F la g s Af fe ct e d
None.
U se o f P re f ixe s
LOCK Causes #UD
REP* Cause #UD (includes REPNE/REPNZ and REP/REPE/REPZ)
Operand size Causes #UD
Segment overrides Ignored
Address size Ignored
REX Ignored
P ro t e ct e d Mo d e E x ce p t io n s
#UD If CR4.SMXE = 0.
If GETSEC[WAKEUP] is not reported as supported by GETSEC[CAPABILITIES].
#GP(0) If CR0.PE = 0 or CPL > 0 or EFLAGS.VM = 1.
If in VMX operation.
If a protected partition is not already active or the processor is currently in authenticated code
mode.
If the processor is in SMM.
#UD If CR4.SMXE = 0.
If GETSEC[WAKEUP] is not reported as supported by GETSEC[CAPABILITIES].
#GP(0) GETSEC[WAKEUP] is not recognized in real-address mode.
Virt u a l-8 0 8 6 Mo d e E x ce p t io n s
#UD If CR4.SMXE = 0.
If GETSEC[WAKEUP] is not reported as supported by GETSEC[CAPABILITIES].
#GP(0) GETSEC[WAKEUP] is not recognized in virtual-8086 mode.
C o m p a t ib ilit y Mo d e E x ce p t io n s
All protected mode exceptions apply.
6 4 -B it Mo d e E x ce p t io n s
All protected mode exceptions apply.
V M-e x it C o n d it io n
Reason (GETSEC) IF in VMX non-root operation.
GETSEC[WAKEUP]Wake up sleeping processors in measured environment
SAFER MODE EXTENSIONS REFERENCE
5-42 Vol. 2C
Vol. 2C A-1
AP P E N D I X A
O P C O D E MAP

Use the opcode tables in this chapter to interpret IA-32 and Intel 64 architecture object code. Instructions are
divided into encoding groups:
1-byte, 2-byte and 3-byte opcode encodings are used to encode integer, system, MMX technology,
SSE/SSE2/SSE3/SSSE3/SSE4, and VMX instructions. Maps for these instructions are given in Table A-2
through Table A-6.
Escape opcodes (in the format: ESC character, opcode, ModR/M byte) are used for floating-point instructions.
The maps for these instructions are provided in Table A-7 through Table A-22.
NOTE
All blanks in opcode maps are reserved and must not be used. Do not depend on the operation of
undefined or blank opcodes.
A.1 U S I N G O P C O D E TAB LE S
Tables in this appendix list opcodes of instructions (including required instruction prefixes, opcode extensions in
associated ModR/M byte). Blank cells in the tables indicate opcodes that are reserved or undefined.
The opcode map tables are organized by hex values of the upper and lower 4 bits of an opcode byte. For 1-byte
encodings (Table A-2), use the four high-order bits of an opcode to index a row of the opcode table; use the four
low-order bits to index a column of the table. For 2-byte opcodes beginning with 0FH (Table A-3), skip any instruc-
tion prefixes, the 0FH byte (0FH may be preceded by 66H, F2H, or F3H) and use the upper and lower 4-bit values
of the next opcode byte to index table rows and columns. Similarly, for 3-byte opcodes beginning with 0F38H or
0F3AH (Table A-4), skip any instruction prefixes, 0F38H or 0F3AH and use the upper and lower 4-bit values of the
third opcode byte to index table rows and columns. See Section A.2.4, Opcode Look-up Examples for One, Two,
and Three-Byte Opcodes.
When a ModR/M byte provides opcode extensions, this information qualifies opcode execution. For information on
how an opcode extension in the ModR/M byte modifies the opcode map in Table A-2 and Table A-3, see Section A.4.
The escape (ESC) opcode tables for floating point instructions identify the eight high order bits of opcodes at the
top of each page. See Section A.5. If the accompanying ModR/M byte is in the range of 00H-BFH, bits 3-5 (the top
row of the third table on each page) along with the reg bits of ModR/M determine the opcode. ModR/M bytes
outside the range of 00H-BFH are mapped by the bottom two tables on each page of the section.
A.2 K E Y T O AB B R E V I AT I O N S
Operands are identified by a two-character code of the form Zz. The first character, an uppercase letter, specifies
the addressing method; the second character, a lowercase letter, specifies the type of operand.
A.2 .1 C o d e s fo r Ad d re ssin g Me t h o d
The following abbreviations are used to document addressing methods:
A Direct address: the instruction has no ModR/M byte; the address of the operand is encoded in the instruc-
tion. No base register, index register, or scaling factor can be applied (for example, far JMP (EA)).
B The VEX.vvvv field of the VEX prefix selects a general purpose register.
C The reg field of the ModR/M byte selects a control register (for example, MOV (0F20, 0F22)).
A-2 Vol. 2C
OPCODE MAP
D The reg field of the ModR/M byte selects a debug register (for example,
MOV (0F21,0F23)).
E A ModR/M byte follows the opcode and specifies the operand. The operand is either a general-purpose
register or a memory address. If it is a memory address, the address is computed from a segment register
and any of the following values: a base register, an index register, a scaling factor, a displacement.
F EFLAGS/RFLAGS Register.
G The reg field of the ModR/M byte selects a general register (for example, AX (000)).
H The VEX.vvvv field of the VEX prefix selects a 128-bit XMM register or a 256-bit YMM register, determined
by operand type. For legacy SSE encodings this operand does not exist, changing the instruction to
destructive form.
I Immediate data: the operand value is encoded in subsequent bytes of the instruction.
J The instruction contains a relative offset to be added to the instruction pointer register (for example, JMP
(0E9), LOOP).
L The upper 4 bits of the 8-bit immediate selects a 128-bit XMM register or a 256-bit YMM register, deter-
mined by operand type. (the MSB is ignored in 32-bit mode)
M The ModR/M byte may refer only to memory (for example, BOUND, LES, LDS, LSS, LFS, LGS,
CMPXCHG8B).
N The R/M field of the ModR/M byte selects a packed-quadword, MMX technology register.
O The instruction has no ModR/M byte. The offset of the operand is coded as a word or double word
(depending on address size attribute) in the instruction. No base register, index register, or scaling factor
can be applied (for example, MOV (A0A3)).
P The reg field of the ModR/M byte selects a packed quadword MMX technology register.
Q A ModR/M byte follows the opcode and specifies the operand. The operand is either an MMX technology
register or a memory address. If it is a memory address, the address is computed from a segment register
and any of the following values: a base register, an index register, a scaling factor, and a displacement.
R The R/M field of the ModR/M byte may refer only to a general register (for example, MOV (0F20-0F23)).
S The reg field of the ModR/M byte selects a segment register (for example, MOV (8C,8E)).
U The R/M field of the ModR/M byte selects a 128-bit XMM register or a 256-bit YMM register, determined by
operand type.
V The reg field of the ModR/M byte selects a 128-bit XMM register or a 256-bit YMM register, determined by
operand type.
W A ModR/M byte follows the opcode and specifies the operand. The operand is either a 128-bit XMM register,
a 256-bit YMM register (determined by operand type), or a memory address. If it is a memory address, the
address is computed from a segment register and any of the following values: a base register, an index
register, a scaling factor, and a displacement.
X Memory addressed by the DS:rSI register pair (for example, MOVS, CMPS, OUTS, or LODS).
Y Memory addressed by the ES:rDI register pair (for example, MOVS, CMPS, INS, STOS, or SCAS).
A.2 .2 C o d e s fo r O p e ra n d T y p e
The following abbreviations are used to document operand types:
a Two one-word operands in memory or two double-word operands in memory, depending on operand-size
attribute (used only by the BOUND instruction).
b Byte, regardless of operand-size attribute.
c Byte or word, depending on operand-size attribute.
d Doubleword, regardless of operand-size attribute.
dq Double-quadword, regardless of operand-size attribute.
Vol. 2C A-3
OPCODE MAP
p 32-bit, 48-bit, or 80-bit pointer, depending on operand-size attribute.
pd 128-bit or 256-bit packed double-precision floating-point data.
pi Quadword MMX technology register (for example: mm0).
ps 128-bit or 256-bit packed single-precision floating-point data.
q Quadword, regardless of operand-size attribute.
qq Quad-Quadword (256-bits), regardless of operand-size attribute.
s 6-byte or 10-byte pseudo-descriptor.
sd Scalar element of a 128-bit double-precision floating data.
ss Scalar element of a 128-bit single-precision floating data.
si Doubleword integer register (for example: eax).
v Word, doubleword or quadword (in 64-bit mode), depending on operand-size attribute.
w Word, regardless of operand-size attribute.
x dq or qq based on the operand-size attribute.
y Doubleword or quadword (in 64-bit mode), depending on operand-size attribute.
z Word for 16-bit operand-size or doubleword for 32 or 64-bit operand-size.
A.2 .3 R e g ist e r C o d e s
When an opcode requires a specific register as an operand, the register is identified by name (for example, AX, CL,
or ESI). The name indicates whether the register is 64, 32, 16, or 8 bits wide.
A register identifier of the form eXX or rXX is used when register width depends on the operand-size attribute. eXX
is used when 16 or 32-bit sizes are possible; rXX is used when 16, 32, or 64-bit sizes are possible. For example:
eAX indicates that the AX register is used when the operand-size attribute is 16 and the EAX register is used when
the operand-size attribute is 32. rAX can indicate AX, EAX or RAX.
When the REX.B bit is used to modify the register specified in the reg field of the opcode, this fact is indicated by
adding /x to the register name to indicate the additional possibility. For example, rCX/r9 is used to indicate that
the register could either be rCX or r9. Note that the size of r9 in this case is determined by the operand size
attribute (just as for rCX).
A.2 .4 O p co d e Lo o k -u p E x a m p le s fo r O n e , T w o ,
a n d T h re e -B y t e O p co d e s
This section provides examples that demonstrate how opcode maps are used.
A.2 .4 .1 O n e -B y t e O p co d e I n st ru ct io n s
The opcode map for 1-byte opcodes is shown in Table A-2. The opcode map for 1-byte opcodes is arranged by row
(the least-significant 4 bits of the hexadecimal value) and column (the most-significant 4 bits of the hexadecimal
value). Each entry in the table lists one of the following types of opcodes:
Instruction mnemonics and operand types using the notations listed in Section A.2
Opcodes used as an instruction prefix
For each entry in the opcode map that corresponds to an instruction, the rules for interpreting the byte following
the primary opcode fall into one of the following cases:
A ModR/M byte is required and is interpreted according to the abbreviations listed in Section A.1 and Chapter
2, Instruction Format, of the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 2A.
Operand types are listed according to notations listed in Section A.2.
A-4 Vol. 2C
OPCODE MAP
A ModR/M byte is required and includes an opcode extension in the reg field in the ModR/M byte. Use Table A-6
when interpreting the ModR/M byte.
Use of the ModR/M byte is reserved or undefined. This applies to entries that represent an instruction prefix or
entries for instructions without operands that use ModR/M (for example: 60H, PUSHA; 06H, PUSH ES).
E x a m p le A-1 . Lo o k -u p E x a m p le fo r 1 -B y t e O p co d e s
Opcode 030500000000H for an ADD instruction is interpreted using the 1-byte opcode map (Table A-2) as follows:
The first digit (0) of the opcode indicates the table row and the second digit (3) indicates the table column. This
locates an opcode for ADD with two operands.
The first operand (type Gv) indicates a general register that is a word or doubleword depending on the operand-
size attribute. The second operand (type Ev) indicates a ModR/M byte follows that specifies whether the
operand is a word or doubleword general-purpose register or a memory address.
The ModR/M byte for this instruction is 05H, indicating that a 32-bit displacement follows (00000000H). The
reg/opcode portion of the ModR/M byte (bits 3-5) is 000, indicating the EAX register.
The instruction for this opcode is ADD EAX, mem_op, and the offset of mem_op is 00000000H.
Some 1- and 2-byte opcodes point to group numbers (shaded entries in the opcode map table). Group numbers
indicate that the instruction uses the reg/opcode bits in the ModR/M byte as an opcode extension (refer to Section
A.4).
A.2 .4 .2 T w o -B y t e O p co d e I n st ru ct io n s
The two-byte opcode map shown in Table A-3 includes primary opcodes that are either two bytes or three bytes in
length. Primary opcodes that are 2 bytes in length begin with an escape opcode 0FH. The upper and lower four bits
of the second opcode byte are used to index a particular row and column in Table A-3.
Two-byte opcodes that are 3 bytes in length begin with a mandatory prefix (66H, F2H, or F3H) and the escape
opcode (0FH). The upper and lower four bits of the third byte are used to index a particular row and column in Table
A-3 (except when the second opcode byte is the 3-byte escape opcodes 38H or 3AH; in this situation refer to
Section A.2.4.3).
For each entry in the opcode map, the rules for interpreting the byte following the primary opcode fall into one of
the following cases:
A ModR/M byte is required and is interpreted according to the abbreviations listed in Section A.1 and Chapter
2, Instruction Format, of the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 2A.
The operand types are listed according to notations listed in Section A.2.
A ModR/M byte is required and includes an opcode extension in the reg field in the ModR/M byte. Use Table A-6
when interpreting the ModR/M byte.
Use of the ModR/M byte is reserved or undefined. This applies to entries that represent an instruction without
operands that are encoded using ModR/M (for example: 0F77H, EMMS).
E x a m p le A-2 . Lo o k -u p E x a m p le fo r 2 -B y t e O p co d e s
Look-up opcode 0FA4050000000003H for a SHLD instruction using Table A-3.
The opcode is located in row A, column 4. The location indicates a SHLD instruction with operands Ev, Gv, and
Ib. Interpret the operands as follows:
Ev: The ModR/M byte follows the opcode to specify a word or doubleword operand.
Gv: The reg field of the ModR/M byte selects a general-purpose register.
Ib: Immediate data is encoded in the subsequent byte of the instruction.
The third byte is the ModR/M byte (05H). The mod and opcode/reg fields of ModR/M indicate that a 32-bit
displacement is used to locate the first operand in memory and eAX as the second operand.
The next part of the opcode is the 32-bit displacement for the destination memory operand (00000000H). The
last byte stores immediate byte that provides the count of the shift (03H).
Vol. 2C A-5
OPCODE MAP
By this breakdown, it has been shown that this opcode represents the instruction: SHLD DS:00000000H, EAX,
3.
A.2 .4 .3 T h re e -B y t e O p co d e I n st ru ct io n s
The three-byte opcode maps shown in Table A-4 and Table A-5 includes primary opcodes that are either 3 or 4
bytes in length. Primary opcodes that are 3 bytes in length begin with two escape bytes 0F38H or 0F3A. The upper
and lower four bits of the third opcode byte are used to index a particular row and column in Table A-4 or Table A-5.
Three-byte opcodes that are 4 bytes in length begin with a mandatory prefix (66H, F2H, or F3H) and two escape
bytes (0F38H or 0F3AH). The upper and lower four bits of the fourth byte are used to index a particular row and
column in Table A-4 or Table A-5.
For each entry in the opcode map, the rules for interpreting the byte following the primary opcode fall into the
following case:
A ModR/M byte is required and is interpreted according to the abbreviations listed in A.1 and Chapter 2,
Instruction Format, of the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 2A. The
operand types are listed according to notations listed in Section A.2.
E x a m p le A-3 . Lo o k -u p E x a m p le fo r 3 -B y t e O p co d e s
Look-up opcode 660F3A0FC108H for a PALIGNR instruction using Table A-5.
66H is a prefix and 0F3AH indicate to use Table A-5. The opcode is located in row 0, column F indicating a
PALIGNR instruction with operands Vdq, Wdq, and Ib. Interpret the operands as follows:
Vdq: The reg field of the ModR/M byte selects a 128-bit XMM register.
Wdq: The R/M field of the ModR/M byte selects either a 128-bit XMM register or memory location.
Ib: Immediate data is encoded in the subsequent byte of the instruction.
The next byte is the ModR/M byte (C1H). The reg field indicates that the first operand is XMM0. The mod shows
that the R/M field specifies a register and the R/M indicates that the second operand is XMM1.
The last byte is the immediate byte (08H).
By this breakdown, it has been shown that this opcode represents the instruction: PALIGNR XMM0, XMM1, 8.
A.2 .4 .4 VE X P re fix I n st ru ct io n s
Instructions that include a VEX prefix are organized relative to the 2-byte and 3-byte opcode maps, based on the
VEX.mmmmm field encoding of implied 0F, 0F38H, 0F3AH, respectively. Each entry in the opcode map of a VEX-
encoded instruction is based on the value of the opcode byte, similar to non-VEX-encoded instructions.
A VEX prefix includes several bit fields that encode implied 66H, F2H, F3H prefix functionality (VEX.pp) and
operand size/opcode information (VEX.L). See chapter 4 for details.
Opcode tables A2-A6 include both instructions with a VEX prefix and instructions without a VEX prefix. Many entries
are only made once, but represent both the VEX and non-VEX forms of the instruction. If the VEX prefix is present
all the operands are valid and the mnemonic is usually prefixed with a v. If the VEX prefix is not present the
VEX.vvvv operand is not available and the prefix v is dropped from the mnemonic.
A few instructions exist only in VEX form and these are marked with a superscript v.
Operand size of VEX prefix instructions can be determined by the operand type code. 128-bit vectors are indicated
by 'dq', 256-bit vectors are indicated by 'qq', and instructions with operands supporting either 128 or 256-bit,
determined by VEX.L, are indicated by 'x'. For example, the entry "VMOVUPD Vx,Wx" indicates both VEX.L=0 and
VEX.L=1 are supported.
A-6 Vol. 2C
OPCODE MAP
A.2 .5 S u p e rscrip t s U t ilize d in O p co d e Ta b le s
Table A-1 contains notes on particular encodings. These notes are indicated in the following opcode maps by super-
scripts. Gray cells indicate instruction groupings.
A.3 O N E , T WO , AN D T H R E E -B YT E O P C O D E MAP S
See Table A-2 through Table A-5 below. The tables are multiple page presentations. Rows and columns with
sequential relationships are placed on facing pages to make look-up tasks easier. Note that table footnotes are not
presented on each page. Table footnotes for each table are presented on the last page of the table.
Ta b le A-1 . S u p e rscrip t s U t ilize d in O p co d e Ta b le s
S u p e rscrip t
S y m b o l
Me a n in g o f S y m b o l
1A Bits 5, 4, and 3 of ModR/M byte used as an opcode extension (refer to Section A.4, Opcode Extensions For One-Byte
And Two-byte Opcodes).
1B Use the 0F0B opcode (UD2 instruction) or the 0FB9H opcode when deliberately trying to generate an invalid opcode
exception (#UD).
1C Some instructions use the same two-byte opcode. If the instruction has variations, or the opcode represents
different instructions, the ModR/M byte will be used to differentiate the instruction. For the value of the ModR/M
byte needed to decode the instruction, see Table A-6.
i64 The instruction is invalid or not encodable in 64-bit mode. 40 through 4F (single-byte INC and DEC) are REX prefix
combinations when in 64-bit mode (use FE/FF Grp 4 and 5 for INC and DEC).
o64 Instruction is only available when in 64-bit mode.
d64 When in 64-bit mode, instruction defaults to 64-bit operand size and cannot encode 32-bit operand size.
f64 The operand size is forced to a 64-bit operand size when in 64-bit mode (prefixes that change operand size are
ignored for this instruction in 64-bit mode).
v VEX form only exists. There is no legacy SSE form of the instruction. For Integer GPR instructions it means VEX
prefix required.
v1 VEX128 & SSE forms only exist (no VEX256), when cant be inferred from the data size.
Vol. 2C A-7
OPCODE MAP
T
h
i
s

p
a
g
e

w
a
s
i
n
t
e
n
t
i
o
n
a
l
l
y

l
e
f
t
b
l
a
n
k
.
A-8 Vol. 2C
OPCODE MAP
Ta b le A-2 . O n e -b y t e O p co d e Ma p : (0 0 H F 7 H ) *
0 1 2 3 4 5 6 7
0 ADD PUSH
ES
i64
POP
ES
i64
Eb, Gb Ev, Gv Gb, Eb Gv, Ev AL, Ib rAX, Iz
1 ADC PUSH
SS
i64
POP
SS
i64
Eb, Gb Ev, Gv Gb, Eb Gv, Ev AL, Ib rAX, Iz
2 AND SEG=ES
(Prefix)
DAA
i64
Eb, Gb Ev, Gv Gb, Eb Gv, Ev AL, Ib rAX, Iz
3 XOR SEG=SS
(Prefix)
AAA
i64
Eb, Gb Ev, Gv Gb, Eb Gv, Ev AL, Ib rAX, Iz
4 INC
i64
general register / REX
o64
Prefixes
eAX
REX
eCX
REX.B
eDX
REX.X
eBX
REX.XB
eSP
REX.R
eBP
REX.RB
eSI
REX.RX
eDI
REX.RXB
5 PUSH
d64
general register
rAX/r8 rCX/r9 rDX/r10 rBX/r11 rSP/r12 rBP/r13 rSI/r14 rDI/r15
6 PUSHA
i64
/
PUSHAD
i64
POPA
i64
/
POPAD
i64
BOUND
i64
Gv, Ma
ARPL
i64
Ew, Gw
MOVSXD
o64
Gv, Ev
SEG=FS
(Prefix)
SEG=GS
(Prefix)
Operand
Size
(Prefix)
Address
Size
(Prefix)
7 Jcc
f64
, Jb - Short-displacement jump on condition
O NO B/NAE/C NB/AE/NC Z/E NZ/NE BE/NA NBE/A
8 Immediate Grp 1
1A
TEST XCHG
Eb, Ib Ev, Iz Eb, Ib
i64
Ev, Ib Eb, Gb Ev, Gv Eb, Gb Ev, Gv
9 NOP
PAUSE(F3)
XCHG r8, rAX
XCHG word, double-word or quad-word register with rAX
rCX/r9 rDX/r10 rBX/r11 rSP/r12 rBP/r13 rSI/r14 rDI/r15
A MOV MOVS/B
Yb, Xb
MOVS/W/D/Q
Yv, Xv
CMPS/B
Xb, Yb
CMPS/W/D
Xv, Yv
AL, Ob rAX, Ov Ob, AL Ov, rAX
B MOV immediate byte into byte register
AL/R8L, Ib CL/R9L, Ib DL/R10L, Ib BL/R11L, Ib AH/R12L, Ib CH/R13L, Ib DH/R14L, Ib BH/R15L, Ib
C Shift Grp 2
1A
RETN
f64
Iw
RETN
f64
LES
i64
Gz, Mp
VEX+2byte
LDS
i64
Gz, Mp
VEX+1byte
Grp 11
1A
- MOV
Eb, Ib Ev, Ib Eb, Ib Ev, Iz
D Shift Grp 2
1A
AAM
i64
Ib
AAD
i64
Ib
XLAT/
XLATB
Eb, 1 Ev, 1 Eb, CL Ev, CL
E LOOPNE
f64
/
LOOPNZ
f64
Jb
LOOPE
f64
/
LOOPZ
f64
Jb
LOOP
f64
Jb
JrCXZ
f64
/
Jb
IN OUT
AL, Ib eAX, Ib Ib, AL Ib, eAX
F LOCK
(Prefix)
REPNE
XACQUIRE
(Prefix)
REP/REPE
XRELEASE
(Prefix)
HLT CMC Unary Grp 3
1A
Eb Ev
Vol. 2C A-9
OPCODE MAP
Ta b le A-2 . O n e -b y t e O p co d e Ma p : (0 8 H F F H ) *
8 9 A B C D E F
0 OR PUSH
CS
i64
2-byte
escape
(Table A-3)
Eb, Gb Ev, Gv Gb, Eb Gv, Ev AL, Ib rAX, Iz
1 SBB PUSH
DS
i64
POP
DS
i64
Eb, Gb Ev, Gv Gb, Eb Gv, Ev AL, Ib rAX, Iz
2 SUB SEG=CS
(Prefix)
DAS
i64

Eb, Gb Ev, Gv Gb, Eb Gv, Ev AL, Ib rAX, Iz
3 CMP SEG=DS
(Prefix)
AAS
i64

Eb, Gb Ev, Gv Gb, Eb Gv, Ev AL, Ib rAX, Iz
4 DEC
i64
general register / REX
o64
Prefixes
eAX
REX.W
eCX
REX.WB
eDX
REX.WX
eBX
REX.WXB
eSP
REX.WR
eBP
REX.WRB
eSI
REX.WRX
eDI
REX.WRXB
5 POP
d64
into general register
rAX/r8 rCX/r9 rDX/r10 rBX/r11 rSP/r12 rBP/r13 rSI/r14 rDI/r15
6 PUSH
d64
Iz
IMUL
Gv, Ev, Iz
PUSH
d64
Ib
IMUL
Gv, Ev, Ib
INS/
INSB
Yb, DX
INS/
INSW/
INSD
Yz, DX
OUTS/
OUTSB
DX, Xb
OUTS/
OUTSW/
OUTSD
DX, Xz
7 Jcc
f64
, Jb- Short displacement jump on condition
S NS P/PE NP/PO L/NGE NL/GE LE/NG NLE/G
8 MOV MOV
Ev, Sw
LEA
Gv, M
MOV
Sw, Ew
Grp 1A
1A
POP
d64
Ev
Eb, Gb Ev, Gv Gb, Eb Gv, Ev
9 CBW/
CWDE/
CDQE
CWD/
CDQ/
CQO
CALLF
i64
Ap
FWAIT/
WAIT
PUSHF/D/Q
d64
/
Fv
POPF/D/Q
d64
/
Fv
SAHF LAHF
A TEST STOS/B
Yb, AL
STOS/W/D/Q
Yv, rAX
LODS/B
AL, Xb
LODS/W/D/Q
rAX, Xv
SCAS/B
AL, Yb
SCAS/W/D/Q
rAX, Xv
AL, Ib rAX, Iz
B MOV immediate word or double into word, double, or quad register
rAX/r8, Iv rCX/r9, Iv rDX/r10, Iv rBX/r11, Iv rSP/r12, Iv rBP/r13, Iv rSI/r14, Iv rDI/r15 , Iv
C ENTER LEAVE
d64
RETF RETF INT 3 INT INTO
i64
IRET/D/Q
Iw, Ib Iw Ib
D ESC (Escape to coprocessor instruction set)
E CALL
f64
JMP IN OUT
Jz near
f64
Jz
far
i64
Ap
short
f64
Jb
AL, DX eAX, DX DX, AL DX, eAX
F CLC STC CLI STI CLD STD INC/DEC INC/DEC
Grp 4
1A
Grp 5
1A
NOTES:
* All blanks in all opcode maps are reserved and must not be used. Do not depend on the operation of undefined or reserved locations.
A-10 Vol. 2C
OPCODE MAP
Ta b le A-3 . T w o -b y t e O p co d e Ma p : 0 0 H 7 7 H (F irst B y t e is 0 F H ) *
pfx 0 1 2 3 4 5 6 7
0
Grp 6
1A
Grp 7
1A
LAR
Gv, Ew
LSL
Gv, Ew
SYSCALL
o64
CLTS SYSRET
o64
1
vmovups
Vps, Wps
vmovups
Wps, Vps
vmovlps
Vq, Hq, Mq
vmovhlps
Vq, Hq, Uq
vmovlps
Mq, Vq
vunpcklps
Vx, Hx, Wx
vunpckhps
Vx, Hx, Wx
vmovhps
v1
Vdq, Hq, Mq
vmovlhps
Vdq, Hq, Uq
vmovhps
v1
Mq, Vq
66
vmovupd
Vpd, Wpd
vmovupd
Wpd,Vpd
vmovlpd
Vq, Hq, Mq
vmovlpd
Mq, Vq
vunpcklpd
Vx,Hx,Wx
vunpckhpd
Vx,Hx,Wx
vmovhpd
v1
Vdq, Hq, Mq
vmovhpd
v1
Mq, Vq
F3
vmovss
Vx, Hx, Wss
vmovss
Wss, Hx, Vss
vmovsldup
Vx, Wx
vmovshdup
Vx, Wx
F2
vmovsd
Vx, Hx, Wsd
vmovsd
Wsd, Hx, Vsd
vmovddup
Vx, Wx
2
MOV
Rd, Cd
MOV
Rd, Dd
MOV
Cd, Rd
MOV
Dd, Rd
3
WRMSR RDTSC RDMSR RDPMC SYSENTER SYSEXIT GETSEC
4
CMOVcc, (Gv, Ev) - Conditional Move
O NO B/C/NAE AE/NB/NC E/Z NE/NZ BE/NA A/NBE
5
vmovmskps
Gy, Ups
vsqrtps
Vps, Wps
vrsqrtps
Vps, Wps
vrcpps
Vps, Wps
vandps
Vps, Hps, Wps
vandnps
Vps, Hps, Wps
vorps
Vps, Hps, Wps
vxorps
Vps, Hps, Wps
66
vmovmskpd
Gy,Upd
vsqrtpd
Vpd, Wpd
vandpd
Vpd, Hpd, Wpd
vandnpd
Vpd, Hpd, Wpd
vorpd
Vpd, Hpd, Wpd
vxorpd
Vpd, Hpd, Wpd
F3
vsqrtss
Vss, Hss, Wss
vrsqrtss
Vss, Hss, Wss
vrcpss
Vss, Hss, Wss
F2
vsqrtsd
Vsd, Hsd, Wsd
6
punpcklbw
Pq, Qd
punpcklwd
Pq, Qd
punpckldq
Pq, Qd
packsswb
Pq, Qq
pcmpgtb
Pq, Qq
pcmpgtw
Pq, Qq
pcmpgtd
Pq, Qq
packuswb
Pq, Qq
66
vpunpcklbw
Vx, Hx, Wx
vpunpcklwd
Vx, Hx, Wx
vpunpckldq
Vx, Hx, Wx
vpacksswb
Vx, Hx, Wx
vpcmpgtb
Vx, Hx, Wx
vpcmpgtw
Vx, Hx, Wx
vpcmpgtd
Vx, Hx, Wx
vpackuswb
Vx, Hx, Wx
F3
7
pshufw
Pq, Qq, Ib
(Grp 12
1A
) (Grp 13
1A
) (Grp 14
1A
) pcmpeqb
Pq, Qq
pcmpeqw
Pq, Qq
pcmpeqd
Pq, Qq
emms
vzeroupper
v

vzeroall
v
66
vpshufd
Vx, Wx, Ib
vpcmpeqb
Vx, Hx, Wx
vpcmpeqw
Vx, Hx, Wx
vpcmpeqd
Vx, Hx, Wx
F3
vpshufhw
Vx, Wx, Ib
F2
vpshuflw
Vx, Wx, Ib
Vol. 2C A-11
OPCODE MAP
Ta b le A-3 . T w o -b y t e O p co d e Ma p : 0 8 H 7 F H (F irst B y t e is 0 F H ) *
pfx 8 9 A B C D E F
0
INVD WBINVD 2-byte Illegal
Opcodes
UD2
1B
prefetchw(/1)
Ev
1
Prefetch
1C
(Grp 16
1A
)
NOP /0 Ev
2
vmovaps
Vps, Wps
vmovaps
Wps, Vps
cvtpi2ps
Vps, Qpi
vmovntps
Mps, Vps
cvttps2pi
Ppi, Wps
cvtps2pi
Ppi, Wps
vucomiss
Vss, Wss
vcomiss
Vss, Wss
66
vmovapd
Vpd, Wpd
vmovapd
Wpd,Vpd
cvtpi2pd
Vpd, Qpi
vmovntpd
Mpd, Vpd
cvttpd2pi
Ppi, Wpd
cvtpd2pi
Qpi, Wpd
vucomisd
Vsd, Wsd
vcomisd
Vsd, Wsd
F3
vcvtsi2ss
Vss, Hss, Ey
vcvttss2si
Gy, Wss
vcvtss2si
Gy, Wss
F2
vcvtsi2sd
Vsd, Hsd, Ey
vcvttsd2si
Gy, Wsd
vcvtsd2si
Gy, Wsd
3
3-byte escape
(Table A-4)
3-byte escape
(Table A-5)
4
CMOVcc(Gv, Ev) - Conditional Move
S NS P/PE NP/PO L/NGE NL/GE LE/NG NLE/G
5
vaddps
Vps, Hps, Wps
vmulps
Vps, Hps, Wps
vcvtps2pd
Vpd, Wps
vcvtdq2ps
Vps, Wdq
vsubps
Vps, Hps, Wps
vminps
Vps, Hps, Wps
vdivps
Vps, Hps, Wps
vmaxps
Vps, Hps, Wps
66
vaddpd
Vpd, Hpd, Wpd
vmulpd
Vpd, Hpd, Wpd
vcvtpd2ps
Vps, Wpd
vcvtps2dq
Vdq, Wps
vsubpd
Vpd, Hpd, Wpd
vminpd
Vpd, Hpd, Wpd
vdivpd
Vpd, Hpd, Wpd
vmaxpd
Vpd, Hpd, Wpd
F3
vaddss
Vss, Hss, Wss
vmulss
Vss, Hss, Wss
vcvtss2sd
Vsd, Hx, Wss
vcvttps2dq
Vdq, Wps
vsubss
Vss, Hss, Wss
vminss
Vss, Hss, Wss
vdivss
Vss, Hss, Wss
vmaxss
Vss, Hss, Wss
F2
vaddsd
Vsd, Hsd, Wsd
vmulsd
Vsd, Hsd, Wsd
vcvtsd2ss
Vss, Hx, Wsd
vsubsd
Vsd, Hsd, Wsd
vminsd
Vsd, Hsd, Wsd
vdivsd
Vsd, Hsd, Wsd
vmaxsd
Vsd, Hsd, Wsd
6
punpckhbw
Pq, Qd
punpckhwd
Pq, Qd
punpckhdq
Pq, Qd
packssdw
Pq, Qd
movd/q
Pd, Ey
movq
Pq, Qq
66
vpunpckhbw
Vx, Hx, Wx
vpunpckhwd
Vx, Hx, Wx
vpunpckhdq
Vx, Hx, Wx
vpackssdw
Vx, Hx, Wx
vpunpcklqdq
Vx, Hx, Wx
vpunpckhqdq
Vx, Hx, Wx
vmovd/q
Vy, Ey
vmovdqa

Vx, Wx
F3
vmovdqu
Vx, Wx
7
VMREAD
Ey, Gy
VMWRITE
Gy, Ey
movd/q
Ey, Pd
movq
Qq, Pq
66
vhaddpd
Vpd, Hpd, Wpd
vhsubpd
Vpd, Hpd, Wpd
vmovd/q
Ey, Vy
vmovdqa
Wx,Vx
F3
vmovq
Vq, Wq
vmovdqu
Wx,Vx
F2
vhaddps
Vps, Hps, Wps
vhsubps
Vps, Hps, Wps
A-12 Vol. 2C
OPCODE MAP
Ta b le A-3 . T w o -b y t e O p co d e Ma p : 8 0 H F 7 H (F irst B y t e is 0 F H ) *
pfx 0 1 2 3 4 5 6 7
8
Jcc
f64
, Jz - Long-displacement jump on condition
O NO B/CNAE AE/NB/NC E/Z NE/NZ BE/NA A/NBE
9
SETcc, Eb - Byte Set on condition
O NO B/C/NAE AE/NB/NC E/Z NE/NZ BE/NA A/NBE
A
PUSH
d64
FS
POP
d64
FS
CPUID BT
Ev, Gv
SHLD
Ev, Gv, Ib
SHLD
Ev, Gv, CL

B
CMPXCHG LSS
Gv, Mp
BTR
Ev, Gv
LFS
Gv, Mp
LGS
Gv, Mp
MOVZX
Eb, Gb Ev, Gv Gv, Eb Gv, Ew
C
XADD
Eb, Gb
XADD
Ev, Gv
vcmpps
Vps,Hps,Wps,Ib
movnti
My, Gy
pinsrw
Pq,Ry/Mw,Ib
pextrw
Gd, Nq, Ib
vshufps
Vps,Hps,Wps,Ib
Grp 9
1A
66
vcmppd
Vpd,Hpd,Wpd,Ib
vpinsrw
Vdq,Hdq,Ry/Mw,Ib
vpextrw
Gd, Udq, Ib
vshufpd
Vpd,Hpd,Wpd,Ib
F3
vcmpss
Vss,Hss,Wss,Ib
F2
vcmpsd
Vsd,Hsd,Wsd,Ib
D
psrlw
Pq, Qq
psrld
Pq, Qq
psrlq
Pq, Qq
paddq
Pq, Qq
pmullw
Pq, Qq
pmovmskb
Gd, Nq
66
vaddsubpd
Vpd, Hpd, Wpd
vpsrlw
Vx, Hx, Wx
vpsrld
Vx, Hx, Wx
vpsrlq
Vx, Hx, Wx
vpaddq
Vx, Hx, Wx
vpmullw
Vx, Hx, Wx
vmovq
Wq, Vq
vpmovmskb
Gd, Ux
F3
movq2dq
Vdq, Nq
F2
vaddsubps
Vps, Hps, Wps
movdq2q
Pq, Uq
E
pavgb
Pq, Qq
psraw
Pq, Qq
psrad
Pq, Qq
pavgw
Pq, Qq
pmulhuw
Pq, Qq
pmulhw
Pq, Qq
movntq
Mq, Pq
66
vpavgb
Vx, Hx, Wx
vpsraw
Vx, Hx, Wx
vpsrad
Vx, Hx, Wx
vpavgw
Vx, Hx, Wx
vpmulhuw
Vx, Hx, Wx
vpmulhw
Vx, Hx, Wx
vcvttpd2dq
Vx, Wpd
vmovntdq
Mx, Vx
F3
vcvtdq2pd
Vx, Wpd
F2
vcvtpd2dq
Vx, Wpd
F
psllw
Pq, Qq
pslld
Pq, Qq
psllq
Pq, Qq
pmuludq
Pq, Qq
pmaddwd
Pq, Qq
psadbw
Pq, Qq
maskmovq
Pq, Nq
66
vpsllw
Vx, Hx, Wx
vpslld
Vx, Hx, Wx
vpsllq
Vx, Hx, Wx
vpmuludq
Vx, Hx, Wx
vpmaddwd
Vx, Hx, Wx
vpsadbw
Vx, Hx, Wx
vmaskmovdqu
Vdq, Udq
F2
vlddqu
Vx, Mx
Vol. 2C A-13
OPCODE MAP
Ta b le A-3 . T w o -b y t e O p co d e Ma p : 8 8 H F F H (F irst B y t e is 0 F H ) *
pfx 8 9 A B C D E F
8
Jcc
f64
, Jz - Long-displacement jump on condition
S NS P/PE NP/PO L/NGE NL/GE LE/NG NLE/G
9
SETcc, Eb - Byte Set on condition
S NS P/PE NP/PO L/NGE NL/GE LE/NG NLE/G
A
PUSH
d64
GS
POP
d64
GS
RSM BTS
Ev, Gv
SHRD
Ev, Gv, Ib
SHRD
Ev, Gv, CL
(Grp 15
1A
)
1C
IMUL
Gv, Ev
B

JMPE
(reserved for
emulator on IPF)
Grp 10
1A
Invalid Opcode
1B
Grp 8
1A
Ev, Ib
BTC
Ev, Gv
BSF
Gv, Ev
BSR
Gv, Ev
MOVSX
Gv, Eb Gv, Ew
F3
POPCNT
Gv, Ev
TZCNT
Gv, Ev
LZCNT
Gv, Ev
C
BSWAP
RAX/EAX/
R8/R8D
RCX/ECX/
R9/R9D
RDX/EDX/
R10/R10D
RBX/EBX/
R11/R11D
RSP/ESP/
R12/R12D
RBP/EBP/
R13/R13D
RSI/ESI/
R14/R14D
RDI/EDI/
R15/R15D
D
psubusb
Pq, Qq
psubusw
Pq, Qq
pminub
Pq, Qq
pand
Pq, Qq
paddusb
Pq, Qq
paddusw
Pq, Qq
pmaxub
Pq, Qq
pandn
Pq, Qq
66
vpsubusb
Vx, Hx, Wx
vpsubusw
Vx, Hx, Wx
vpminub
Vx, Hx, Wx
vpand
Vx, Hx, Wx
vpaddusb
Vx, Hx, Wx
vpaddusw
Vx, Hx, Wx
vpmaxub
Vx, Hx, Wx
vpandn
Vx, Hx, Wx
F3
F2
E
psubsb
Pq, Qq
psubsw
Pq, Qq
pminsw
Pq, Qq
por
Pq, Qq
paddsb
Pq, Qq
paddsw
Pq, Qq
pmaxsw
Pq, Qq
pxor
Pq, Qq
66
vpsubsb
Vx, Hx, Wx
vpsubsw
Vx, Hx, Wx
vpminsw
Vx, Hx, Wx
vpor
Vx, Hx, Wx
vpaddsb
Vx, Hx, Wx
vpaddsw
Vx, Hx, Wx
vpmaxsw
Vx, Hx, Wx
vpxor
Vx, Hx, Wx
F3
F2
F
psubb
Pq, Qq
psubw
Pq, Qq
psubd
Pq, Qq
psubq
Pq, Qq
paddb
Pq, Qq
paddw
Pq, Qq
paddd
Pq, Qq
66
vpsubb
Vx, Hx, Wx
vpsubw
Vx, Hx, Wx
vpsubd
Vx, Hx, Wx
vpsubq
Vx, Hx, Wx
vpaddb
Vx, Hx, Wx
vpaddw
Vx, Hx, Wx
vpaddd
Vx, Hx, Wx
F2
NOTES:
* All blanks in all opcode maps are reserved and must not be used. Do not depend on the operation of undefined or reserved locations.
A-14 Vol. 2C
OPCODE MAP
Ta b le A-4 . T h re e -b y t e O p co d e Ma p : 0 0 H F 7 H (F irst T w o B y t e s a re 0 F 3 8 H ) *
pfx 0 1 2 3 4 5 6 7
0
pshufb
Pq, Qq
phaddw
Pq, Qq
phaddd
Pq, Qq
phaddsw
Pq, Qq
pmaddubsw
Pq, Qq
phsubw
Pq, Qq
phsubd
Pq, Qq
phsubsw
Pq, Qq
66
vpshufb
Vx, Hx, Wx
vphaddw
Vx, Hx, Wx
vphaddd
Vx, Hx, Wx
vphaddsw
Vx, Hx, Wx
vpmaddubsw
Vx, Hx, Wx
vphsubw
Vx, Hx, Wx
vphsubd
Vx, Hx, Wx
vphsubsw
Vx, Hx, Wx
1 66
pblendvb
Vdq, Wdq
vcvtph2ps
v
Vx, Wx, Ib
blendvps
Vdq, Wdq
blendvpd
Vdq, Wdq
vpermps
v
Vqq, Hqq, Wqq
vptest
Vx, Wx
2 66
vpmovsxbw
Vx, Ux/Mq
vpmovsxbd
Vx, Ux/Md
vpmovsxbq
Vx, Ux/Mw
vpmovsxwd
Vx, Ux/Mq
vpmovsxwq
Vx, Ux/Md
vpmovsxdq
Vx, Ux/Mq
3 66
vpmovzxbw
Vx, Ux/Mq
vpmovzxbd
Vx, Ux/Md
vpmovzxbq
Vx, Ux/Mw
vpmovzxwd
Vx, Ux/Mq
vpmovzxwq
Vx, Ux/Md
vpmovzxdq
Vx, Ux/Mq
vpermd
v
Vqq, Hqq, Wqq
vpcmpgtq
Vx, Hx, Wx
4 66
vpmulld
Vx, Hx, Wx
vphminposuw
Vdq, Wdq
vpsrlvd/q
v
Vx, Hx, Wx
vpsravd
v
Vx, Hx, Wx
vpsllvd/q
v
Vx, Hx, Wx
5
6
7
8 66
INVEPT
Gy, Mdq
INVVPID
Gy, Mdq
INVPCID
Gy, Mdq
9 66
vgatherdd/q
v

Vx,Hx,Wx
vgatherqd/q
v

Vx,Hx,Wx
vgatherdps/d
v

Vx,Hx,Wx
vgatherqps/d
v

Vx,Hx,Wx
vfmaddsub132ps/d
v

Vx,Hx,Wx
vfmsubadd132ps/d
v

Vx,Hx,Wx
A 66
vfmaddsub213ps/d
v

Vx,Hx,Wx
vfmsubadd213ps/d
v

Vx,Hx,Wx
B 66
vfmaddsub231ps/d
v

Vx,Hx,Wx
vfmsubadd231ps/d
v

Vx,Hx,Wx
C
D
E
F
MOVBE
Gy, My
MOVBE
My, Gy
ANDN
v
Gy, By, Ey
Grp 17
1A
BZHI
v
Gy, Ey, By
BEXTR
v
Gy, Ey, By
66
MOVBE
Gw, Mw
MOVBE
Mw, Gw
ADCX
Gy, Ey
SHLX
v
Gy, Ey, By
F3
PEXT
v
Gy, By, Ey
ADOX
Gy, Ey
SARX
v
Gy, Ey, By
F2
CRC32
Gd, Eb
CRC32
Gd, Ey
PDEP
v
Gy, By, Ey
MULX
v
By,Gy,rDX,Ey
SHRX
v
Gy, Ey, By
66 &
F2
CRC32
Gd, Eb
CRC32
Gd, Ew
Vol. 2C A-15
OPCODE MAP
Ta b le A-4 . T h re e -b y t e O p co d e Ma p : 0 8 H F F H (F irst T w o B y t e s a re 0 F 3 8 H ) *
pfx 8 9 A B C D E F
0
psignb
Pq, Qq
psignw
Pq, Qq
psignd
Pq, Qq
pmulhrsw
Pq, Qq
66
vpsignb
Vx, Hx, Wx
vpsignw
Vx, Hx, Wx
vpsignd
Vx, Hx, Wx
vpmulhrsw
Vx, Hx, Wx
vpermilps
v

Vx,Hx,Wx
vpermilpd
v

Vx,Hx,Wx
vtestps
v

Vx, Wx
vtestpd
v

Vx, Wx
1
pabsb
Pq, Qq
pabsw
Pq, Qq
pabsd
Pq, Qq
66
vbroadcastss
v

Vx, Wd
vbroadcastsd
v
Vqq,
Wq
vbroadcastf128
v
Vqq,
Mdq
vpabsb
Vx, Wx
vpabsw
Vx, Wx
vpabsd
Vx, Wx
2 66
vpmuldq
Vx, Hx, Wx
vpcmpeqq
Vx, Hx, Wx
vmovntdqa
Vx, Mx
vpackusdw
Vx, Hx, Wx
vmaskmovps
v

Vx,Hx,Mx
vmaskmovpd
v

Vx,Hx,Mx
vmaskmovps
v

Mx,Hx,Vx
vmaskmovpd
v

Mx,Hx,Vx
3 66
vpminsb
Vx, Hx, Wx
vpminsd
Vx, Hx, Wx
vpminuw
Vx, Hx, Wx
vpminud
Vx, Hx, Wx
vpmaxsb
Vx, Hx, Wx
vpmaxsd
Vx, Hx, Wx
vpmaxuw
Vx, Hx, Wx
vpmaxud
Vx, Hx, Wx
4
5 66
vpbroadcastd
v

Vx, Wx
vpbroadcastq
v

Vx, Wx
vbroadcasti128
v

Vqq, Mdq
6
7 66
vpbroadcastb
v

Vx, Wx
vpbroadcastw
v

Vx, Wx
8 66
vpmaskmovd/q
v

Vx,Hx,Mx
vpmaskmovd/q
v

Mx,Vx,Hx
9 66
vfmadd132ps/d
v

Vx, Hx, Wx
vfmadd132ss/d
v

Vx, Hx, Wx
vfmsub132ps/d
v

Vx, Hx, Wx
vfmsub132ss/d
v

Vx, Hx, Wx
vfnmadd132ps/d
v

Vx, Hx, Wx
vfnmadd132ss/d
v

Vx, Hx, Wx
vfnmsub132ps/d
v

Vx, Hx, Wx
vfnmsub132ss/d
v

Vx, Hx, Wx
A 66
vfmadd213ps/d
v

Vx, Hx, Wx
vfmadd213ss/d
v

Vx, Hx, Wx
vfmsub213ps/d
v

Vx, Hx, Wx
vfmsub213ss/d
v

Vx, Hx, Wx
vfnmadd213ps/d
v

Vx, Hx, Wx
vfnmadd213ss/d
v

Vx, Hx, Wx
vfnmsub213ps/d
v

Vx, Hx, Wx
vfnmsub213ss/d
v

Vx, Hx, Wx
B 66
vfmadd231ps/d
v

Vx, Hx, Wx
vfmadd231ss/d
v

Vx, Hx, Wx
vfmsub231ps/d
v

Vx, Hx, Wx
vfmsub231ss/d
v

Vx, Hx, Wx
vfnmadd231ps/d
v

Vx, Hx, Wx
vfnmadd231ss/d
v

Vx, Hx, Wx
vfnmsub231ps/d
v

Vx, Hx, Wx
vfnmsub231ss/d
v

Vx, Hx, Wx
C
D 66
VAESIMC
Vdq, Wdq
VAESENC
Vdq,Hdq,Wdq
VAESENCLAST
Vdq,Hdq,Wdq
VAESDEC
Vdq,Hdq,Wdq
VAESDECLAST
Vdq,Hdq,Wdq
E
F
66
F3
F2
66 & F2
N O T E S :
* All blanks in all opcode maps are reserved and must not be used. Do not depend on the operation of undefined or reserved locations.
A-16 Vol. 2C
OPCODE MAP
Ta b le A-5 . T h re e -b y t e O p co d e Ma p : 0 0 H F 7 H (F irst t w o b y t e s a re 0 F 3 AH ) *
pfx 0 1 2 3 4 5 6 7
0 66
vpermq
v
Vqq, Wqq, Ib
vpermpd
v
Vqq, Wqq, Ib
vpblendd
v
Vx,Hx,Wx,Ib
vpermilps
v

Vx, Wx, Ib
vpermilpd
v

Vx, Wx, Ib
vperm2f128
v

Vqq,Hqq,Wqq,Ib
1 66
vpextrb
Rd/Mb, Vdq, Ib
vpextrw
Rd/Mw, Vdq, Ib
vpextrd/q
Ey, Vdq, Ib
vextractps
Ed, Vdq, Ib
2 66
vpinsrb
Vdq,Hdq,Ry/Mb,Ib
vinsertps
Vdq,Hdq,Udq/Md,Ib
vpinsrd/q
Vdq,Hdq,Ey,Ib
3
4 66
vdpps
Vx,Hx,Wx,Ib
vdppd
Vdq,Hdq,Wdq,Ib
vmpsadbw
Vx,Hx,Wx,Ib
vpclmulqdq
Vdq,Hdq,Wdq,Ib
vperm2i128
v
Vqq,Hqq,Wqq,Ib
5
6 66
vpcmpestrm
Vdq, Wdq, Ib
vpcmpestri
Vdq, Wdq, Ib
vpcmpistrm
Vdq, Wdq, Ib
vpcmpistri
Vdq, Wdq, Ib
7
8
9
A
B
C
D
E
F
F2
RORX
v
Gy, Ey, Ib
Vol. 2C A-17
OPCODE MAP
Ta b le A-5 . T h re e -b y t e O p co d e Ma p : 0 8 H F F H (F irst T w o B y t e s a re 0 F 3 AH ) *
pfx 8 9 A B C D E F
0
palignr
Pq, Qq, Ib
66
vroundps
Vx,Wx,Ib
vroundpd
Vx,Wx,Ib
vroundss
Vss,Wss,Ib
vroundsd
Vsd,Wsd,Ib
vblendps
Vx,Hx,Wx,Ib
vblendpd
Vx,Hx,Wx,Ib
vpblendw
Vx,Hx,Wx,Ib
vpalignr
Vx,Hx,Wx,Ib
1 66
vinsertf128
v

Vqq,Hqq,Wqq,Ib
vextractf128
v

Wdq,Vqq,Ib
vcvtps2ph
v
Wx, Vx, Ib
2
3 66
vinserti128
v

Vqq,Hqq,Wqq,Ib
vextracti128
v

Wdq,Vqq,Ib
4 66
vblendvps
v
Vx,Hx,Wx,Lx
vblendvpd
v

Vx,Hx,Wx,Lx
vpblendvb
v
Vx,Hx,Wx,Lx
5
6
7
8
9
A
B
C
D 66
VAESKEYGEN
Vdq, Wdq, Ib
E
F
N O T E S :
* All blanks in all opcode maps are reserved and must not be used. Do not depend on the operation of undefined or reserved locations.
A-18 Vol. 2C
OPCODE MAP
A.4 O P C O D E E XT E N S I O N S FO R O N E -B YT E AN D T WO -B YT E O P C O D E S
Some 1-byte and 2-byte opcodes use bits 3-5 of the ModR/M byte (the nnn field in Figure A-1) as an extension of
the opcode.
Opcodes that have opcode extensions are indicated in Table A-6 and organized by group number. Group numbers
(from 1 to 16, second column) provide a table entry point. The encoding for the r/m field for each instruction can be
established using the third column of the table.
A.4 .1 O p co d e Lo o k -u p E x a m p le s U sin g O p co d e E x t e n sio n s
An Example is provided below.
E x a m p le A-4 . I n t e rp re t in g a n AD D I n st ru ct io n
An ADD instruction with a 1-byte opcode of 80H is a Group 1 instruction:
Table A-6 indicates that the opcode extension field encoded in the ModR/M byte for this instruction is 000B.
The r/m field can be encoded to access a register (11B) or a memory address using a specified addressing
mode (for example: mem = 00B, 01B, 10B).
E x a m p le A-5 . Lo o k in g U p 0 F 0 1 C 3 H
Look up opcode 0F01C3 for a VMRESUME instruction by using Table A-2, Table A-3 and Table A-6:
0F tells us that this instruction is in the 2-byte opcode map.
01 (row 0, column 1 in Table A-3) reveals that this opcode is in Group 7 of Table A-6.
C3 is the ModR/M byte. The first two bits of C3 are 11B. This tells us to look at the second of the Group 7 rows
in Table A-6.
The Op/Reg bits [5,4,3] are 000B. This tells us to look in the 000 column for Group 7.
Finally, the R/M bits [2,1,0] are 011B. This identifies the opcode as the VMRESUME instruction.
A.4 .2 O p co d e E x t e n sio n Ta b le s
See Table A-6 below.
m o d n n n R /M
F ig u re A-1 . Mo d R /M B y t e n n n F ie ld (B it s 5 , 4 , a n d 3 )
Vol. 2C A-19
OPCODE MAP
Ta b le A-6 . O p co d e E x t e n sio n s fo r O n e - a n d T w o -b y t e O p co d e s b y G ro u p N u m b e r *
O p co d e G ro u p Mo d 7,6 p f x
E n co d in g o f B it s 5 ,4 ,3 o f t h e Mo d R /M B y t e (b it s 2 ,1 ,0 in p a re n t h e sis)
0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0
1 1 1
80-83 1 mem, 11B ADD OR ADC SBB AND SUB XOR CMP
8F 1A mem, 11B POP
C0,C1 reg, imm
D0, D1 reg, 1
D2, D3 reg, CL
2
mem, 11B ROL ROR RCL RCR SHL/SAL SHR SAR
F6, F7 3
mem, 11B TEST
Ib/Iz
NOT NEG MUL
AL/rAX
IMUL
AL/rAX
DIV
AL/rAX
IDIV
AL/rAX
FE 4
mem, 11B INC
Eb
DEC
Eb
FF 5
mem, 11B INC
Ev
DEC
Ev
CALLN
f64
Ev
CALLF
Ep
JMPN
f64
Ev
JMPF
Mp
PUSH
d64
Ev
0F 00 6
mem, 11B SLDT
Rv/Mw
STR
Rv/Mw
LLDT
Ew
LTR
Ew
VERR
Ew
VERW
Ew
0F 01 7
mem SGDT
Ms
SIDT
Ms
LGDT
Ms
LIDT
Ms
SMSW
Mw/Rv
LMSW
Ew
INVLPG
Mb
11B VMCALL (001)
VMLAUNCH
(010)
VMRESUME
(011) VMXOFF
(100)
MONITOR
(000)
MWAIT (001)
CLAC (010)
STAC (011)
XGETBV (000)
XSETBV (001)
VMFUNC
(100)
XEND (101)
XTEST (110)
SWAPGS
o64
(000)
RDTSCP (001)
0F BA 8 mem, 11B BT BTS BTR BTC
0F C7 9
mem
CMPXCH8B Mq
CMPXCHG16B
Mdq
VMPTRLD
Mq
VMPTRST
Mq
66 VMCLEAR
Mq
F3 VMXON
Mq
VMPTRST
Mq
11B
RDRAND
Rv
RDSEED
Rv
0F B9 10
mem
11B
C6
11
mem MOV
Eb, Ib
11B
XABORT (000) Ib
C7
mem MOV
Ev, Iz
11B XBEGIN (000) Jz
0F 71 12
mem
11B
psrlw
Nq, Ib
psraw
Nq, Ib
psllw
Nq, Ib
66 vpsrlw
Hx,Ux,Ib
vpsraw
Hx,Ux,Ib
vpsllw
Hx,Ux,Ib
0F 72 13
mem
11B
psrld
Nq, Ib
psrad
Nq, Ib
pslld
Nq, Ib
66 vpsrld
Hx,Ux,Ib
vpsrad
Hx,Ux,Ib
vpslld
Hx,Ux,Ib
0F 73 14
mem
11B
psrlq
Nq, Ib
psllq
Nq, Ib
66 vpsrlq
Hx,Ux,Ib
vpsrldq
Hx,Ux,Ib
vpsllq
Hx,Ux,Ib
vpslldq
Hx,Ux,Ib
A-20 Vol. 2C
OPCODE MAP
O p co d e G ro u p Mo d 7,6 p f x
E n co d in g o f B it s 5 ,4 ,3 o f t h e Mo d R /M B y t e (b it s 2 ,1 ,0 in p a re n t h e sis)
0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0
1 1 1
0F AE 15
mem fxsave fxrstor ldmxcsr stmxcsr XSAVE XRSTOR XSAVEOPT clflush
11B
lfence mfence sfence
F3 RDFSBASE
Ry
RDGSBASE
Ry
WRFSBASE
Ry
WRGSBASE
Ry
0F 18 16
mem
prefetch
NTA
prefetch
T0
prefetch
T1
prefetch
T2
11B
VEX.0F38 F3 17
mem BLSR
v
By, Ey
BLSMSK
v
By, Ey
BLSI
v
By, Ey
11B
N O T E S :
* All blanks in all opcode maps are reserved and must not be used. Do not depend on the operation of undefined or reserved locations.
Ta b le A-6 . O p co d e E x t e n sio n s fo r O n e - a n d T w o -b y t e O p co d e s b y G ro u p N u m b e r * (C o n t d .)
Vol. 2C A-21
OPCODE MAP
A.5 E S C AP E O P C O D E I N S T R U C T I O N S
Opcode maps for coprocessor escape instruction opcodes (x87 floating-point instruction opcodes) are in Table A-7
through Table A-22. These maps are grouped by the first byte of the opcode, from D8-DF. Each of these opcodes
has a ModR/M byte. If the ModR/M byte is within the range of 00H-BFH, bits 3-5 of the ModR/M byte are used as
an opcode extension, similar to the technique used for 1-and 2-byte opcodes (see A.4). If the ModR/M byte is
outside the range of 00H through BFH, the entire ModR/M byte is used as an opcode extension.
A.5 .1 O p co d e Lo o k -u p E x a m p le s fo r E sca p e I n st ru ct io n O p co d e s
Examples are provided below.
E x a m p le A-6 . O p co d e w it h Mo d R /M B y t e in t h e 0 0 H t h ro u g h B F H R a n g e
DD0504000000H can be interpreted as follows:
The instruction encoded with this opcode can be located in Section . Since the ModR/M byte (05H) is within the
00H through BFH range, bits 3 through 5 (000) of this byte indicate the opcode for an FLD double-real
instruction (see Table A-9).
The double-real value to be loaded is at 00000004H (the 32-bit displacement that follows and belongs to this
opcode).
E x a m p le A-7. O p co d e w it h Mo d R /M B y t e o u t sid e t h e 0 0 H t h ro u g h B F H R a n g e
D8C1H can be interpreted as follows:
This example illustrates an opcode with a ModR/M byte outside the range of 00H through BFH. The instruction
can be located in Section A.4.
In Table A-8, the ModR/M byte C1H indicates row C, column 1 (the FADD instruction using ST(0), ST(1) as
operands).
A.5 .2 E sca p e O p co d e I n st ru ct io n Ta b le s
Tables are listed below.
A.5 .2 .1 E sca p e O p co d e s w it h D 8 a s F irst B y t e
Table A-7 and A-8 contain maps for the escape instruction opcodes that begin with D8H. Table A-7 shows the map if the ModR/M
byte is in the range of 00H-BFH. Here, the value of bits 3-5 (the nnn field in Figure A-1) selects the instruction.
Ta b le A-7. D 8 O p co d e Ma p Wh e n Mo d R /M B y t e is Wit h in 0 0 H t o B F H *
nnn Field of ModR/M Byte (refer to FigureA.4)
000B 001B 010B 011B 100B 101B 110B 111B
FADD
single-real
FMUL
single-real
FCOM
single-real
FCOMP
single-real
FSUB
single-real
FSUBR
single-real
FDIV
single-real
FDIVR
single-real
N O T E S :
* All blanks in all opcode maps are reserved and must not be used. Do not depend on the operation of undefined or reserved locations.
A-22 Vol. 2C
OPCODE MAP
Table A-8 shows the map if the ModR/M byte is outside the range of 00H-BFH. Here, the first digit of the ModR/M byte selects the
table row and the second digit selects the column.
A.5 .2 .2 E sca p e O p co d e s w it h D 9 a s F irst B y t e
Table A-9 and A-10 contain maps for escape instruction opcodes that begin with D9H. Table A-9 shows the map if the ModR/M
byte is in the range of 00H-BFH. Here, the value of bits 3-5 (the nnn field in Figure A-1) selects the instruction.
.
Ta b le A-8 . D 8 O p co d e Ma p Wh e n Mo d R /M B y t e is O u t sid e 0 0 H t o B F H *
0 1 2 3 4 5 6 7
C FADD
ST(0),ST(0) ST(0),ST(1) ST(0),ST(2) ST(0),ST(3) ST(0),ST(4) ST(0),ST(5) ST(0),ST(6) ST(0),ST(7)
D FCOM
ST(0),ST(0) ST(0),ST(1) ST(0),T(2) ST(0),ST(3) ST(0),ST(4) ST(0),ST(5) ST(0),ST(6) ST(0),ST(7)
E FSUB
ST(0),ST(0) ST(0),ST(1) ST(0),ST(2) ST(0),ST(3) ST(0),ST(4) ST(0),ST(5) ST(0),ST(6) ST(0),ST(7)
F FDIV
ST(0),ST(0) ST(0),ST(1) ST(0),ST(2) ST(0),ST(3) ST(0),ST(4) ST(0),ST(5) ST(0),ST(6) ST(0),ST(7)
8 9 A B C D E F
C FMUL
ST(0),ST(0) ST(0),ST(1) ST(0),ST(2) ST(0),ST(3) ST(0),ST(4) ST(0),ST(5) ST(0),ST(6) ST(0),ST(7)
D FCOMP
ST(0),ST(0) ST(0),ST(1) ST(0),T(2) ST(0),ST(3) ST(0),ST(4) ST(0),ST(5) ST(0),ST(6) ST(0),ST(7)
E FSUBR
ST(0),ST(0) ST(0),ST(1) ST(0),ST(2) ST(0),ST(3) ST(0),ST(4) ST(0),ST(5) ST(0),ST(6) ST(0),ST(7)
F FDIVR
ST(0),ST(0) ST(0),ST(1) ST(0),ST(2) ST(0),ST(3) ST(0),ST(4) ST(0),ST(5) ST(0),ST(6) ST(0),ST(7)
N O T E S :
* All blanks in all opcode maps are reserved and must not be used. Do not depend on the operation of undefined or reserved locations.
Ta b le A-9 . D 9 O p co d e Ma p Wh e n Mo d R /M B y t e is Wit h in 0 0 H t o B F H *
nnn Field of ModR/M Byte
000B 001B 010B 011B 100B 101B 110B 111B
FLD
single-real
FST
single-real
FSTP
single-real
FLDENV
14/28 bytes
FLDCW
2 bytes
FSTENV
14/28 bytes
FSTCW
2 bytes
N O T E S :
* All blanks in all opcode maps are reserved and must not be used. Do not depend on the operation of undefined or reserved locations.
Vol. 2C A-23
OPCODE MAP
Table A-10 shows the map if the ModR/M byte is outside the range of 00H-BFH. Here, the first digit of the ModR/M byte selects
the table row and the second digit selects the column.
A.5 .2 .3 E sca p e O p co d e s w it h D A a s F irst B y t e
Table A-11 and A-12 contain maps for escape instruction opcodes that begin with DAH. Table A-11 shows the map if the ModR/M
byte is in the range of 00H-BFH. Here, the value of bits 3-5 (the nnn field in Figure A-1) selects the instruction.
Ta b le A-1 0 . D 9 O p co d e Ma p Wh e n Mo d R /M B y t e is O u t sid e 0 0 H t o B F H *
0 1 2 3 4 5 6 7
C FLD
ST(0),ST(0) ST(0),ST(1) ST(0),ST(2) ST(0),ST(3) ST(0),ST(4) ST(0),ST(5) ST(0),ST(6) ST(0),ST(7)
D FNOP
E FCHS FABS FTST FXAM
F F2XM1 FYL2X FPTAN FPATAN FXTRACT FPREM1 FDECSTP FINCSTP
8 9 A B C D E F
C FXCH
ST(0),ST(0) ST(0),ST(1) ST(0),ST(2) ST(0),ST(3) ST(0),ST(4) ST(0),ST(5) ST(0),ST(6) ST(0),ST(7)
D
E FLD1 FLDL2T FLDL2E FLDPI FLDLG2 FLDLN2 FLDZ
F FPREM FYL2XP1 FSQRT FSINCOS FRNDINT FSCALE FSIN FCOS
N O T E S :
* All blanks in all opcode maps are reserved and must not be used. Do not depend on the operation of undefined or reserved locations.
Ta b le A-1 1 . D A O p co d e Ma p Wh e n Mo d R /M B y t e is Wit h in 0 0 H t o B F H *
nnn Field of ModR/M Byte
000B 001B 010B 011B 100B 101B 110B 111B
FIADD
dword-integer
FIMUL
dword-integer
FICOM
dword-integer
FICOMP
dword-integer
FISUB
dword-integer
FISUBR
dword-integer
FIDIV
dword-integer
FIDIVR
dword-integer
NOTES:
* All blanks in all opcode maps are reserved and must not be used. Do not depend on the operation of undefined or reserved locations.
A-24 Vol. 2C
OPCODE MAP
Table A-12 shows the map if the ModR/M byte is outside the range of 00H-BFH. Here, the first digit of the ModR/M byte selects
the table row and the second digit selects the column.
A.5 .2 .4 E sca p e O p co d e s w it h D B a s F irst B y t e
Table A-13 and A-14 contain maps for escape instruction opcodes that begin with DBH. Table A-13 shows the map if the ModR/M
byte is in the range of 00H-BFH. Here, the value of bits 3-5 (the nnn field in Figure A-1) selects the instruction.
Ta b le A-1 2 . D A O p co d e Ma p Wh e n Mo d R /M B y t e is O u t sid e 0 0 H t o B F H *
0 1 2 3 4 5 6 7
C FCMOVB
ST(0),ST(0) ST(0),ST(1) ST(0),ST(2) ST(0),ST(3) ST(0),ST(4) ST(0),ST(5) ST(0),ST(6) ST(0),ST(7)
D FCMOVBE
ST(0),ST(0) ST(0),ST(1) ST(0),ST(2) ST(0),ST(3) ST(0),ST(4) ST(0),ST(5) ST(0),ST(6) ST(0),ST(7)
E
F
8 9 A B C D E F
C FCMOVE
ST(0),ST(0) ST(0),ST(1) ST(0),ST(2) ST(0),ST(3) ST(0),ST(4) ST(0),ST(5) ST(0),ST(6) ST(0),ST(7)
D FCMOVU
ST(0),ST(0) ST(0),ST(1) ST(0),ST(2) ST(0),ST(3) ST(0),ST(4) ST(0),ST(5) ST(0),ST(6) ST(0),ST(7)
E FUCOMPP
F
N O T E S :
* All blanks in all opcode maps are reserved and must not be used. Do not depend on the operation of undefined or reserved locations.
Ta b le A-1 3 . D B O p co d e Ma p Wh e n Mo d R /M B y t e is Wit h in 0 0 H t o B F H *
nnn Field of ModR/M Byte
000B 001B 010B 011B 100B 101B 110B 111B
FILD
dword-integer
FISTTP
dword-integer
FIST
dword-integer
FISTP
dword-integer
FLD
extended-real
FSTP
extended-real
N O T E S :
* All blanks in all opcode maps are reserved and must not be used. Do not depend on the operation of undefined or reserved locations.
Vol. 2C A-25
OPCODE MAP
Table A-14 shows the map if the ModR/M byte is outside the range of 00H-BFH. Here, the first digit of the ModR/M byte selects
the table row and the second digit selects the column.
A.5 .2 .5 E sca p e O p co d e s w it h D C a s F irst B y t e
Table A-15 and A-16 contain maps for escape instruction opcodes that begin with DCH. Table A-15 shows the map if the ModR/M
byte is in the range of 00H-BFH. Here, the value of bits 3-5 (the nnn field in Figure A-1) selects the instruction.
Ta b le A-1 4 . D B O p co d e Ma p Wh e n Mo d R /M B y t e is O u t sid e 0 0 H t o B F H *
0 1 2 3 4 5 6 7
C FCMOVNB
ST(0),ST(0) ST(0),ST(1) ST(0),ST(2) ST(0),ST(3) ST(0),ST(4) ST(0),ST(5) ST(0),ST(6) ST(0),ST(7)
D FCMOVNBE
ST(0),ST(0) ST(0),ST(1) ST(0),ST(2) ST(0),ST(3) ST(0),ST(4) ST(0),ST(5) ST(0),ST(6) ST(0),ST(7)
E FCLEX FINIT
F FCOMI
ST(0),ST(0) ST(0),ST(1) ST(0),ST(2) ST(0),ST(3) ST(0),ST(4) ST(0),ST(5) ST(0),ST(6) ST(0),ST(7)
8 9 A B C D E F
C FCMOVNE
ST(0),ST(0) ST(0),ST(1) ST(0),ST(2) ST(0),ST(3) ST(0),ST(4) ST(0),ST(5) ST(0),ST(6) ST(0),ST(7)
D FCMOVNU
ST(0),ST(0) ST(0),ST(1) ST(0),ST(2) ST(0),ST(3) ST(0),ST(4) ST(0),ST(5) ST(0),ST(6) ST(0),ST(7)
E FUCOMI
ST(0),ST(0) ST(0),ST(1) ST(0),ST(2) ST(0),ST(3) ST(0),ST(4) ST(0),ST(5) ST(0),ST(6) ST(0),ST(7)
F
N O T E S :
* All blanks in all opcode maps are reserved and must not be used. Do not depend on the operation of undefined or reserved locations.
Ta b le A-1 5 . D C O p co d e Ma p Wh e n Mo d R /M B y t e is Wit h in 0 0 H t o B F H *
nnn Field of ModR/M Byte (refer to Figure A-1)
000B 001B 010B 011B 100B 101B 110B 111B
FADD
double-real
FMUL
double-real
FCOM
double-real
FCOMP
double-real
FSUB
double-real
FSUBR
double-real
FDIV
double-real
FDIVR
double-real
N O T E S :
* All blanks in all opcode maps are reserved and must not be used. Do not depend on the operation of undefined or reserved locations.
A-26 Vol. 2C
OPCODE MAP
Table A-16 shows the map if the ModR/M byte is outside the range of 00H-BFH. In this case the first digit of the ModR/M byte
selects the table row and the second digit selects the column.
A.5 .2 .6 E sca p e O p co d e s w it h D D a s F irst B y t e
Table A-17 and A-18 contain maps for escape instruction opcodes that begin with DDH. Table A-17 shows the map if the ModR/M
byte is in the range of 00H-BFH. Here, the value of bits 3-5 (the nnn field in Figure A-1) selects the instruction.
Ta b le A-1 6 . D C O p co d e Ma p Wh e n Mo d R /M B y t e is O u t sid e 0 0 H t o B F H *
0 1 2 3 4 5 6 7
C FADD
ST(0),ST(0) ST(1),ST(0) ST(2),ST(0) ST(3),ST(0) ST(4),ST(0) ST(5),ST(0) ST(6),ST(0) ST(7),ST(0)
D
E FSUBR
ST(0),ST(0) ST(1),ST(0) ST(2),ST(0) ST(3),ST(0) ST(4),ST(0) ST(5),ST(0) ST(6),ST(0) ST(7),ST(0)
F FDIVR
ST(0),ST(0) ST(1),ST(0) ST(2),ST(0) ST(3),ST(0) ST(4),ST(0) ST(5),ST(0) ST(6),ST(0) ST(7),ST(0)
8 9 A B C D E F
C FMUL
ST(0),ST(0) ST(1),ST(0) ST(2),ST(0) ST(3),ST(0) ST(4),ST(0) ST(5),ST(0) ST(6),ST(0) ST(7),ST(0)
D
E FSUB
ST(0),ST(0) ST(1),ST(0) ST(2),ST(0) ST(3),ST(0) ST(4),ST(0) ST(5),ST(0) ST(6),ST(0) ST(7),ST(0)
F FDIV
ST(0),ST(0) ST(1),ST(0) ST(2),ST(0) ST(3),ST(0) ST(4),ST(0) ST(5),ST(0) ST(6),ST(0) ST(7),ST(0)
N O T E S :
* All blanks in all opcode maps are reserved and must not be used. Do not depend on the operation of undefined or reserved locations.
Ta b le A-1 7. D D O p co d e Ma p Wh e n Mo d R /M B y t e is Wit h in 0 0 H t o B F H
*
nnn Field of ModR/M Byte
000B 001B 010B 011B 100B 101B 110B 111B
FLD
double-real
FISTTP
integer64
FST
double-real
FSTP
double-real
FRSTOR
98/108bytes
FSAVE
98/108bytes
FSTSW
2 bytes
N O T E S :
* All blanks in all opcode maps are reserved and must not be used. Do not depend on the operation of undefined or reserved locations.
Vol. 2C A-27
OPCODE MAP
Table A-18 shows the map if the ModR/M byte is outside the range of 00H-BFH. The first digit of the ModR/M byte selects the table
row and the second digit selects the column.
A.5 .2 .7 E sca p e O p co d e s w it h D E a s F irst B y t e
Table A-19 and A-20 contain opcode maps for escape instruction opcodes that begin with DEH. Table A-19 shows the opcode map
if the ModR/M byte is in the range of 00H-BFH. In this case, the value of bits 3-5 (the nnn field in Figure A-1) selects the instruc-
tion.
Ta b le A-1 8 . D D O p co d e Ma p Wh e n Mo d R /M B y t e is O u t sid e 0 0 H t o B F H *
0 1 2 3 4 5 6 7
C FFREE
ST(0) ST(1) ST(2) ST(3) ST(4) ST(5) ST(6) ST(7)
D FST
ST(0) ST(1) ST(2) ST(3) ST(4) ST(5) ST(6) ST(7)
E FUCOM
ST(0),ST(0) ST(1),ST(0) ST(2),ST(0) ST(3),ST(0) ST(4),ST(0) ST(5),ST(0) ST(6),ST(0) ST(7),ST(0)
F
8 9 A B C D E F
C
D FSTP
ST(0) ST(1) ST(2) ST(3) ST(4) ST(5) ST(6) ST(7)
E FUCOMP
ST(0) ST(1) ST(2) ST(3) ST(4) ST(5) ST(6) ST(7)
F
N O T E S :
* All blanks in all opcode maps are reserved and must not be used. Do not depend on the operation of undefined or reserved locations.
Ta b le A-1 9 . D E O p co d e Ma p Wh e n Mo d R /M B y t e is Wit h in 0 0 H to B F H *
nnn Field of ModR/M Byte
000B 001B 010B 011B 100B 101B 110B 111B
FIADD
word-integer
FIMUL
word-integer
FICOM
word-integer
FICOMP
word-integer
FISUB
word-integer
FISUBR
word-integer
FIDIV
word-integer
FIDIVR
word-integer
N O T E S :
* All blanks in all opcode maps are reserved and must not be used. Do not depend on the operation of undefined or reserved locations.
A-28 Vol. 2C
OPCODE MAP
Table A-20 shows the opcode map if the ModR/M byte is outside the range of 00H-BFH. The first digit of the ModR/M byte selects
the table row and the second digit selects the column.
A.5 .2 .8 E sca p e O p co d e s w it h D F As F irst B y t e
Table A-21 and A-22 contain the opcode maps for escape instruction opcodes that begin with DFH. Table A-21 shows the opcode
map if the ModR/M byte is in the range of 00H-BFH. Here, the value of bits 3-5 (the nnn field in Figure A-1) selects the instruction.
Ta b le A-2 0 . D E O p co d e Ma p Wh e n Mo d R /M B y t e is O u t sid e 0 0 H t o B F H *
0 1 2 3 4 5 6 7
C FADDP
ST(0),ST(0) ST(1),ST(0) ST(2),ST(0) ST(3),ST(0) ST(4),ST(0) ST(5),ST(0) ST(6),ST(0) ST(7),ST(0)
D
E FSUBRP
ST(0),ST(0) ST(1),ST(0) ST(2),ST(0) ST(3),ST(0) ST(4),ST(0) ST(5),ST(0) ST(6),ST(0) ST(7),ST(0)
F FDIVRP
ST(0),ST(0) ST(1),ST(0) ST(2),ST(0) ST(3),ST(0) ST(4),ST(0) ST(5),ST(0) ST(6),ST(0) ST(7),ST(0)
8 9 A B C D E F
C FMULP
ST(0),ST(0) ST(1),ST(0) ST(2),ST(0) ST(3),ST(0) ST(4),ST(0) ST(5),ST(0) ST(6),ST(0) ST(7),ST(0)
D FCOMPP
E FSUBP
ST(0),ST(0) ST(1),ST(0) ST(2),ST(0) ST(3),ST(0) ST(4),ST(0) ST(5),ST(0) ST(6),ST(0) ST(7),ST(0)
F FDIVP
ST(0),ST(0) ST(1),ST(0) ST(2),ST(0). ST(3),ST(0) ST(4),ST(0) ST(5),ST(0) ST(6),ST(0) ST(7),ST(0)
N O T E S :
* All blanks in all opcode maps are reserved and must not be used. Do not depend on the operation of undefined or reserved locations.
Ta b le A-2 1 . D F O p co d e Ma p Wh e n Mo d R /M B y t e is Wit h in 0 0 H t o B F H *
nnn Field of ModR/M Byte
000B 001B 010B 011B 100B 101B 110B 111B
FILD
word-integer
FISTTP
word-integer
FIST
word-integer
FISTP
word-integer
FBLD
packed-BCD
FILD
qword-integer
FBSTP
packed-BCD
FISTP
qword-integer
N O T E S :
* All blanks in all opcode maps are reserved and must not be used. Do not depend on the operation of undefined or reserved locations.
Vol. 2C A-29
OPCODE MAP
Table A-22 shows the opcode map if the ModR/M byte is outside the range of 00H-BFH. The first digit of the ModR/M byte selects
the table row and the second digit selects the column.
Ta b le A-2 2 . D F O p co d e Ma p Wh e n Mo d R /M B y t e is O u t sid e 0 0 H t o B F H
*
0 1 2 3 4 5 6 7
C
D
E FSTSW
AX
F FCOMIP
ST(0),ST(0) ST(0),ST(1) ST(0),ST(2) ST(0),ST(3) ST(0),ST(4) ST(0),ST(5) ST(0),ST(6) ST(0),ST(7)
8 9 A B C D E F
C
D
E FUCOMIP
ST(0),ST(0) ST(0),ST(1) ST(0),ST(2) ST(0),ST(3) ST(0),ST(4) ST(0),ST(5) ST(0),ST(6) ST(0),ST(7)
F
N O T E S :
* All blanks in all opcode maps are reserved and must not be used. Do not depend on the operation of undefined or reserved locations.
A-30 Vol. 2C
OPCODE MAP
Vol. 2C B-1
AP P E N D I X B
I N S T R U C T I O N FO R MAT S AN D E N C O D I N G S
This appendix provides machine instruction formats and encodings of IA-32 instructions. The first section describes
the IA-32 architectures machine instruction format. The remaining sections show the formats and encoding of
general-purpose, MMX, P6 family, SSE/SSE2/SSE3, x87 FPU instructions, and VMX instructions. Those instruction
formats also apply to Intel 64 architecture. Instruction formats used in 64-bit mode are provided as supersets of
the above.
B .1 MAC H I N E I N S T R U C T I O N FO R MAT
All Intel Architecture instructions are encoded using subsets of the general machine instruction format shown in
Figure B-1. Each instruction consists of:
an opcode
a register and/or address mode specifier consisting of the ModR/M byte and sometimes the scale-index-base
(SIB) byte (if required)
a displacement and an immediate data field (if required)
The following sections discuss this format.
B .1 .1 Le g a cy P re fixe s
The legacy prefixes noted in Figure B-1 include 66H, 67H, F2H and F3H. They are optional, except when F2H, F3H
and 66H are used in new instruction extensions. Legacy prefixes must be placed before REX prefixes.
Refer to Chapter 2, Instruction Format, in the Intel 64 and IA-32 Architectures Software Developers Manual,
Volume 2A, for more information on legacy prefixes.
F ig u re B -1 . G e n e ra l Ma ch in e I n st ru ct io n Fo rm a t
ModR/M Byte
7 6 5 4 3 2 1 0 7 6 5 4 3 2 1 0
7-6 5-3 2-0 7-6 5-3 2-0
T T T T T T T T T T T T T T T T
Mod Reg* R/M Scale Index Base d32 | 16 | 8 | None d32 | 16 | 8 | None
SIB Byte
Address Displacement
(4, 2, 1 Bytes or None)
Immediate Data
(4,2,1 Bytes or None)
Register and/or Address
Mode Specifier
Legacy Prefixes REX Prefixes
7 6 5 4 3 2 1 0
T T T T T T T T
(optional) Grp 1, Grp 2,
Grp 3, Grp 4
NOTE:
* The Reg Field may be used as an
opcode extension field (TTT) and as a
way to encode diagnostic registers
(eee).
1, 2, or 3 Byte Opcodes (T =Opcode
B-2 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
B .1 .2 R E X P re fixe s
REX prefixes are a set of 16 opcodes that span one row of the opcode map and occupy entries 40H to 4FH. These
opcodes represent valid instructions (INC or DEC) in IA-32 operating modes and in compatibility mode. In 64-bit
mode, the same opcodes represent the instruction prefix REX and are not treated as individual instructions.
Refer to Chapter 2, Instruction Format, in the Intel 64 and IA-32 Architectures Software Developers Manual,
Volume 2A, for more information on REX prefixes.
B .1 .3 O p co d e F ie ld s
The primary opcode for an instruction is encoded in one to three bytes of the instruction. Within the primary
opcode, smaller encoding fields may be defined. These fields vary according to the class of operation being
performed.
Almost all instructions that refer to a register and/or memory operand have a register and/or address mode byte
following the opcode. This byte, the ModR/M byte, consists of the mod field (2 bits), the reg field (3 bits; this field
is sometimes an opcode extension), and the R/M field (3 bits). Certain encodings of the ModR/M byte indicate that
a second address mode byte, the SIB byte, must be used.
If the addressing mode specifies a displacement, the displacement value is placed immediately following the
ModR/M byte or SIB byte. Possible sizes are 8, 16, or 32 bits. If the instruction specifies an immediate value, the
immediate value follows any displacement bytes. The immediate, if specified, is always the last field of the instruc-
tion.
Refer to Chapter 2, Instruction Format, in the Intel 64 and IA-32 Architectures Software Developers Manual,
Volume 2A, for more information on opcodes.
B .1 .4 S p e cia l F ie ld s
Table B-1 lists bit fields that appear in certain instructions, sometimes within the opcode bytes. All of these fields
(except the d bit) occur in the general-purpose instruction formats in Table B-13.
B .1 .4 .1 R e g F ie ld (re g ) fo r N o n -6 4 -B it Mo d e s
The reg field in the ModR/M byte specifies a general-purpose register operand. The group of registers specified is
modified by the presence and state of the w bit in an encoding (refer to Section B.1.4.3). Table B-2 shows the
encoding of the reg field when the w bit is not present in an encoding; Table B-3 shows the encoding of the reg field
when the w bit is present.
Ta b le B -1 . S p e cia l F ie ld s Wit h in I n st ru ct io n E n co d in g s
F ie ld N a m e D e scrip t io n
N u m b e r o f
B it s
reg General-register specifier (see Table B-4 or B-5) 3
w Specifies if data is byte or full-sized, where full-sized is 16 or 32 bits (see Table B-6) 1
s Specifies sign extension of an immediate field (see Table B-7) 1
sreg2 Segment register specifier for CS, SS, DS, ES (see Table B-8) 2
sreg3 Segment register specifier for CS, SS, DS, ES, FS, GS (see Table B-8) 3
eee Specifies a special-purpose (control or debug) register (see
Table B-9)
3
tttn For conditional instructions, specifies a condition asserted or negated (see Table B-12) 4
d Specifies direction of data operation (see Table B-11) 1
Vol. 2C B-3
INSTRUCTION FORMATS AND ENCODINGS
B .1 .4 .2 R e g F ie ld (re g ) fo r 6 4 -B it Mo d e
Just like in non-64-bit modes, the reg field in the ModR/M byte specifies a general-purpose register operand. The
group of registers specified is modified by the presence of and state of the w bit in an encoding (refer to Section
B.1.4.3). Table B-4 shows the encoding of the reg field when the w bit is not present in an encoding; Table B-5
shows the encoding of the reg field when the w bit is present.
Ta b le B -2 . E n co d in g o f re g F ie ld Wh e n w F ie ld is N o t P re se n t in I n st ru ct io n
re g F ie ld
R e g ist e r S e le ct e d d u rin g
1 6 -B it D a t a O p e ra t io n s
R e g ist e r S e le ct e d d u rin g
3 2 -B it D a t a O p e ra t io n s
000 AX EAX
001 CX ECX
010 DX EDX
011 BX EBX
100 SP ESP
101 BP EBP
110 SI ESI
111 DI EDI
Ta b le B -3 . E n co d in g o f re g F ie ld Wh e n w F ie ld is P re se n t in I n st ru ct io n
R e g ist e r S p e cif ie d b y re g F ie ld
D u rin g 1 6 -B it D a t a O p e ra t io n s
R e g ist e r S p e cifie d b y re g F ie ld
D u rin g 3 2 -B it D a t a O p e ra t io n s
F u n ct io n o f w F ie ld F u n ct io n o f w F ie ld
re g Wh e n w = 0 Wh e n w = 1 re g Wh e n w = 0 Wh e n w = 1
000 AL AX 000 AL EAX
001 CL CX 001 CL ECX
010 DL DX 010 DL EDX
011 BL BX 011 BL EBX
100 AH SP 100 AH ESP
101 CH BP 101 CH EBP
110 DH SI 110 DH ESI
111 BH DI 111 BH EDI
Ta b le B -4 . E n co d in g o f re g F ie ld Wh e n w F ie ld is N o t P re se n t in I n st ru ct io n
re g F ie ld
R e g ist e r S e le ct e d d u rin g
1 6 -B it D a t a O p e ra t io n s
R e g ist e r S e le ct e d d u rin g
3 2 -B it D a t a O p e ra t io n s
R e g ist e r S e le ct e d d u rin g
6 4 -B it D a t a O p e ra t io n s
000 AX EAX RAX
001 CX ECX RCX
010 DX EDX RDX
011 BX EBX RBX
100 SP ESP RSP
101 BP EBP RBP
110 SI ESI RSI
111 DI EDI RDI
B-4 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
B .1 .4 .3 E n co d in g o f O p e ra n d S ize (w ) B it
The current operand-size attribute determines whether the processor is performing 16-bit, 32-bit or 64-bit opera-
tions. Within the constraints of the current operand-size attribute, the operand-size bit (w) can be used to indicate
operations on 8-bit operands or the full operand size specified with the operand-size attribute. Table B-6 shows the
encoding of the w bit depending on the current operand-size attribute.
B .1 .4 .4 S ig n -E x t e n d (s) B it
The sign-extend (s) bit occurs in instructions with immediate data fields that are being extended from 8 bits to 16
or 32 bits. See Table B-7.
B .1 .4 .5 S e g m e n t R e g ist e r (sre g ) F ie ld
When an instruction operates on a segment register, the reg field in the ModR/M byte is called the sreg field and is
used to specify the segment register. Table B-8 shows the encoding of the sreg field. This field is sometimes a 2-bit
field (sreg2) and other times a 3-bit field (sreg3).
Ta b le B -5 . E n co d in g o f re g F ie ld Wh e n w F ie ld is P re se n t in I n st ru ct io n
R e g ist e r S p e cif ie d b y re g F ie ld
D u rin g 1 6 -B it D a t a O p e ra t io n s
R e g ist e r S p e cifie d b y re g F ie ld
D u rin g 3 2 -B it D a t a O p e ra t io n s
F u n ct io n o f w F ie ld F u n ct io n o f w F ie ld
re g Wh e n w = 0 Wh e n w = 1 re g Wh e n w = 0 Wh e n w = 1
000 AL AX 000 AL EAX
001 CL CX 001 CL ECX
010 DL DX 010 DL EDX
011 BL BX 011 BL EBX
100 AH
1
SP 100 AH* ESP
101 CH
1
BP 101 CH* EBP
110 DH
1
SI 110 DH* ESI
111 BH
1
DI 111 BH* EDI
N O T E S :
1. AH, CH, DH, BH can not be encoded when REX prefix is used. Such an expression defaults to the low byte.
Ta b le B -6 . E n co d in g o f O p e ra n d S ize (w ) B it
w B it
O p e ra n d S ize Wh e n
O p e ra n d -S ize At t rib u t e is 1 6 B it s
O p e ra n d S ize Wh e n
O p e ra n d -S ize At t rib u t e is 3 2 B it s
0 8 Bits 8 Bits
1 16 Bits 32 Bits
Ta b le B -7. E n co d in g o f S ig n -E x t e n d (s) B it
s
E f fe ct o n 8 -B it
I m m e d ia t e D a t a
E ffe ct o n 1 6 - o r 3 2 -B it
I m m e d ia t e D a t a
0 None None
1 Sign-extend to fill 16-bit or 32-bit destination None
Vol. 2C B-5
INSTRUCTION FORMATS AND ENCODINGS
B .1 .4 .6 S p e cia l-P u rp o se R e g ist e r (e e e ) F ie ld
When control or debug registers are referenced in an instruction they are encoded in the eee field, located in bits 5
though 3 of the ModR/M byte (an alternate encoding of the sreg field). See Table B-9.
B .1 .4 .7 C o n d it io n Te st (t t t n ) F ie ld
For conditional instructions (such as conditional jumps and set on condition), the condition test field (tttn) is
encoded for the condition being tested. The ttt part of the field gives the condition to test and the n part indicates
whether to use the condition (n = 0) or its negation (n = 1).
For 1-byte primary opcodes, the tttn field is located in bits 3, 2, 1, and 0 of the opcode byte.
For 2-byte primary opcodes, the tttn field is located in bits 3, 2, 1, and 0 of the second opcode byte.
Table B-10 shows the encoding of the tttn field.
Ta b le B -8 . E n co d in g o f t h e S e g m e n t R e g ist e r (sre g ) F ie ld
2 -B it sre g 2 F ie ld
S e g m e n t R e g ist e r S e le ct e d
3 -B it sre g 3 F ie ld
S e g m e n t R e g ist e r S e le ct e d
00 ES 000 ES
01 CS 001 CS
10 SS 010 SS
11 DS 011 DS
100 FS
101 GS
110 Reserved
1
111 Reserved
N O T E S :
1. Do not use reserved encodings.
Ta b le B -9 . E n co d in g o f S p e cia l-P u rp o se R e g ist e r (e e e ) F ie ld
e e e C o n t ro l R e g ist e r D e b u g R e g ist e r
000 CR0 DR0
001 Reserved
1
DR1
010 CR2 DR2
011 CR3 DR3
100 CR4 Reserved
101 Reserved Reserved
110 Reserved DR6
111 Reserved DR7
N O T E S :
1. Do not use reserved encodings.
B-6 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
B .1 .4 .8 D ire ct io n (d ) B it
In many two-operand instructions, a direction bit (d) indicates which operand is considered the source and which
is the destination. See Table B-11.
When used for integer instructions, the d bit is located at bit 1 of a 1-byte primary opcode. Note that this bit
does not appear as the symbol d in Table B-13; the actual encoding of the bit as 1 or 0 is given.
When used for floating-point instructions (in Table B-16), the d bit is shown as bit 2 of the first byte of the
primary opcode.
B .1 .5 O t h e r N o t e s
Table B-12 contains notes on particular encodings. These notes are indicated in the tables shown in the following
sections by superscripts.
Ta b le B -1 0 . E n co d in g o f C o n d it io n a l Te st (t t t n ) F ie ld
t t t n Mn e m o n ic C o n d it io n
0000 O Overflow
0001 NO No overflow
0010 B, NAE Below, Not above or equal
0011 NB, AE Not below, Above or equal
0100 E, Z Equal, Zero
0101 NE, NZ Not equal, Not zero
0110 BE, NA Below or equal, Not above
0111 NBE, A Not below or equal, Above
1000 S Sign
1001 NS Not sign
1010 P, PE Parity, Parity Even
1011 NP, PO Not parity, Parity Odd
1100 L, NGE Less than, Not greater than or equal to
1101 NL, GE Not less than, Greater than or equal to
1110 LE, NG Less than or equal to, Not greater than
1111 NLE, G Not less than or equal to, Greater than
Ta b le B -1 1 . E n co d in g o f O p e ra t io n D ire ct io n (d ) B it
d S o u rce D e st in a t io n
0 reg Field ModR/M or SIB Byte
1 ModR/M or SIB Byte reg Field
Ta b le B -1 2 . N o t e s o n I n st ru ct io n E n co d in g
S y m b o l N o t e
A A value of 11B in bits 7 and 6 of the ModR/M byte is reserved.
B A value of 01B (or 10B) in bits 7 and 6 of the ModR/M byte is reserved.
Vol. 2C B-7
INSTRUCTION FORMATS AND ENCODINGS
B .2 G E N E R AL-P U R P O S E I N S T R U C T I O N FO R MAT S AN D E N C O D I N G S F O R N O N -
6 4 -B I T MO D E S
Table B-13 shows machine instruction formats and encodings for general purpose instructions in non-64-bit
modes.
Ta b le B -1 3 . G e n e ra l P u rp o se I n st ru ct io n Fo rm a t s a n d E n co d in g s fo r N o n -6 4 -B it Mo d e s
I n st ru ct io n a n d Fo rm a t E n co d in g
AAA AS C I I Ad ju st a ft e r Ad d it io n 0011 0111
AAD AS C I I Ad ju st AX b e fo re D iv isio n 1101 0101 : 0000 1010
AAM AS C I I Ad ju st AX a ft e r Mu lt ip ly 1101 0100 : 0000 1010
AAS AS C I I Ad ju st AL a f t e r S u b t ra ct io n 0011 1111
AD C AD D w it h C a rry
register1 to register2 0001 000w : 11 reg1 reg2
register2 to register1 0001 001w : 11 reg1 reg2
memory to register 0001 001w : mod reg r/m
register to memory 0001 000w : mod reg r/m
immediate to register 1000 00sw : 11 010 reg : immediate data
immediate to AL, AX, or EAX 0001 010w : immediate data
immediate to memory 1000 00sw : mod 010 r/m: immediate data
AD D Ad d
register1 to register2 0000 000w : 11 reg1 reg2
register2 to register1 0000 001w : 11 reg1 reg2
memory to register 0000 001w : mod reg r/m
register to memory 0000 000w : mod reg r/m
immediate to register 1000 00sw : 11 000 reg : immediate data
immediate to AL, AX, or EAX 0000 010w : immediate data
immediate to memory 1000 00sw : mod 000 r/m: immediate data
AN D Lo g ica l AN D
register1 to register2 0010 000w : 11 reg1 reg2
register2 to register1 0010 001w : 11 reg1 reg2
memory to register 0010 001w : mod reg r/m
register to memory 0010 000w : mod reg r/m
immediate to register 1000 00sw : 11 100 reg : immediate data
immediate to AL, AX, or EAX 0010 010w : immediate data
immediate to memory 1000 00sw : mod 100 r/m: immediate data
AR P L Ad ju st R P L F ie ld o f S e le cto r
from register 0110 0011 : 11 reg1 reg2
from memory 0110 0011 : mod reg r/m
B O U N D C h e ck Arra y Ag a in st B o u n d s 0110 0010 : mod
A
reg r/m
B-8 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
B S F B it S ca n Fo rw a rd
register1, register2 0000 1111 : 1011 1100 : 11 reg1 reg2
memory, register 0000 1111 : 1011 1100 : mod reg r/m
B S R B it S ca n R e v e rse
register1, register2 0000 1111 : 1011 1101 : 11 reg1 reg2
memory, register 0000 1111 : 1011 1101 : mod reg r/m
B S WAP B y t e S w a p 0000 1111 : 1100 1 reg
B T B it Te st
register, immediate 0000 1111 : 1011 1010 : 11 100 reg: imm8 data
memory, immediate 0000 1111 : 1011 1010 : mod 100 r/m: imm8 data
register1, register2 0000 1111 : 1010 0011 : 11 reg2 reg1
memory, reg 0000 1111 : 1010 0011 : mod reg r/m
B T C B it Te st a n d C o m p le m e n t
register, immediate 0000 1111 : 1011 1010 : 11 111 reg: imm8 data
memory, immediate 0000 1111 : 1011 1010 : mod 111 r/m: imm8 data
register1, register2 0000 1111 : 1011 1011 : 11 reg2 reg1
memory, reg 0000 1111 : 1011 1011 : mod reg r/m
B T R B it Te st a n d R e se t
register, immediate 0000 1111 : 1011 1010 : 11 110 reg: imm8 data
memory, immediate 0000 1111 : 1011 1010 : mod 110 r/m: imm8 data
register1, register2 0000 1111 : 1011 0011 : 11 reg2 reg1
memory, reg 0000 1111 : 1011 0011 : mod reg r/m
B T S B it Te st a n d S e t
register, immediate 0000 1111 : 1011 1010 : 11 101 reg: imm8 data
memory, immediate 0000 1111 : 1011 1010 : mod 101 r/m: imm8 data
register1, register2 0000 1111 : 1010 1011 : 11 reg2 reg1
memory, reg 0000 1111 : 1010 1011 : mod reg r/m
C ALL C a ll P ro ce d u re (in sa m e se g m e n t )
direct 1110 1000 : full displacement
register indirect 1111 1111 : 11 010 reg
memory indirect 1111 1111 : mod 010 r/m
C ALL C a ll P ro ce d u re (in o t h e r se g m e n t )
direct 1001 1010 : unsigned full offset, selector
indirect 1111 1111 : mod 011 r/m
C B W C o n v e rt B y t e t o Wo rd 1001 1000
C D Q C o n v e rt D o u b le w o rd to Q w o rd 1001 1001
C LC C le a r C a rry F la g 1111 1000
C LD C le a r D ire ct io n F la g 1111 1100
Ta b le B -1 3 . G e n e ra l P u rp o se I n st ru ct io n Fo rm a t s a n d E n co d in g s fo r N o n -6 4 -B it Mo d e s (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-9
INSTRUCTION FORMATS AND ENCODINGS
C LI C le a r I n t e rru p t F la g 1111 1010
C LT S C le a r Ta sk -S w it ch e d F la g in C R 0 0000 1111 : 0000 0110
C MC C o m p le m e n t C a rry F la g 1111 0101
C MP C o m p a re T w o O p e ra n d s
register1 with register2 0011 100w : 11 reg1 reg2
register2 with register1 0011 101w : 11 reg1 reg2
memory with register 0011 100w : mod reg r/m
register with memory 0011 101w : mod reg r/m
immediate with register 1000 00sw : 11 111 reg : immediate data
immediate with AL, AX, or EAX 0011 110w : immediate data
immediate with memory 1000 00sw : mod 111 r/m: immediate data
C MP S /C MP S B /C MP S W/C MP S D C o m p a re S t rin g O p e ra n d s 1010 011w
C MP XC H G C o m p a re a n d E x ch a n g e
register1, register2 0000 1111 : 1011 000w : 11 reg2 reg1
memory, register 0000 1111 : 1011 000w : mod reg r/m
C P U I D C P U I d e n t ifica t io n 0000 1111 : 1010 0010
C WD C o n v e rt Wo rd t o D o u b le w o rd 1001 1001
C WD E C o n v e rt Wo rd to D o u b le w o rd 1001 1000
D AA D e cim a l Ad ju st AL a f t e r Ad d it io n 0010 0111
D AS D e cim a l Ad ju st AL a ft e r S u b t ra ct io n 0010 1111
D E C D e cre m e n t b y 1
register 1111 111w : 11 001 reg
register (alternate encoding) 0100 1 reg
memory 1111 111w : mod 001 r/m
D I V U n sig n e d D iv id e
AL, AX, or EAX by register 1111 011w : 11 110 reg
AL, AX, or EAX by memory 1111 011w : mod 110 r/m
H LT H a lt 1111 0100
I D I V S ig n e d D iv id e
AL, AX, or EAX by register 1111 011w : 11 111 reg
AL, AX, or EAX by memory 1111 011w : mod 111 r/m
I MU L S ig n e d Mu lt ip ly
AL, AX, or EAX with register 1111 011w : 11 101 reg
AL, AX, or EAX with memory 1111 011w : mod 101 reg
register1 with register2 0000 1111 : 1010 1111 : 11 : reg1 reg2
register with memory 0000 1111 : 1010 1111 : mod reg r/m
register1 with immediate to register2 0110 10s1 : 11 reg1 reg2 : immediate data
memory with immediate to register 0110 10s1 : mod reg r/m : immediate data
Ta b le B -1 3 . G e n e ra l P u rp o se I n st ru ct io n Fo rm a t s a n d E n co d in g s fo r N o n -6 4 -B it Mo d e s (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
B-10 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
I N I n p u t F ro m P o rt
fixed port 1110 010w : port number
variable port 1110 110w
I N C I n cre m e n t b y 1
reg 1111 111w : 11 000 reg
reg (alternate encoding) 0100 0 reg
memory 1111 111w : mod 000 r/m
I N S I n p u t fro m D X P o rt 0110 110w
I N T n I n t e rru p t T y p e n 1100 1101 : type
I N T S in g le -S t e p I n t e rru p t 3 1100 1100
I N T O I n t e rru p t 4 o n O v e rflo w 1100 1110
I N VD I n v a lid a t e C a ch e 0000 1111 : 0000 1000
I N VLP G I n v a lid a t e T LB E n t ry 0000 1111 : 0000 0001 : mod 111 r/m
I N VP C I D I n v a lid a t e P ro ce ss-C o n t e x t I d e n t ifie r 0110 0110:0000 1111:0011 1000:1000 0010: mod reg r/m
I R E T/I R E T D I n t e rru p t R e t u rn 1100 1111
Jcc Ju m p if C o n d it io n is Me t
8-bit displacement 0111 tttn : 8-bit displacement
full displacement 0000 1111 : 1000 tttn : full displacement
JC XZ /JE C XZ Ju m p o n C X/E C X Z e ro
Address-size prefix differentiates JCXZ
and JECXZ
1110 0011 : 8-bit displacement
JMP U n co n d it io n a l Ju m p (to sa m e se g m e n t )
short 1110 1011 : 8-bit displacement
direct 1110 1001 : full displacement
register indirect 1111 1111 : 11 100 reg
memory indirect 1111 1111 : mod 100 r/m
JMP U n co n d it io n a l Ju m p (to o t h e r se g m e n t )
direct intersegment 1110 1010 : unsigned full offset, selector
indirect intersegment 1111 1111 : mod 101 r/m
LAH F Lo a d F la g s in t o AH R e g ist e r 1001 1111
LAR Lo a d Acce ss R ig h t s B y t e
from register 0000 1111 : 0000 0010 : 11 reg1 reg2
from memory 0000 1111 : 0000 0010 : mod reg r/m
LD S Lo a d P o in t e r to D S 1100 0101 : mod
A,B
reg r/m
LE A Lo a d E ffe ct iv e Ad d re ss 1000 1101 : mod
A
reg r/m
LE AVE H ig h Le v e l P ro ce d u re E x it 1100 1001
LE S Lo a d P o in t e r t o E S 1100 0100 : mod
A,B
reg r/m
LF S Lo a d P o in t e r to F S 0000 1111 : 1011 0100 : mod
A
reg r/m
LG D T Lo a d G lo b a l D e scrip t o r Ta b le R e g ist e r 0000 1111 : 0000 0001 : mod
A
010 r/m
Ta b le B -1 3 . G e n e ra l P u rp o se I n st ru ct io n Fo rm a t s a n d E n co d in g s fo r N o n -6 4 -B it Mo d e s (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-11
INSTRUCTION FORMATS AND ENCODINGS
LG S Lo a d P o in t e r to G S 0000 1111 : 1011 0101 : mod
A
reg r/m
LI D T Lo a d I n t e rru p t D e scrip to r Ta b le R e g ist e r 0000 1111 : 0000 0001 : mod
A
011 r/m
LLD T Lo a d Lo ca l D e scrip to r Ta b le R e g ist e r
LDTR fromregister 0000 1111 : 0000 0000 : 11 010 reg
LDTR frommemory 0000 1111 : 0000 0000 : mod 010 r/m
LMS W Lo a d Ma ch in e S t a t u s Wo rd
from register 0000 1111 : 0000 0001 : 11 110 reg
from memory 0000 1111 : 0000 0001 : mod 110 r/m
LO C K Asse rt LO C K # S ig n a l P re f ix 1111 0000
LO D S /LO D S B /LO D S W/LO D S D Lo a d S t rin g O p e ra n d 1010 110w
LO O P Lo o p C o u n t 1110 0010 : 8-bit displacement
LO O P Z /LO O P E Lo o p C o u n t w h ile Z e ro /E q u a l 1110 0001 : 8-bit displacement
LO O P N Z /LO O P N E Lo o p C o u n t w h ile n o t Z e ro /E q u a l 1110 0000 : 8-bit displacement
LS L Lo a d S e g m e n t Lim it
from register 0000 1111 : 0000 0011 : 11 reg1 reg2
from memory 0000 1111 : 0000 0011 : mod reg r/m
LS S Lo a d P o in t e r t o S S 0000 1111 : 1011 0010 : mod
A
reg r/m
LT R Lo a d Ta sk R e g ist e r
from register 0000 1111 : 0000 0000 : 11 011 reg
from memory 0000 1111 : 0000 0000 : mod 011 r/m
MO V Mo v e D a t a
register1 to register2 1000 100w : 11 reg1 reg2
register2 to register1 1000 101w : 11 reg1 reg2
memory to reg 1000 101w : mod reg r/m
reg to memory 1000 100w : mod reg r/m
immediate to register 1100 011w : 11 000 reg : immediate data
immediate to register (alternate encoding) 1011 w reg : immediate data
immediate to memory 1100 011w : mod 000 r/m: immediate data
memory to AL, AX, or EAX 1010 000w : full displacement
AL, AX, or EAX to memory 1010 001w : full displacement
MO V Mo v e to /f ro m C o n t ro l R e g ist e rs
CR0 fromregister 0000 1111 : 0010 0010 : -- 000 reg
CR2 fromregister 0000 1111 : 0010 0010 : -- 010reg
CR3 fromregister 0000 1111 : 0010 0010 : -- 011 reg
CR4 fromregister 0000 1111 : 0010 0010 : -- 100 reg
register from CR0-CR4 0000 1111 : 0010 0000 : -- eee reg
MO V Mo v e to /f ro m D e b u g R e g ist e rs
DR0-DR3 from register 0000 1111 : 0010 0011 : -- eee reg
Ta b le B -1 3 . G e n e ra l P u rp o se I n st ru ct io n Fo rm a t s a n d E n co d in g s fo r N o n -6 4 -B it Mo d e s (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
B-12 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
DR4-DR5 from register 0000 1111 : 0010 0011 : -- eee reg
DR6-DR7 from register 0000 1111 : 0010 0011 : -- eee reg
register from DR6-DR7 0000 1111 : 0010 0001 : -- eee reg
register from DR4-DR5 0000 1111 : 0010 0001 : -- eee reg
register from DR0-DR3 0000 1111 : 0010 0001 : -- eee reg
MO V Mo v e to /fro m S e g m e n t R e g ist e rs
register to segment register 1000 1110 : 11 sreg3 reg
register to SS 1000 1110 : 11 sreg3 reg
memory to segment reg 1000 1110 : mod sreg3 r/m
memory to SS 1000 1110 : mod sreg3 r/m
segment register to register 1000 1100 : 11 sreg3 reg
segment register to memory 1000 1100 : mod sreg3 r/m
MO VB E Mo v e d a t a a ft e r sw a p p in g b y t e s
memory to register 0000 1111 : 0011 1000:1111 0000 : mod reg r/m
register to memory 0000 1111 : 0011 1000:1111 0001 : mod reg r/m
MO VS /MO VS B /MO VS W/MO VS D Mo v e D a t a fro m S t rin g to
St rin g
1010 010w
MO VS X Mo v e w it h S ig n -E x t e n d
memory to reg 0000 1111 : 1011 111w : mod reg r/m
MO VZ X Mo v e w it h Z e ro -E x t e n d
register2 to register1 0000 1111 : 1011 011w : 11 reg1 reg2
memory to register 0000 1111 : 1011 011w : mod reg r/m
MU L U n sig n e d Mu lt ip ly
AL, AX, or EAX with register 1111 011w : 11 100 reg
AL, AX, or EAX with memory 1111 011w : mod 100 r/m
N E G T w o 's C o m p le m e n t N e g a t io n
register 1111 011w : 11 011 reg
memory 1111 011w : mod 011 r/m
N O P N o O p e ra t io n 1001 0000
N O P Mu lt i-b y t e N o O p e ra t io n
1
register 0000 1111 0001 1111 : 11 000 reg
memory 0000 1111 0001 1111 : mod 000 r/m
N O T O n e 's C o m p le m e n t N e g a t io n
register 1111 011w : 11 010 reg
memory 1111 011w : mod 010 r/m
Ta b le B -1 3 . G e n e ra l P u rp o se I n st ru ct io n Fo rm a t s a n d E n co d in g s fo r N o n -6 4 -B it Mo d e s (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-13
INSTRUCTION FORMATS AND ENCODINGS
O R Lo g ica l I n clu siv e O R
register1 to register2 0000 100w : 11 reg1 reg2
register2 to register1 0000 101w : 11 reg1 reg2
memory to register 0000 101w : mod reg r/m
register to memory 0000 100w : mod reg r/m
immediate to register 1000 00sw : 11 001 reg : immediate data
immediate to AL, AX, or EAX 0000 110w : immediate data
immediate to memory 1000 00sw : mod 001 r/m: immediate data
O U T O u t p u t t o P o rt
fixed port 1110 011w : port number
variable port 1110 111w
O U T S O u t p u t to D X P o rt 0110 111w
P O P P o p a Wo rd f ro m t h e S t a ck
register 1000 1111 : 11 000 reg
register (alternate encoding) 0101 1 reg
memory 1000 1111 : mod 000 r/m
P O P P o p a S e g m e n t R e g ist e r fro m t h e S t a ck
(Note: CS cannot be sreg2 in this usage.)
segment register DS, ES 000 sreg2 111
segment register SS 000 sreg2 111
segment register FS, GS 0000 1111: 10 sreg3 001
P O P A/P O P AD P o p All G e n e ra l R e g ist e rs 0110 0001
P O P F /P O P F D P o p S t a ck in to F LAG S o r E F LAG S R e g ist e r 1001 1101
P U S H P u sh O p e ra n d o n t o t h e S t a ck
register 1111 1111 : 11 110 reg
register (alternate encoding) 0101 0 reg
memory 1111 1111 : mod 110 r/m
immediate 0110 10s0 : immediate data
P U S H P u sh S e g m e n t R e g ist e r o n t o t h e S t a ck
segment register CS,DS,ES,SS 000 sreg2 110
segment register FS,GS 0000 1111: 10 sreg3 000
P U S H A/P U S H AD P u sh All G e n e ra l R e g ist e rs 0110 0000
P U S H F /P U S H F D P u sh F la g s R e g ist e r o n t o t h e S t a ck 1001 1100
R C L R o t a t e t h ru C a rry Le ft
register by 1 1101 000w : 11 010 reg
memory by 1 1101 000w : mod 010 r/m
register by CL 1101 001w : 11 010 reg
Ta b le B -1 3 . G e n e ra l P u rp o se I n st ru ct io n Fo rm a t s a n d E n co d in g s fo r N o n -6 4 -B it Mo d e s (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
B-14 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
memory by CL 1101 001w : mod 010 r/m
register by immediate count 1100 000w : 11 010 reg : imm8 data
memory by immediate count 1100 000w : mod 010 r/m : imm8 data
R C R R o t a t e t h ru C a rry R ig h t
register by 1 1101 000w : 11 011 reg
memory by 1 1101 000w : mod 011 r/m
register by CL 1101 001w : 11 011 reg
memory by CL 1101 001w : mod 011 r/m
register by immediate count 1100 000w : 11 011 reg : imm8 data
memory by immediate count 1100 000w : mod 011 r/m : imm8 data
R D MS R R e a d fro m Mo d e l-S p e cific R e g ist e r 0000 1111 : 0011 0010
R D P MC R e a d P e rfo rm a n ce Mo n ito rin g C o u n t e rs 0000 1111 : 0011 0011
R D T S C R e a d T im e -S t a m p C o u n t e r 0000 1111 : 0011 0001
R D T S C P R e a d T im e -S t a m p C o u n t e r a n d P ro ce sso r I D 0000 1111 : 0000 0001: 1111 1001
R E P I N S I n p u t S t rin g 1111 0011 : 0110 110w
R E P LO D S Lo a d S t rin g 1111 0011 : 1010 110w
R E P MO VS Mo v e S t rin g 1111 0011 : 1010 010w
R E P O U T S O u t p u t S t rin g 1111 0011 : 0110 111w
R E P S T O S S to re S t rin g 1111 0011 : 1010 101w
R E P E C MP S C o m p a re S t rin g 1111 0011 : 1010 011w
R E P E S C AS S ca n S t rin g 1111 0011 : 1010 111w
R E P N E C MP S C o m p a re S t rin g 1111 0010 : 1010 011w
R E P N E S C AS S ca n S t rin g 1111 0010 : 1010 111w
R E T R e t u rn fro m P ro ce d u re (t o sa m e se g m e n t )
no argument 1100 0011
adding immediate to SP 1100 0010 : 16-bit displacement
R E T R e t u rn fro m P ro ce d u re (t o o t h e r se g m e n t )
intersegment 1100 1011
adding immediate to SP 1100 1010 : 16-bit displacement
R O L R o t a t e Le ft
register by 1 1101 000w : 11 000 reg
memory by 1 1101 000w : mod 000 r/m
register by CL 1101 001w : 11 000 reg
memory by CL 1101 001w : mod 000 r/m
register by immediate count 1100 000w : 11 000 reg : imm8 data
memory by immediate count 1100 000w : mod 000 r/m : imm8 data
Ta b le B -1 3 . G e n e ra l P u rp o se I n st ru ct io n Fo rm a t s a n d E n co d in g s fo r N o n -6 4 -B it Mo d e s (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-15
INSTRUCTION FORMATS AND ENCODINGS
R O R R o t a t e R ig h t
register by 1 1101 000w : 11 001 reg
memory by 1 1101 000w : mod 001 r/m
register by CL 1101 001w : 11 001 reg
memory by CL 1101 001w : mod 001 r/m
register by immediate count 1100 000w : 11 001 reg : imm8 data
memory by immediate count 1100 000w : mod 001 r/m : imm8 data
R S M R e su m e fro m S y st e m Ma n a g e m e n t Mo d e 0000 1111 : 1010 1010
S AH F S t o re AH in to F la g s 1001 1110
S AL S h if t Arit h m e t ic Le f t same instruction as SHL
S AR S h if t Arit h m e t ic R ig h t
register by 1 1101 000w : 11 111 reg
memory by 1 1101 000w : mod 111 r/m
register by CL 1101 001w : 11 111 reg
memory by CL 1101 001w : mod 111 r/m
register by immediate count 1100 000w : 11 111 reg : imm8 data
memory by immediate count 1100 000w : mod 111 r/m : imm8 data
S B B I n t e g e r S u b t ra ct io n w it h B o rro w
register1 to register2 0001 100w : 11 reg1 reg2
register2 to register1 0001 101w : 11 reg1 reg2
memory to register 0001 101w : mod reg r/m
register to memory 0001 100w : mod reg r/m
immediate to register 1000 00sw : 11 011 reg : immediate data
immediate to AL, AX, or EAX 0001 110w : immediate data
immediate to memory 1000 00sw : mod 011 r/m: immediate data
S C AS /S C AS B /S C AS W/S C AS D S ca n S t rin g 1010 111w
S E Tcc B y t e S e t o n C o n d it io n
register 0000 1111 : 1001 tttn : 11 000 reg
memory 0000 1111 : 1001 tttn : mod 000 r/m
S G D T S to re G lo b a l D e scrip to r Ta b le R e g ist e r 0000 1111 : 0000 0001 : mod
A
000 r/m
S H L S h ift Le f t
register by 1 1101 000w : 11 100 reg
memory by 1 1101 000w : mod 100 r/m
register by CL 1101 001w : 11 100 reg
memory by CL 1101 001w : mod 100 r/m
register by immediate count 1100 000w : 11 100 reg : imm8 data
memory by immediate count 1100 000w : mod 100 r/m : imm8 data
Ta b le B -1 3 . G e n e ra l P u rp o se I n st ru ct io n Fo rm a t s a n d E n co d in g s fo r N o n -6 4 -B it Mo d e s (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
B-16 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
S H LD D o u b le P re cisio n S h if t Le ft
register by immediate count 0000 1111 : 1010 0100 : 11 reg2 reg1 : imm8
memory by immediate count 0000 1111 : 1010 0100 : mod reg r/m : imm8
register by CL 0000 1111 : 1010 0101 : 11 reg2 reg1
memory by CL 0000 1111 : 1010 0101 : mod reg r/m
S H R S h ift R ig h t
register by 1 1101 000w : 11 101 reg
memory by 1 1101 000w : mod 101 r/m
register by CL 1101 001w : 11 101 reg
memory by CL 1101 001w : mod 101 r/m
register by immediate count 1100 000w : 11 101 reg : imm8 data
memory by immediate count 1100 000w : mod 101 r/m : imm8 data
S H R D D o u b le P re cisio n S h ift R ig h t
register by immediate count 0000 1111 : 1010 1100 : 11 reg2 reg1 : imm8
memory by immediate count 0000 1111 : 1010 1100 : mod reg r/m : imm8
register by CL 0000 1111 : 1010 1101 : 11 reg2 reg1
memory by CL 0000 1111 : 1010 1101 : mod reg r/m
S I D T S t o re I n t e rru p t D e scrip t o r Ta b le R e g ist e r 0000 1111 : 0000 0001 : mod
A
001 r/m
S LD T S to re Lo ca l D e scrip to r Ta b le R e g ist e r
to register 0000 1111 : 0000 0000 : 11 000 reg
to memory 0000 1111 : 0000 0000 : mod 000 r/m
S MS W S t o re Ma ch in e S t a t u s Wo rd
to register 0000 1111 : 0000 0001 : 11 100 reg
to memory 0000 1111 : 0000 0001 : mod 100 r/m
S T C S e t C a rry F la g 1111 1001
S T D S e t D ire ct io n F la g 1111 1101
S T I S e t I n t e rru p t F la g 1111 1011
S T O S /S T O S B /S T O S W/S T O S D S t o re S t rin g D a t a 1010 101w
S T R S to re Ta sk R e g ist e r
to register 0000 1111 : 0000 0000 : 11 001 reg
to memory 0000 1111 : 0000 0000 : mod 001 r/m
S U B I n t e g e r S u b t ra ct io n
register1 to register2 0010 100w : 11 reg1 reg2
register2 to register1 0010 101w : 11 reg1 reg2
memory to register 0010 101w : mod reg r/m
register to memory 0010 100w : mod reg r/m
immediate to register 1000 00sw : 11 101 reg : immediate data
immediate to AL, AX, or EAX 0010 110w : immediate data
Ta b le B -1 3 . G e n e ra l P u rp o se I n st ru ct io n Fo rm a t s a n d E n co d in g s fo r N o n -6 4 -B it Mo d e s (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-17
INSTRUCTION FORMATS AND ENCODINGS
immediate to memory 1000 00sw : mod 101 r/m: immediate data
T E S T Lo g ica l C o m p a re
register1 and register2 1000 010w : 11 reg1 reg2
memory and register 1000 010w : mod reg r/m
immediate and register 1111 011w : 11 000 reg : immediate data
immediate and AL, AX, or EAX 1010 100w : immediate data
immediate and memory 1111 011w : mod 000 r/m : immediate data
U D 2 U n d e f in e d in st ru ct io n 0000 FFFF : 0000 1011
VE R R Ve rif y a S e g m e n t fo r R e a d in g
register 0000 1111 : 0000 0000 : 11 100 reg
memory 0000 1111 : 0000 0000 : mod 100 r/m
VE R W Ve rif y a S e g m e n t fo r Writ in g
register 0000 1111 : 0000 0000 : 11 101 reg
memory 0000 1111 : 0000 0000 : mod 101 r/m
WAI T Wa it 1001 1011
WB I N VD Writ e b a ck a n d I n v a lid a t e D a t a C a ch e 0000 1111 : 0000 1001
WR MS R Writ e to Mo d e l-S p e cific R e g ist e r 0000 1111 : 0011 0000
XAD D E x ch a n g e a n d Ad d
register1, register2 0000 1111 : 1100 000w : 11 reg2 reg1
memory, reg 0000 1111 : 1100 000w : mod reg r/m
XC H G E x ch a n g e R e g ist e r/Me m o ry w it h R e g ist e r
register1 with register2 1000 011w : 11 reg1 reg2
AX or EAX with reg 1001 0 reg
memory with reg 1000 011w : mod reg r/m
XLAT/XLAT B Ta b le Lo o k -u p T ra n sla t io n 1101 0111
XO R Lo g ica l E x clu siv e O R
register1 to register2 0011 000w : 11 reg1 reg2
register2 to register1 0011 001w : 11 reg1 reg2
memory to register 0011 001w : mod reg r/m
register to memory 0011 000w : mod reg r/m
immediate to register 1000 00sw : 11 110 reg : immediate data
immediate to AL, AX, or EAX 0011 010w : immediate data
immediate to memory 1000 00sw : mod 110 r/m: immediate data
P re f ix B y t e s
address size 0110 0111
LOCK 1111 0000
operand size 0110 0110
CS segment override 0010 1110
Ta b le B -1 3 . G e n e ra l P u rp o se I n st ru ct io n Fo rm a t s a n d E n co d in g s fo r N o n -6 4 -B it Mo d e s (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
B-18 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
B .2 .1 G e n e ra l P u rp o se I n st ru ct io n Fo rm a t s a n d E n co d in g s fo r 6 4 -B it Mo d e
Table B-15 shows machine instruction formats and encodings for general purpose instructions in 64-bit mode.
DS segment override 0011 1110
ES segment override 0010 0110
FS segment override 0110 0100
GS segment override 0110 0101
SS segment override 0011 0110
N O T E S :
1. The multi-byte NOP instruction does not alter the content of the register and will not issue a memory operation.
Ta b le B -1 4 . S p e cia l S y m b o ls
S y m b o l Ap p lica t io n
S If the value of REX.W. is 1, it overrides the presence of 66H.
w The value of bit W. in REX is has no effect.
Ta b le B -1 5 . G e n e ra l P u rp o se I n st ru ct io n Fo rm a t s a n d E n co d in g s fo r 6 4 -B it Mo d e
I n st ru ct io n a n d Fo rm a t E n co d in g
AD C AD D w it h C a rry
register1 to register2 0100 0R0B : 0001 000w : 11 reg1 reg2
qwordregister1 to qwordregister2 0100 1R0B : 0001 0001 : 11 qwordreg1 qwordreg2
register2 to register1 0100 0R0B : 0001 001w : 11 reg1 reg2
qwordregister1 to qwordregister2 0100 1R0B : 0001 0011 : 11 qwordreg1 qwordreg2
memory to register 0100 0RXB : 0001 001w : mod reg r/m
memory to qwordregister 0100 1RXB : 0001 0011 : mod qwordreg r/m
register to memory 0100 0RXB : 0001 000w : mod reg r/m
qwordregister to memory 0100 1RXB : 0001 0001 : mod qwordreg r/m
immediate to register 0100 000B : 1000 00sw : 11 010 reg : immediate
immediate to qwordregister 0100 100B : 1000 0001 : 11 010 qwordreg : imm32
immediate to qwordregister 0100 1R0B : 1000 0011 : 11 010 qwordreg : imm8
immediate to AL, AX, or EAX 0001 010w : immediate data
immediate to RAX 0100 1000 : 0000 0101 : imm32
immediate to memory 0100 00XB : 1000 00sw : mod 010 r/m : immediate
immediate32 to memory64 0100 10XB : 1000 0001 : mod 010 r/m: imm32
immediate8 to memory64 0100 10XB : 1000 0031 : mod 010 r/m: imm8
AD D Ad d
register1 to register2 0100 0R0B : 0000 000w : 11 reg1 reg2
qwordregister1 to qwordregister2 0100 1R0B 0000 0000 : 11 qwordreg1 qwordreg2
register2 to register1 0100 0R0B : 0000 001w : 11 reg1 reg2
Ta b le B -1 3 . G e n e ra l P u rp o se I n st ru ct io n Fo rm a t s a n d E n co d in g s fo r N o n -6 4 -B it Mo d e s (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-19
INSTRUCTION FORMATS AND ENCODINGS
qwordregister1 to qwordregister2 0100 1R0B 0000 0010 : 11 qwordreg1 qwordreg2
memory to register 0100 0RXB : 0000 001w : mod reg r/m
memory64 to qwordregister 0100 1RXB : 0000 0000 : mod qwordreg r/m
register to memory 0100 0RXB : 0000 000w : mod reg r/m
qwordregister to memory64 0100 1RXB : 0000 0011 : mod qwordreg r/m
immediate to register 0100 0000B : 1000 00sw : 11 000 reg : immediate data
immediate32 to qwordregister 0100 100B : 1000 0001 : 11 010 qwordreg : imm
immediate to AL, AX, or EAX 0000 010w : immediate8
immediate to RAX 0100 1000 : 0000 0101 : imm32
immediate to memory 0100 00XB : 1000 00sw : mod 000 r/m: immediate
immediate32 to memory64 0100 10XB : 1000 0001 : mod 010 r/m: imm32
immediate8 to memory64 0100 10XB : 1000 0011 : mod 010 r/m: imm8
AN D Lo g ica l AN D
register1 to register2 0100 0R0B 0010 000w : 11 reg1 reg2
qwordregister1 to qwordregister2 0100 1R0B 0010 0001 : 11 qwordreg1 qwordreg2
register2 to register1 0100 0R0B 0010 001w : 11 reg1 reg2
register1 to register2 0100 1R0B 0010 0011 : 11 qwordreg1 qwordreg2
memory to register 0100 0RXB 0010 001w : mod reg r/m
memory64 to qwordregister 0100 1RXB : 0010 0011 : mod qwordreg r/m
register to memory 0100 0RXB : 0010 000w : mod reg r/m
qwordregister to memory64 0100 1RXB : 0010 0001 : mod qwordreg r/m
immediate to register 0100 000B : 1000 00sw : 11 100 reg : immediate
immediate32 to qwordregister 0100 100B 1000 0001 : 11 100 qwordreg : imm32
immediate to AL, AX, or EAX 0010 010w : immediate
immediate32 to RAX 0100 1000 0010 1001 : imm32
immediate to memory 0100 00XB : 1000 00sw : mod 100 r/m: immediate
immediate32 to memory64 0100 10XB : 1000 0001 : mod 100 r/m: immediate32
immediate8 to memory64 0100 10XB : 1000 0011 : mod 100 r/m: imm8
B S F B it S ca n Fo rw a rd
register1, register2 0100 0R0B 0000 1111 : 1011 1100 : 11 reg1 reg2
qwordregister1, qwordregister2 0100 1R0B 0000 1111 : 1011 1100 : 11 qwordreg1
qwordreg2
memory, register 0100 0RXB 0000 1111 : 1011 1100 : mod reg r/m
memory64, qwordregister 0100 1RXB 0000 1111 : 1011 1100 : mod qwordreg r/m
B S R B it S ca n R e v e rse
register1, register2 0100 0R0B 0000 1111 : 1011 1101 : 11 reg1 reg2
qwordregister1, qwordregister2 0100 1R0B 0000 1111 : 1011 1101 : 11 qwordreg1
qwordreg2
memory, register 0100 0RXB 0000 1111 : 1011 1101 : mod reg r/m
Ta b le B -1 5 . G e n e ra l P u rp o se I n st ru ct io n Fo rm a t s a n d E n co d in g s fo r 6 4 -B it Mo d e (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
B-20 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
memory64, qwordregister 0100 1RXB 0000 1111 : 1011 1101 : mod qwordreg r/m
B S WAP B y t e S w a p 0000 1111 : 1100 1 reg
BSWAP Byte Swap 0100 100B 0000 1111 : 1100 1 qwordreg
B T B it Te st
register, immediate 0100 000B 0000 1111 : 1011 1010 : 11 100 reg: imm8
qwordregister, immediate8 0100 100B 1111 : 1011 1010 : 11 100 qwordreg: imm8 data
memory, immediate 0100 00XB 0000 1111 : 1011 1010 : mod 100 r/m: imm8
memory64, immediate8 0100 10XB 0000 1111 : 1011 1010 : mod 100 r/m : imm8 data
register1, register2 0100 0R0B 0000 1111 : 1010 0011 : 11 reg2 reg1
qwordregister1, qwordregister2 0100 1R0B 0000 1111 : 1010 0011 : 11 qwordreg2
qwordreg1
memory, reg 0100 0RXB 0000 1111 : 1010 0011 : mod reg r/m
memory, qwordreg 0100 1RXB 0000 1111 : 1010 0011 : mod qwordreg r/m
B T C B it Te st a n d C o m p le m e n t
register, immediate 0100 000B 0000 1111 : 1011 1010 : 11 111 reg: imm8
qwordregister, immediate8 0100 100B 0000 1111 : 1011 1010 : 11 111 qwordreg: imm8
memory, immediate 0100 00XB 0000 1111 : 1011 1010 : mod 111 r/m: imm8
memory64, immediate8 0100 10XB 0000 1111 : 1011 1010 : mod 111 r/m: imm8
register1, register2 0100 0R0B 0000 1111 : 1011 1011 : 11 reg2 reg1
qwordregister1, qwordregister2 0100 1R0B 0000 1111 : 1011 1011 : 11 qwordreg2
qwordreg1
memory, register 0100 0RXB 0000 1111 : 1011 1011 : mod reg r/m
memory, qwordreg 0100 1RXB 0000 1111 : 1011 1011 : mod qwordreg r/m
B T R B it Te st a n d R e se t
register, immediate 0100 000B 0000 1111 : 1011 1010 : 11 110 reg: imm8
qwordregister, immediate8 0100 100B 0000 1111 : 1011 1010 : 11 110 qwordreg: imm8
memory, immediate 0100 00XB 0000 1111 : 1011 1010 : mod 110 r/m: imm8
memory64, immediate8 0100 10XB 0000 1111 : 1011 1010 : mod 110 r/m: imm8
register1, register2 0100 0R0B 0000 1111 : 1011 0011 : 11 reg2 reg1
qwordregister1, qwordregister2 0100 1R0B 0000 1111 : 1011 0011 : 11 qwordreg2
qwordreg1
memory, register 0100 0RXB 0000 1111 : 1011 0011 : mod reg r/m
memory64, qwordreg 0100 1RXB 0000 1111 : 1011 0011 : mod qwordreg r/m
B T S B it Te st a n d S e t
register, immediate 0100 000B 0000 1111 : 1011 1010 : 11 101 reg: imm8
qwordregister, immediate8 0100 100B 0000 1111 : 1011 1010 : 11 101 qwordreg: imm8
memory, immediate 0100 00XB 0000 1111 : 1011 1010 : mod 101 r/m: imm8
memory64, immediate8 0100 10XB 0000 1111 : 1011 1010 : mod 101 r/m: imm8
register1, register2 0100 0R0B 0000 1111 : 1010 1011 : 11 reg2 reg1
Ta b le B -1 5 . G e n e ra l P u rp o se I n st ru ct io n Fo rm a t s a n d E n co d in g s fo r 6 4 -B it Mo d e (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-21
INSTRUCTION FORMATS AND ENCODINGS
qwordregister1, qwordregister2 0100 1R0B 0000 1111 : 1010 1011 : 11 qwordreg2
qwordreg1
memory, register 0100 0RXB 0000 1111 : 1010 1011 : mod reg r/m
memory64, qwordreg 0100 1RXB 0000 1111 : 1010 1011 : mod qwordreg r/m
C ALL C a ll P ro ce d u re (in sa m e se g m e n t )
direct 1110 1000 : displacement32
register indirect 0100 WR00
w
1111 1111 : 11 010 reg
memory indirect 0100 W0XB
w
1111 1111 : mod 010 r/m
C ALL C a ll P ro ce d u re (in o t h e r se g m e n t )
indirect 1111 1111 : mod 011 r/m
indirect 0100 10XB 0100 1000 1111 1111 : mod 011 r/m
C B W C o n v e rt B y t e t o Wo rd 1001 1000
C D Q C o n v e rt D o u b le w o rd to Q w o rd + 1001 1001
CDQE RAX, Sign-Extend of EAX 0100 1000 1001 1001
C LC C le a r C a rry F la g 1111 1000
C LD C le a r D ire ct io n F la g 1111 1100
C LI C le a r I n t e rru p t F la g 1111 1010
C LT S C le a r Ta sk -S w it ch e d F la g in C R 0 0000 1111 : 0000 0110
C MC C o m p le m e n t C a rry F la g 1111 0101
C MP C o m p a re T w o O p e ra n d s
register1 with register2 0100 0R0B 0011 100w : 11 reg1 reg2
qwordregister1 with qwordregister2 0100 1R0B 0011 1001 : 11 qwordreg1 qwordreg2
register2 with register1 0100 0R0B 0011 101w : 11 reg1 reg2
qwordregister2 with qwordregister1 0100 1R0B 0011 101w : 11 qwordreg1 qwordreg2
memory with register 0100 0RXB 0011 100w : mod reg r/m
memory64 with qwordregister 0100 1RXB 0011 1001 : mod qwordreg r/m
register with memory 0100 0RXB 0011 101w : mod reg r/m
qwordregister with memory64 0100 1RXB 0011 101w1 : mod qwordreg r/m
immediate with register 0100 000B 1000 00sw : 11 111 reg : imm
immediate32 with qwordregister 0100 100B 1000 0001 : 11 111 qwordreg : imm64
immediate with AL, AX, or EAX 0011 110w : imm
immediate32 with RAX 0100 1000 0011 1101 : imm32
immediate with memory 0100 00XB 1000 00sw : mod 111 r/m: imm
immediate32 with memory64 0100 1RXB 1000 0001 : mod 111 r/m : imm64
immediate8 with memory64 0100 1RXB 1000 0011 : mod 111 r/m : imm8
C MP S /C MP S B /C MP S W/C MP S D /C MP S Q C o m p a re S t rin g
O p e ra n d s
compare string operands [ X at DS:(E)SI with Y at ES:(E)DI ] 1010 011w
qword at address RSI with qword at address RDI 0100 1000 1010 0111
Ta b le B -1 5 . G e n e ra l P u rp o se I n st ru ct io n Fo rm a t s a n d E n co d in g s fo r 6 4 -B it Mo d e (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
B-22 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
C MP XC H G C o m p a re a n d E x ch a n g e
register1, register2 0000 1111 : 1011 000w : 11 reg2 reg1
byteregister1, byteregister2 0100 000B 0000 1111 : 1011 0000 : 11 bytereg2 reg1
qwordregister1, qwordregister2 0100 100B 0000 1111 : 1011 0001 : 11 qwordreg2 reg1
memory, register 0000 1111 : 1011 000w : mod reg r/m
memory8, byteregister 0100 00XB 0000 1111 : 1011 0000 : mod bytereg r/m
memory64, qwordregister 0100 10XB 0000 1111 : 1011 0001 : mod qwordreg r/m
C P U I D C P U I d e n t if ica t io n 0000 1111 : 1010 0010
CQO Sign-Extend RAX 0100 1000 1001 1001
C WD C o n v e rt Wo rd t o D o u b le w o rd 1001 1001
C WD E C o n v e rt Wo rd to D o u b le w o rd 1001 1000
D E C D e cre m e n t b y 1
register 0100 000B 1111 111w : 11 001 reg
qwordregister 0100 100B 1111 1111 : 11 001 qwordreg
memory 0100 00XB 1111 111w : mod 001 r/m
memory64 0100 10XB 1111 1111 : mod 001 r/m
D I V U n sig n e d D iv id e
AL, AX, or EAX by register 0100 000B 1111 011w : 11 110 reg
Divide RDX:RAX by qwordregister 0100 100B 1111 0111 : 11 110 qwordreg
AL, AX, or EAX by memory 0100 00XB 1111 011w : mod 110 r/m
Divide RDX:RAX by memory64 0100 10XB 1111 0111 : mod 110 r/m
E N T E R Ma ke S t a ck F ra m e fo r H ig h Le v e l P ro ce d u re 1100 1000 : 16-bit displacement : 8-bit level (L)
H LT H a lt 1111 0100
I D I V S ig n e d D iv id e
AL, AX, or EAX by register 0100 000B 1111 011w : 11 111 reg
RDX:RAX by qwordregister 0100 100B 1111 0111 : 11 111 qwordreg
AL, AX, or EAX by memory 0100 00XB 1111 011w : mod 111 r/m
RDX:RAX by memory64 0100 10XB 1111 0111 : mod 111 r/m
I MU L S ig n e d Mu lt ip ly
AL, AX, or EAX with register 0100 000B 1111 011w : 11 101 reg
RDX:RAX <- RAX with qwordregister 0100 100B 1111 0111 : 11 101 qwordreg
AL, AX, or EAX with memory 0100 00XB 1111 011w : mod 101 r/m
RDX:RAX <- RAX with memory64 0100 10XB 1111 0111 : mod 101 r/m
register1 with register2 0000 1111 : 1010 1111 : 11 : reg1 reg2
qwordregister1 <- qwordregister1 with qwordregister2 0100 1R0B 0000 1111 : 1010 1111 : 11 : qwordreg1
qwordreg2
register with memory 0100 0RXB 0000 1111 : 1010 1111 : mod reg r/m
qwordregister <- qwordregister withmemory64 0100 1RXB 0000 1111 : 1010 1111 : mod qwordreg r/m
register1 with immediate to register2 0100 0R0B 0110 10s1 : 11 reg1 reg2 : imm
Ta b le B -1 5 . G e n e ra l P u rp o se I n st ru ct io n Fo rm a t s a n d E n co d in g s fo r 6 4 -B it Mo d e (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-23
INSTRUCTION FORMATS AND ENCODINGS
qwordregister1 <- qwordregister2 with sign-extended
immediate8
0100 1R0B 0110 1011 : 11 qwordreg1 qwordreg2 : imm8
qwordregister1 <- qwordregister2 with immediate32 0100 1R0B 0110 1001 : 11 qwordreg1 qwordreg2 : imm32
memory with immediate to register 0100 0RXB 0110 10s1 : mod reg r/m : imm
qwordregister <- memory64 with sign-extended immediate8 0100 1RXB 0110 1011 : mod qwordreg r/m : imm8
qwordregister <- memory64 with immediate32 0100 1RXB 0110 1001 : mod qwordreg r/m : imm32
I N I n p u t F ro m P o rt
fixed port 1110 010w : port number
variable port 1110 110w
I N C I n cre m e n t b y 1
reg 0100 000B 1111 111w : 11 000 reg
qwordreg 0100 100B 1111 1111 : 11 000 qwordreg
memory 0100 00XB 1111 111w : mod 000 r/m
memory64 0100 10XB 1111 1111 : mod 000 r/m
I N S I n p u t fro m D X P o rt 0110 110w
I N T n I n t e rru p t T y p e n 1100 1101 : type
I N T S in g le -S t e p I n t e rru p t 3 1100 1100
I N T O I n t e rru p t 4 o n O v e rflo w 1100 1110
I N VD I n v a lid a t e C a ch e 0000 1111 : 0000 1000
I N VLP G I n v a lid a t e T LB E n t ry 0000 1111 : 0000 0001 : mod 111 r/m
I N VP C I D I n v a lid a t e P ro ce ss-C o n t e x t I d e n t ifie r 0110 0110:0000 1111:0011 1000:1000 0010: mod reg r/m
I R E T O I n t e rru p t R e t u rn 1100 1111
Jcc Ju m p if C o n d it io n is Me t
8-bit displacement 0111 tttn : 8-bit displacement
displacements (excluding 16-bit relative offsets) 0000 1111 : 1000 tttn : displacement32
JC XZ /JE C XZ Ju m p o n C X/E C X Z e ro
Address-size prefix differentiates JCXZ and JECXZ 1110 0011 : 8-bit displacement
JMP U n co n d it io n a l Ju m p (to sa m e se g m e n t )
short 1110 1011 : 8-bit displacement
direct 1110 1001 : displacement32
register indirect 0100 W00B
w
: 1111 1111 : 11 100 reg
memory indirect 0100 W0XB
w
: 1111 1111 : mod 100 r/m
Ta b le B -1 5 . G e n e ra l P u rp o se I n st ru ct io n Fo rm a t s a n d E n co d in g s fo r 6 4 -B it Mo d e (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
B-24 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
JMP U n co n d it io n a l Ju m p (to o t h e r se g m e n t )
indirect intersegment 0100 00XB : 1111 1111 : mod 101 r/m
64-bit indirect intersegment 0100 10XB : 1111 1111 : mod 101 r/m
LAR Lo a d Acce ss R ig h t s B y t e
from register 0100 0R0B : 0000 1111 : 0000 0010 : 11 reg1 reg2
from dwordregister to qwordregister, masked by 00FxFF00H 0100 WR0B : 0000 1111 : 0000 0010 : 11 qwordreg1
dwordreg2
from memory 0100 0RXB : 0000 1111 : 0000 0010 : mod reg r/m
from memory32 to qwordregister, masked by 00FxFF00H 0100 WRXB 0000 1111 : 0000 0010 : mod r/m
LE A Lo a d E ffe ct iv e Ad d re ss
in wordregister/dwordregister 0100 0RXB : 1000 1101 : mod
A
reg r/m
in qwordregister 0100 1RXB : 1000 1101 : mod
A
qwordreg r/m
LE AVE H ig h Le v e l P ro ce d u re E x it 1100 1001
LF S Lo a d P o in t e r to F S
FS:r16/r32 with far pointer from memory 0100 0RXB : 0000 1111 : 1011 0100 : mod
A
reg r/m
FS:r64 with far pointer frommemory 0100 1RXB : 0000 1111 : 1011 0100 : mod
A
qwordreg r/m
LG D T Lo a d G lo b a l D e scrip t o r Ta b le R e g ist e r 0100 10XB : 0000 1111 : 0000 0001 : mod
A
010 r/m
LG S Lo a d P o in t e r to G S
GS:r16/r32 with far pointer from memory 0100 0RXB : 0000 1111 : 1011 0101 : mod
A
reg r/m
GS:r64 with far pointer frommemory 0100 1RXB : 0000 1111 : 1011 0101 : mod
A
qwordreg r/m
LI D T Lo a d I n t e rru p t D e scrip t o r Ta b le R e g ist e r 0100 10XB : 0000 1111 : 0000 0001 : mod
A
011 r/m
LLD T Lo a d Lo ca l D e scrip to r Ta b le R e g ist e r
LDTR from register 0100 000B : 0000 1111 : 0000 0000 : 11 010 reg
LDTR frommemory 0100 00XB :0000 1111 : 0000 0000 : mod 010 r/m
LMS W Lo a d Ma ch in e S t a t u s Wo rd
from register 0100 000B : 0000 1111 : 0000 0001 : 11 110 reg
from memory 0100 00XB :0000 1111 : 0000 0001 : mod 110 r/m
LO C K Asse rt LO C K # S ig n a l P re f ix 1111 0000
LO D S /LO D S B /LO D S W/LO D S D /LO D S Q Lo a d S t rin g O p e ra n d
at DS:(E)SI to AL/EAX/EAX 1010 110w
at (R)SI to RAX 0100 1000 1010 1101
LO O P Lo o p C o u n t
if count !=0, 8-bit displacement 1110 0010
if count !=0, RIP +8-bit displacement sign-extended to 64-bits 0100 1000 1110 0010
LO O P E Lo o p C o u n t w h ile Z e ro /E q u a l
if count !=0 & ZF =1, 8-bit displacement 1110 0001
if count !=0 & ZF =1, RIP +8-bit displacement sign-extended to
64-bits
0100 1000 1110 0001
Ta b le B -1 5 . G e n e ra l P u rp o se I n st ru ct io n Fo rm a t s a n d E n co d in g s fo r 6 4 -B it Mo d e (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-25
INSTRUCTION FORMATS AND ENCODINGS
LO O P N E /LO O P N Z Lo o p C o u n t w h ile n o t Z e ro /E q u a l
if count !=0 & ZF =0, 8-bit displacement 1110 0000
if count !=0 & ZF =0, RIP +8-bit displacement sign-extended to
64-bits
0100 1000 1110 0000
LS L Lo a d S e g m e n t Lim it
from register 0000 1111 : 0000 0011 : 11 reg1 reg2
from qwordregister 0100 1R00 0000 1111 : 0000 0011 : 11 qwordreg1 reg2
from memory16 0000 1111 : 0000 0011 : mod reg r/m
from memory64 0100 1RXB 0000 1111 : 0000 0011 : mod qwordreg r/m
LS S Lo a d P o in t e r t o S S
SS:r16/r32 with far pointer from memory 0100 0RXB : 0000 1111 : 1011 0010 : mod
A
reg r/m
SS:r64 with far pointer from memory 0100 1WXB : 0000 1111 : 1011 0010 : mod
A
qwordreg r/m
LT R Lo a d Ta sk R e g ist e r
from register 0100 0R00 : 0000 1111 : 0000 0000 : 11 011 reg
from memory 0100 00XB : 0000 1111 : 0000 0000 : mod 011 r/m
MO V Mo v e D a t a
register1 to register2 0100 0R0B : 1000 100w : 11 reg1 reg2
qwordregister1 to qwordregister2 0100 1R0B 1000 1001 : 11 qwordeg1 qwordreg2
register2 to register1 0100 0R0B : 1000 101w : 11 reg1 reg2
qwordregister2 to qwordregister1 0100 1R0B 1000 1011 : 11 qwordreg1 qwordreg2
memory to reg 0100 0RXB : 1000 101w : mod reg r/m
memory64 to qwordregister 0100 1RXB 1000 1011 : mod qwordreg r/m
reg to memory 0100 0RXB : 1000 100w : mod reg r/m
qwordregister to memory64 0100 1RXB 1000 1001 : mod qwordreg r/m
immediate to register 0100 000B : 1100 011w : 11 000 reg : imm
immediate32 to qwordregister (zero extend) 0100 100B 1100 0111 : 11 000 qwordreg : imm32
immediate to register (alternate encoding) 0100 000B : 1011 w reg : imm
immediate64 to qwordregister (alternate encoding) 0100 100B 1011 1000 reg : imm64
immediate to memory 0100 00XB : 1100 011w : mod 000 r/m: imm
immediate32 to memory64 (zero extend) 0100 10XB 1100 0111 : mod 000 r/m : imm32
memory to AL, AX, or EAX 0100 0000 : 1010 000w : displacement
memory64 to RAX 0100 1000 1010 0001 : displacement64
AL, AX, or EAX to memory 0100 0000 : 1010 001w : displacement
RAX to memory64 0100 1000 1010 0011 : displacement64
MO V Mo v e to /f ro m C o n t ro l R e g ist e rs
CR0-CR4 from register 0100 0R0B : 0000 1111 : 0010 0010 : 11 eee reg (eee =CR#)
CRx fromqwordregister 0100 1R0B : 0000 1111 : 0010 0010 : 11 eee qwordreg (Reee
=CR#)
register from CR0-CR4 0100 0R0B : 0000 1111 : 0010 0000 : 11 eee reg (eee =CR#)
Ta b le B -1 5 . G e n e ra l P u rp o se I n st ru ct io n Fo rm a t s a n d E n co d in g s fo r 6 4 -B it Mo d e (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
B-26 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
qwordregister from CRx 0100 1R0B 0000 1111 : 0010 0000 : 11 eee qwordreg
(Reee =CR#)
MO V Mo v e to /fro m D e b u g R e g ist e rs
DR0-DR7 from register 0000 1111 : 0010 0011 : 11 eee reg (eee =DR#)
DR0-DR7 from quadregister 0100 10OB 0000 1111 : 0010 0011 : 11 eee reg (eee =DR#)
register from DR0-DR7 0000 1111 : 0010 0001 : 11 eee reg (eee =DR#)
quadregister from DR0-DR7 0100 10OB 0000 1111 : 0010 0001 : 11 eee quadreg (eee =
DR#)
MO V Mo v e to /fro m S e g m e n t R e g ist e rs
register to segment register 0100 W00B
w
: 1000 1110 : 11 sreg reg
register to SS 0100 000B : 1000 1110 : 11 sreg reg
memory to segment register 0100 00XB : 1000 1110 : mod sreg r/m
memory64 to segment register (lower 16 bits) 0100 10XB 1000 1110 : mod sreg r/m
memory to SS 0100 00XB : 1000 1110 : mod sreg r/m
segment register to register 0100 000B : 1000 1100 : 11 sreg reg
segment register to qwordregister (zero extended) 0100 100B 1000 1100 : 11 sreg qwordreg
segment register to memory 0100 00XB : 1000 1100 : mod sreg r/m
segment register to memory64 (zero extended) 0100 10XB 1000 1100 : mod sreg3 r/m
MO VB E Mo v e d a t a a ft e r sw a p p in g b y t e s
memory to register 0100 0RXB : 0000 1111 : 0011 1000:1111 0000 : mod reg r/m
memory64 to qwordregister 0100 1RXB : 0000 1111 : 0011 1000:1111 0000 : mod reg r/m
register to memory 0100 0RXB :0000 1111 : 0011 1000:1111 0001 : mod reg r/m
qwordregister to memory64 0100 1RXB :0000 1111 : 0011 1000:1111 0001 : mod reg r/m
MO VS /MO VS B /MO VS W/MO VS D /MO VS Q Mo v e D a t a fro m
St rin g to S t rin g
Move data fromstring to string 1010 010w
Move data fromstring to string (qword) 0100 1000 1010 0101
MO VS X/MO VS XD Mo v e w it h S ig n -E x t e n d
register2 to register1 0100 0R0B : 0000 1111 : 1011 111w : 11 reg1 reg2
byteregister2 to qwordregister1 (sign-extend) 0100 1R0B 0000 1111 : 1011 1110 : 11 quadreg1 bytereg2
wordregister2 to qwordregister1 0100 1R0B 0000 1111 : 1011 1111 : 11 quadreg1 wordreg2
dwordregister2 to qwordregister1 0100 1R0B 0110 0011 : 11 quadreg1 dwordreg2
memory to register 0100 0RXB : 0000 1111 : 1011 111w : mod reg r/m
memory8 to qwordregister (sign-extend) 0100 1RXB 0000 1111 : 1011 1110 : mod qwordreg r/m
memory16 to qwordregister 0100 1RXB 0000 1111 : 1011 1111 : mod qwordreg r/m
memory32 to qwordregister 0100 1RXB 0110 0011 : mod qwordreg r/m
MO VZ X Mo v e w it h Z e ro -E x t e n d
register2 to register1 0100 0R0B : 0000 1111 : 1011 011w : 11 reg1 reg2
dwordregister2 to qwordregister1 0100 1R0B 0000 1111 : 1011 0111 : 11 qwordreg1
dwordreg2
Ta b le B -1 5 . G e n e ra l P u rp o se I n st ru ct io n Fo rm a t s a n d E n co d in g s fo r 6 4 -B it Mo d e (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-27
INSTRUCTION FORMATS AND ENCODINGS
memory to register 0100 0RXB : 0000 1111 : 1011 011w : mod reg r/m
memory32 to qwordregister 0100 1RXB 0000 1111 : 1011 0111 : mod qwordreg r/m
MU L U n sig n e d Mu lt ip ly
AL, AX, or EAX with register 0100 000B : 1111 011w : 11 100 reg
RAX with qwordregister (to RDX:RAX) 0100 100B 1111 0111 : 11 100 qwordreg
AL, AX, or EAX with memory 0100 00XB 1111 011w : mod 100 r/m
RAX with memory64 (to RDX:RAX) 0100 10XB 1111 0111 : mod 100 r/m
N E G T w o 's C o m p le m e n t N e g a t io n
register 0100 000B : 1111 011w : 11 011 reg
qwordregister 0100 100B 1111 0111 : 11 011 qwordreg
memory 0100 00XB : 1111 011w : mod 011 r/m
memory64 0100 10XB 1111 0111 : mod 011 r/m
N O P N o O p e ra t io n 1001 0000
N O T O n e 's C o m p le m e n t N e g a t io n
register 0100 000B : 1111 011w : 11 010 reg
qwordregister 0100 000B 1111 0111 : 11 010 qwordreg
memory 0100 00XB : 1111 011w : mod 010 r/m
memory64 0100 1RXB 1111 0111 : mod 010 r/m
O R Lo g ica l I n clu siv e O R
register1 to register2 0000 100w : 11 reg1 reg2
byteregister1 to byteregister2 0100 0R0B 0000 1000 : 11 bytereg1 bytereg2
qwordregister1 to qwordregister2 0100 1R0B 0000 1001 : 11 qwordreg1 qwordreg2
register2 to register1 0000 101w : 11 reg1 reg2
byteregister2 to byteregister1 0100 0R0B 0000 1010 : 11 bytereg1 bytereg2
qwordregister2 to qwordregister1 0100 0R0B 0000 1011 : 11 qwordreg1 qwordreg2
memory to register 0000 101w : mod reg r/m
memory8 to byteregister 0100 0RXB 0000 1010 : mod bytereg r/m
memory8 to qwordregister 0100 0RXB 0000 1011 : mod qwordreg r/m
register to memory 0000 100w : mod reg r/m
byteregister to memory8 0100 0RXB 0000 1000 : mod bytereg r/m
qwordregister to memory64 0100 1RXB 0000 1001 : mod qwordreg r/m
immediate to register 1000 00sw : 11 001 reg : imm
immediate8 to byteregister 0100 000B 1000 0000 : 11 001 bytereg : imm8
immediate32 to qwordregister 0100 000B 1000 0001 : 11 001 qwordreg : imm32
immediate8 to qwordregister 0100 000B 1000 0011 : 11 001 qwordreg : imm8
immediate to AL, AX, or EAX 0000 110w : imm
immediate64 to RAX 0100 1000 0000 1101 : imm64
immediate to memory 1000 00sw : mod 001 r/m : imm
Ta b le B -1 5 . G e n e ra l P u rp o se I n st ru ct io n Fo rm a t s a n d E n co d in g s fo r 6 4 -B it Mo d e (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
B-28 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
immediate8 to memory8 0100 00XB 1000 0000 : mod 001 r/m : imm8
immediate32 to memory64 0100 00XB 1000 0001 : mod 001 r/m : imm32
immediate8 to memory64 0100 00XB 1000 0011 : mod 001 r/m : imm8
O U T O u t p u t t o P o rt
fixed port 1110 011w : port number
variable port 1110 111w
O U T S O u t p u t to D X P o rt
output to DX Port 0110 111w
P O P P o p a Va lu e fro m t h e S t a ck
wordregister 0101 0101 : 0100 000B : 1000 1111 : 11 000 reg16
qwordregister 0100 W00B
S
: 1000 1111 : 11 000 reg64
wordregister (alternate encoding) 0101 0101 : 0100 000B : 0101 1 reg16
qwordregister (alternate encoding) 0100 W00B : 0101 1 reg64
memory64 0100 W0XB
S
: 1000 1111 : mod 000 r/m
memory16 0101 0101 : 0100 00XB 1000 1111 : mod 000 r/m
P O P P o p a S e g m e n t R e g ist e r fro m t h e S t a ck
(Note: CS cannot be sreg2 in this usage.)
segment register FS, GS 0000 1111: 10 sreg3 001
P O P F /P O P F Q P o p S t a ck in to F LAG S /R F LAG S R e g ist e r
pop stack to FLAGS register 0101 0101 : 1001 1101
pop Stack to RFLAGS register 0100 1000 1001 1101
P U S H P u sh O p e ra n d o n t o t h e S t a ck
wordregister 0101 0101 : 0100 000B : 1111 1111 : 11 110 reg16
qwordregister 0100 W00B
S
: 1111 1111 : 11 110 reg64
wordregister (alternate encoding) 0101 0101 : 0100 000B : 0101 0 reg16
qwordregister (alternate encoding) 0100 W00B
S
: 0101 0 reg64
memory16 0101 0101 : 0100 000B : 1111 1111 : mod 110 r/m
memory64 0100 W00B
S
: 1111 1111 : mod 110 r/m
immediate8 0110 1010 : imm8
immediate16 0101 0101 : 0110 1000 : imm16
immediate64 0110 1000 : imm64
P U S H P u sh S e g m e n t R e g ist e r o n t o t h e S t a ck
segment register FS,GS 0000 1111: 10 sreg3 000
P U S H F /P U S H F D P u sh F la g s R e g ist e r o n t o t h e S t a ck 1001 1100
R C L R o t a t e t h ru C a rry Le ft
register by 1 0100 000B : 1101 000w : 11 010 reg
qwordregister by 1 0100 100B 1101 0001 : 11 010 qwordreg
memory by 1 0100 00XB : 1101 000w : mod 010 r/m
memory64 by 1 0100 10XB 1101 0001 : mod 010 r/m
Ta b le B -1 5 . G e n e ra l P u rp o se I n st ru ct io n Fo rm a t s a n d E n co d in g s fo r 6 4 -B it Mo d e (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-29
INSTRUCTION FORMATS AND ENCODINGS
register by CL 0100 000B : 1101 001w : 11 010 reg
qwordregister by CL 0100 100B 1101 0011 : 11 010 qwordreg
memory by CL 0100 00XB : 1101 001w : mod 010 r/m
memory64 by CL 0100 10XB 1101 0011 : mod 010 r/m
register by immediate count 0100 000B : 1100 000w : 11 010 reg : imm
qwordregister by immediate count 0100 100B 1100 0001 : 11 010 qwordreg : imm8
memory by immediate count 0100 00XB : 1100 000w : mod 010 r/m: imm
memory64 by immediate count 0100 10XB 1100 0001 : mod 010 r/m : imm8
R C R R o t a t e t h ru C a rry R ig h t
register by 1 0100 000B : 1101 000w : 11 011 reg
qwordregister by 1 0100 100B 1101 0001 : 11 011 qwordreg
memory by 1 0100 00XB : 1101 000w : mod 011 r/m
memory64 by 1 0100 10XB 1101 0001 : mod 011 r/m
register by CL 0100 000B : 1101 001w : 11 011 reg
qwordregister by CL 0100 000B 1101 0010 : 11 011 qwordreg
memory by CL 0100 00XB : 1101 001w : mod 011 r/m
memory64 by CL 0100 10XB 1101 0011 : mod 011 r/m
register by immediate count 0100 000B : 1100 000w : 11 011 reg : imm8
qwordregister by immediate count 0100 100B 1100 0001 : 11 011 qwordreg : imm8
memory by immediate count 0100 00XB : 1100 000w : mod 011 r/m: imm8
memory64 by immediate count 0100 10XB 1100 0001 : mod 011 r/m : imm8
R D MS R R e a d fro m Mo d e l-S p e cific R e g ist e r
load ECX-specified register into EDX:EAX 0000 1111 : 0011 0010
R D P MC R e a d P e rfo rm a n ce Mo n ito rin g C o u n t e rs
load ECX-specified performance counter into EDX:EAX 0000 1111 : 0011 0011
R D T S C R e a d T im e -S t a m p C o u n t e r
read time-stamp counter into EDX:EAX 0000 1111 : 0011 0001
R D T S C P R e a d T im e -S t a m p C o u n t e r a n d P ro ce sso r I D 0000 1111 : 0000 0001: 1111 1001
R E P I N S I n p u t S t rin g
R E P LO D S Lo a d S t rin g
R E P MO VS Mo v e S t rin g
R E P O U T S O u t p u t S t rin g
R E P S T O S S to re S t rin g
R E P E C MP S C o m p a re S t rin g
R E P E S C AS S ca n S t rin g
R E P N E C MP S C o m p a re S t rin g
R E P N E S C AS S ca n S t rin g
R E T R e t u rn fro m P ro ce d u re (to sa m e se g m e n t )
Ta b le B -1 5 . G e n e ra l P u rp o se I n st ru ct io n Fo rm a t s a n d E n co d in g s fo r 6 4 -B it Mo d e (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
B-30 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
no argument 1100 0011
adding immediate to SP 1100 0010 : 16-bit displacement
R E T R e t u rn fro m P ro ce d u re (t o o t h e r se g m e n t )
intersegment 1100 1011
adding immediate to SP 1100 1010 : 16-bit displacement
R O L R o t a t e Le ft
register by 1 0100 000B 1101 000w : 11 000 reg
byteregister by 1 0100 000B 1101 0000 : 11 000 bytereg
qwordregister by 1 0100 100B 1101 0001 : 11 000 qwordreg
memory by 1 0100 00XB 1101 000w : mod 000 r/m
memory8 by 1 0100 00XB 1101 0000 : mod 000 r/m
memory64 by 1 0100 10XB 1101 0001 : mod 000 r/m
register by CL 0100 000B 1101 001w : 11 000 reg
byteregister by CL 0100 000B 1101 0010 : 11 000 bytereg
qwordregister by CL 0100 100B 1101 0011 : 11 000 qwordreg
memory by CL 0100 00XB 1101 001w : mod 000 r/m
memory8 by CL 0100 00XB 1101 0010 : mod 000 r/m
memory64 by CL 0100 10XB 1101 0011 : mod 000 r/m
register by immediate count 1100 000w : 11 000 reg : imm8
byteregister by immediate count 0100 000B 1100 0000 : 11 000 bytereg : imm8
qwordregister by immediate count 0100 100B 1100 0001 : 11 000 bytereg : imm8
memory by immediate count 1100 000w : mod 000 r/m : imm8
memory8 by immediate count 0100 00XB 1100 0000 : mod 000 r/m : imm8
memory64 by immediate count 0100 10XB 1100 0001 : mod 000 r/m : imm8
R O R R o t a t e R ig h t
register by 1 0100 000B 1101 000w : 11 001 reg
byteregister by 1 0100 000B 1101 0000 : 11 001 bytereg
qwordregister by 1 0100 100B 1101 0001 : 11 001 qwordreg
memory by 1 0100 00XB 1101 000w : mod 001 r/m
memory8 by 1 0100 00XB 1101 0000 : mod 001 r/m
memory64 by 1 0100 10XB 1101 0001 : mod 001 r/m
register by CL 0100 000B 1101 001w : 11 001 reg
byteregister by CL 0100 000B 1101 0010 : 11 001 bytereg
qwordregister by CL 0100 100B 1101 0011 : 11 001 qwordreg
memory by CL 0100 00XB 1101 001w : mod 001 r/m
memory8 by CL 0100 00XB 1101 0010 : mod 001 r/m
memory64 by CL 0100 10XB 1101 0011 : mod 001 r/m
register by immediate count 0100 000B 1100 000w : 11 001 reg : imm8
Ta b le B -1 5 . G e n e ra l P u rp o se I n st ru ct io n Fo rm a t s a n d E n co d in g s fo r 6 4 -B it Mo d e (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-31
INSTRUCTION FORMATS AND ENCODINGS
byteregister by immediate count 0100 000B 1100 0000 : 11 001 reg : imm8
qwordregister by immediate count 0100 100B 1100 0001 : 11 001 qwordreg : imm8
memory by immediate count 0100 00XB 1100 000w : mod 001 r/m : imm8
memory8 by immediate count 0100 00XB 1100 0000 : mod 001 r/m : imm8
memory64 by immediate count 0100 10XB 1100 0001 : mod 001 r/m : imm8
R S M R e su m e fro m S y st e m Ma n a g e m e n t Mo d e 0000 1111 : 1010 1010
S AL S h if t Arit h m e t ic Le f t same instruction as SHL
S AR S h if t Arit h m e t ic R ig h t
register by 1 0100 000B 1101 000w : 11 111 reg
byteregister by 1 0100 000B 1101 0000 : 11 111 bytereg
qwordregister by 1 0100 100B 1101 0001 : 11 111 qwordreg
memory by 1 0100 00XB 1101 000w : mod 111 r/m
memory8 by 1 0100 00XB 1101 0000 : mod 111 r/m
memory64 by 1 0100 10XB 1101 0001 : mod 111 r/m
register by CL 0100 000B 1101 001w : 11 111 reg
byteregister by CL 0100 000B 1101 0010 : 11 111 bytereg
qwordregister by CL 0100 100B 1101 0011 : 11 111 qwordreg
memory by CL 0100 00XB 1101 001w : mod 111 r/m
memory8 by CL 0100 00XB 1101 0010 : mod 111 r/m
memory64 by CL 0100 10XB 1101 0011 : mod 111 r/m
register by immediate count 0100 000B 1100 000w : 11 111 reg : imm8
byteregister by immediate count 0100 000B 1100 0000 : 11 111 bytereg : imm8
qwordregister by immediate count 0100 100B 1100 0001 : 11 111 qwordreg : imm8
memory by immediate count 0100 00XB 1100 000w : mod 111 r/m : imm8
memory8 by immediate count 0100 00XB 1100 0000 : mod 111 r/m : imm8
memory64 by immediate count 0100 10XB 1100 0001 : mod 111 r/m : imm8
S B B I n t e g e r S u b t ra ct io n w it h B o rro w
register1 to register2 0100 0R0B 0001 100w : 11 reg1 reg2
byteregister1 to byteregister2 0100 0R0B 0001 1000 : 11 bytereg1 bytereg2
quadregister1 to quadregister2 0100 1R0B 0001 1001 : 11 quadreg1 quadreg2
register2 to register1 0100 0R0B 0001 101w : 11 reg1 reg2
byteregister2 to byteregister1 0100 0R0B 0001 1010 : 11 reg1 bytereg2
byteregister2 to byteregister1 0100 1R0B 0001 1011 : 11 reg1 bytereg2
memory to register 0100 0RXB 0001 101w : mod reg r/m
memory8 to byteregister 0100 0RXB 0001 1010 : mod bytereg r/m
memory64 to byteregister 0100 1RXB 0001 1011 : mod quadreg r/m
register to memory 0100 0RXB 0001 100w : mod reg r/m
byteregister to memory8 0100 0RXB 0001 1000 : mod reg r/m
Ta b le B -1 5 . G e n e ra l P u rp o se I n st ru ct io n Fo rm a t s a n d E n co d in g s fo r 6 4 -B it Mo d e (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
B-32 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
quadregister to memory64 0100 1RXB 0001 1001 : mod reg r/m
immediate to register 0100 000B 1000 00sw : 11 011 reg : imm
immediate8 to byteregister 0100 000B 1000 0000 : 11 011 bytereg : imm8
immediate32 to qwordregister 0100 100B 1000 0001 : 11 011 qwordreg : imm32
immediate8 to qwordregister 0100 100B 1000 0011 : 11 011 qwordreg : imm8
immediate to AL, AX, or EAX 0100 000B 0001 110w : imm
immediate32 to RAL 0100 1000 0001 1101 : imm32
immediate to memory 0100 00XB 1000 00sw : mod 011 r/m: imm
immediate8 to memory8 0100 00XB 1000 0000 : mod 011 r/m : imm8
immediate32 to memory64 0100 10XB 1000 0001 : mod 011 r/m : imm32
immediate8 to memory64 0100 10XB 1000 0011 : mod 011 r/m : imm8
S C AS /S C AS B /S C AS W/S C AS D S ca n S t rin g
scan string 1010 111w
scan string (compare AL with byte at RDI) 0100 1000 1010 1110
scan string (compare RAX with qword at RDI) 0100 1000 1010 1111
S E Tcc B y t e S e t o n C o n d it io n
register 0100 000B 0000 1111 : 1001 tttn : 11 000 reg
register 0100 0000 0000 1111 : 1001 tttn : 11 000 reg
memory 0100 00XB 0000 1111 : 1001 tttn : mod 000 r/m
memory 0100 0000 0000 1111 : 1001 tttn : mod 000 r/m
S G D T S to re G lo b a l D e scrip to r Ta b le R e g ist e r 0000 1111 : 0000 0001 : mod
A
000 r/m
S H L S h ift Le f t
register by 1 0100 000B 1101 000w : 11 100 reg
byteregister by 1 0100 000B 1101 0000 : 11 100 bytereg
qwordregister by 1 0100 100B 1101 0001 : 11 100 qwordreg
memory by 1 0100 00XB 1101 000w : mod 100 r/m
memory8 by 1 0100 00XB 1101 0000 : mod 100 r/m
memory64 by 1 0100 10XB 1101 0001 : mod 100 r/m
register by CL 0100 000B 1101 001w : 11 100 reg
byteregister by CL 0100 000B 1101 0010 : 11 100 bytereg
qwordregister by CL 0100 100B 1101 0011 : 11 100 qwordreg
memory by CL 0100 00XB 1101 001w : mod 100 r/m
memory8 by CL 0100 00XB 1101 0010 : mod 100 r/m
memory64 by CL 0100 10XB 1101 0011 : mod 100 r/m
register by immediate count 0100 000B 1100 000w : 11 100 reg : imm8
byteregister by immediate count 0100 000B 1100 0000 : 11 100 bytereg : imm8
quadregister by immediate count 0100 100B 1100 0001 : 11 100 quadreg : imm8
memory by immediate count 0100 00XB 1100 000w : mod 100 r/m : imm8
Ta b le B -1 5 . G e n e ra l P u rp o se I n st ru ct io n Fo rm a t s a n d E n co d in g s fo r 6 4 -B it Mo d e (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-33
INSTRUCTION FORMATS AND ENCODINGS
memory8 by immediate count 0100 00XB 1100 0000 : mod 100 r/m : imm8
memory64 by immediate count 0100 10XB 1100 0001 : mod 100 r/m : imm8
S H LD D o u b le P re cisio n S h if t Le ft
register by immediate count 0100 0R0B 0000 1111 : 1010 0100 : 11 reg2 reg1 : imm8
qwordregister by immediate8 0100 1R0B 0000 1111 : 1010 0100 : 11 qworddreg2
qwordreg1 : imm8
memory by immediate count 0100 0RXB 0000 1111 : 1010 0100 : mod reg r/m : imm8
memory64 by immediate8 0100 1RXB 0000 1111 : 1010 0100 : mod qwordreg r/m :
imm8
register by CL 0100 0R0B 0000 1111 : 1010 0101 : 11 reg2 reg1
quadregister by CL 0100 1R0B 0000 1111 : 1010 0101 : 11 quadreg2 quadreg1
memory by CL 0100 00XB 0000 1111 : 1010 0101 : mod reg r/m
memory64 by CL 0100 1RXB 0000 1111 : 1010 0101 : mod quadreg r/m
S H R S h ift R ig h t
register by 1 0100 000B 1101 000w : 11 101 reg
byteregister by 1 0100 000B 1101 0000 : 11 101 bytereg
qwordregister by 1 0100 100B 1101 0001 : 11 101 qwordreg
memory by 1 0100 00XB 1101 000w : mod 101 r/m
memory8 by 1 0100 00XB 1101 0000 : mod 101 r/m
memory64 by 1 0100 10XB 1101 0001 : mod 101 r/m
register by CL 0100 000B 1101 001w : 11 101 reg
byteregister by CL 0100 000B 1101 0010 : 11 101 bytereg
qwordregister by CL 0100 100B 1101 0011 : 11 101 qwordreg
memory by CL 0100 00XB 1101 001w : mod 101 r/m
memory8 by CL 0100 00XB 1101 0010 : mod 101 r/m
memory64 by CL 0100 10XB 1101 0011 : mod 101 r/m
register by immediate count 0100 000B 1100 000w : 11 101 reg : imm8
byteregister by immediate count 0100 000B 1100 0000 : 11 101 reg : imm8
qwordregister by immediate count 0100 100B 1100 0001 : 11 101 reg : imm8
memory by immediate count 0100 00XB 1100 000w : mod 101 r/m : imm8
memory8 by immediate count 0100 00XB 1100 0000 : mod 101 r/m : imm8
memory64 by immediate count 0100 10XB 1100 0001 : mod 101 r/m : imm8
S H R D D o u b le P re cisio n S h if t R ig h t
register by immediate count 0100 0R0B 0000 1111 : 1010 1100 : 11 reg2 reg1 : imm8
qwordregister by immediate8 0100 1R0B 0000 1111 : 1010 1100 : 11 qwordreg2
qwordreg1 : imm8
memory by immediate count 0100 00XB 0000 1111 : 1010 1100 : mod reg r/m : imm8
memory64 by immediate8 0100 1RXB 0000 1111 : 1010 1100 : mod qwordreg r/m :
imm8
register by CL 0100 000B 0000 1111 : 1010 1101 : 11 reg2 reg1
Ta b le B -1 5 . G e n e ra l P u rp o se I n st ru ct io n Fo rm a t s a n d E n co d in g s fo r 6 4 -B it Mo d e (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
B-34 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
qwordregister by CL 0100 1R0B 0000 1111 : 1010 1101 : 11 qwordreg2
qwordreg1
memory by CL 0000 1111 : 1010 1101 : mod reg r/m
memory64 by CL 0100 1RXB 0000 1111 : 1010 1101 : mod qwordreg r/m
S I D T S t o re I n t e rru p t D e scrip t o r Ta b le R e g ist e r 0000 1111 : 0000 0001 : mod
A
001 r/m
S LD T S to re Lo ca l D e scrip to r Ta b le R e g ist e r
to register 0100 000B 0000 1111 : 0000 0000 : 11 000 reg
to memory 0100 00XB 0000 1111 : 0000 0000 : mod 000 r/m
S MS W S t o re Ma ch in e S t a t u s Wo rd
to register 0100 000B 0000 1111 : 0000 0001 : 11 100 reg
to memory 0100 00XB 0000 1111 : 0000 0001 : mod 100 r/m
S T C S e t C a rry F la g 1111 1001
S T D S e t D ire ct io n F la g 1111 1101
S T I S e t I n t e rru p t F la g 1111 1011
S T O S /S T O S B /S T O S W/S T O S D /S T O S Q S to re S t rin g D a t a
store string data 1010 101w
store string data (RAX at address RDI) 0100 1000 1010 1011
S T R S to re Ta sk R e g ist e r
to register 0100 000B 0000 1111 : 0000 0000 : 11 001 reg
to memory 0100 00XB 0000 1111 : 0000 0000 : mod 001 r/m
S U B I n t e g e r S u b t ra ct io n
register1 from register2 0100 0R0B 0010 100w : 11 reg1 reg2
byteregister1 from byteregister2 0100 0R0B 0010 1000 : 11 bytereg1 bytereg2
qwordregister1 from qwordregister2 0100 1R0B 0010 1000 : 11 qwordreg1 qwordreg2
register2 from register1 0100 0R0B 0010 101w : 11 reg1 reg2
byteregister2 from byteregister1 0100 0R0B 0010 1010 : 11 bytereg1 bytereg2
qwordregister2 from qwordregister1 0100 1R0B 0010 1011 : 11 qwordreg1 qwordreg2
memory fromregister 0100 00XB 0010 101w : mod reg r/m
memory8 from byteregister 0100 0RXB 0010 1010 : mod bytereg r/m
memory64 from qwordregister 0100 1RXB 0010 1011 : mod qwordreg r/m
register from memory 0100 0RXB 0010 100w : mod reg r/m
byteregister from memory8 0100 0RXB 0010 1000 : mod bytereg r/m
qwordregister from memory8 0100 1RXB 0010 1000 : mod qwordreg r/m
immediate fromregister 0100 000B 1000 00sw : 11 101 reg : imm
immediate8 from byteregister 0100 000B 1000 0000 : 11 101 bytereg : imm8
immediate32 from qwordregister 0100 100B 1000 0001 : 11 101 qwordreg : imm32
immediate8 from qwordregister 0100 100B 1000 0011 : 11 101 qwordreg : imm8
immediate fromAL, AX, or EAX 0100 000B 0010 110w : imm
immediate32 from RAX 0100 1000 0010 1101 : imm32
Ta b le B -1 5 . G e n e ra l P u rp o se I n st ru ct io n Fo rm a t s a n d E n co d in g s fo r 6 4 -B it Mo d e (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-35
INSTRUCTION FORMATS AND ENCODINGS
immediate frommemory 0100 00XB 1000 00sw : mod 101 r/m: imm
immediate8 from memory8 0100 00XB 1000 0000 : mod 101 r/m : imm8
immediate32 frommemory64 0100 10XB 1000 0001 : mod 101 r/m : imm32
immediate8 from memory64 0100 10XB 1000 0011 : mod 101 r/m : imm8
S WAP G S S w a p G S B a se R e g ist e r
Exchanges the current GS base register value for value in MSR
C0000102H
0000 1111 0000 0001 1111 1000
S YS C ALL Fa st S y st e m C a ll
fast call to privilege level 0 system procedures 0000 1111 0000 0101
S YS R E T R e t u rn F ro m Fa st S y st e m C a ll
return from fast systemcall 0000 1111 0000 0111
T E S T Lo g ica l C o m p a re
register1 and register2 0100 0R0B 1000 010w : 11 reg1 reg2
byteregister1 and byteregister2 0100 0R0B 1000 0100 : 11 bytereg1 bytereg2
qwordregister1 and qwordregister2 0100 1R0B 1000 0101 : 11 qwordreg1 qwordreg2
memory and register 0100 0R0B 1000 010w : mod reg r/m
memory8 and byteregister 0100 0RXB 1000 0100 : mod bytereg r/m
memory64 and qwordregister 0100 1RXB 1000 0101 : mod qwordreg r/m
immediate and register 0100 000B 1111 011w : 11 000 reg : imm
immediate8 and byteregister 0100 000B 1111 0110 : 11 000 bytereg : imm8
immediate32 and qwordregister 0100 100B 1111 0111 : 11 000 bytereg : imm8
immediate and AL, AX, or EAX 0100 000B 1010 100w : imm
immediate32 and RAX 0100 1000 1010 1001 : imm32
immediate and memory 0100 00XB 1111 011w : mod 000 r/m : imm
immediate8 and memory8 0100 1000 1111 0110 : mod 000 r/m : imm8
immediate32 and memory64 0100 1000 1111 0111 : mod 000 r/m : imm32
UD2 Undefined instruction 0000 FFFF : 0000 1011
VE R R Ve rif y a S e g m e n t fo r R e a d in g
register 0100 000B 0000 1111 : 0000 0000 : 11 100 reg
memory 0100 00XB 0000 1111 : 0000 0000 : mod 100 r/m
VE R W Ve rif y a S e g m e n t fo r Writ in g
register 0100 000B 0000 1111 : 0000 0000 : 11 101 reg
memory 0100 00XB 0000 1111 : 0000 0000 : mod 101 r/m
WAI T Wa it 1001 1011
WB I N VD Writ e b a ck a n d I n v a lid a t e D a t a C a ch e 0000 1111 : 0000 1001
WR MS R Writ e to Mo d e l-S p e cific R e g ist e r
write EDX:EAX to ECX specified MSR 0000 1111 : 0011 0000
write RDX[31:0]:RAX[31:0] to RCX specified MSR 0100 1000 0000 1111 : 0011 0000
Ta b le B -1 5 . G e n e ra l P u rp o se I n st ru ct io n Fo rm a t s a n d E n co d in g s fo r 6 4 -B it Mo d e (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
B-36 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
XAD D E x ch a n g e a n d Ad d
register1, register2 0100 0R0B 0000 1111 : 1100 000w : 11 reg2 reg1
byteregister1, byteregister2 0100 0R0B 0000 1111 : 1100 0000 : 11 bytereg2 bytereg1
qwordregister1, qwordregister2 0100 0R0B 0000 1111 : 1100 0001 : 11 qwordreg2
qwordreg1
memory, register 0100 0RXB 0000 1111 : 1100 000w : mod reg r/m
memory8, bytereg 0100 1RXB 0000 1111 : 1100 0000 : mod bytereg r/m
memory64, qwordreg 0100 1RXB 0000 1111 : 1100 0001 : mod qwordreg r/m
XC H G E x ch a n g e R e g ist e r/Me m o ry w it h R e g ist e r
register1 with register2 1000 011w : 11 reg1 reg2
AX or EAX with register 1001 0 reg
memory with register 1000 011w : mod reg r/m
XLAT/XLAT B Ta b le Lo o k -u p T ra n sla t io n
AL to byte DS:[(E)BX +unsigned AL] 1101 0111
AL to byte DS:[RBX +unsigned AL] 0100 1000 1101 0111
XO R Lo g ica l E x clu siv e O R
register1 to register2 0100 0RXB 0011 000w : 11 reg1 reg2
byteregister1 to byteregister2 0100 0R0B 0011 0000 : 11 bytereg1 bytereg2
qwordregister1 to qwordregister2 0100 1R0B 0011 0001 : 11 qwordreg1 qwordreg2
register2 to register1 0100 0R0B 0011 001w : 11 reg1 reg2
byteregister2 to byteregister1 0100 0R0B 0011 0010 : 11 bytereg1 bytereg2
qwordregister2 to qwordregister1 0100 1R0B 0011 0011 : 11 qwordreg1 qwordreg2
memory to register 0100 0RXB 0011 001w : mod reg r/m
memory8 to byteregister 0100 0RXB 0011 0010 : mod bytereg r/m
memory64 to qwordregister 0100 1RXB 0011 0011 : mod qwordreg r/m
register to memory 0100 0RXB 0011 000w : mod reg r/m
byteregister to memory8 0100 0RXB 0011 0000 : mod bytereg r/m
qwordregister to memory8 0100 1RXB 0011 0001 : mod qwordreg r/m
immediate to register 0100 000B 1000 00sw : 11 110 reg : imm
immediate8 to byteregister 0100 000B 1000 0000 : 11 110 bytereg : imm8
immediate32 to qwordregister 0100 100B 1000 0001 : 11 110 qwordreg : imm32
immediate8 to qwordregister 0100 100B 1000 0011 : 11 110 qwordreg : imm8
immediate to AL, AX, or EAX 0100 000B 0011 010w : imm
immediate to RAX 0100 1000 0011 0101 : immediate data
immediate to memory 0100 00XB 1000 00sw : mod 110 r/m: imm
immediate8 to memory8 0100 00XB 1000 0000 : mod 110 r/m : imm8
immediate32 to memory64 0100 10XB 1000 0001 : mod 110 r/m : imm32
immediate8 to memory64 0100 10XB 1000 0011 : mod 110 r/m : imm8
Ta b le B -1 5 . G e n e ra l P u rp o se I n st ru ct io n Fo rm a t s a n d E n co d in g s fo r 6 4 -B it Mo d e (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-37
INSTRUCTION FORMATS AND ENCODINGS
B .3 P E N T I U M

P R O C E S S O R FAMI LY I N S T R U C T I O N FO R MAT S AN D E N C O D I N G S
The following table shows formats and encodings introduced by the Pentium processor family.
B .4 6 4 -B I T MO D E I N S T R U C T I O N E N C O D I N G S F O R S I MD I N S T R U C T I O N
E XT E N S I O N S
Non-64-bit mode instruction encodings for MMX Technology, SSE, SSE2, and SSE3 are covered by applying these
rules to Table B-19 through Table B-31. Table B-34 lists special encodings (instructions that do not follow the rules
below).
1. The REX instruction has no effect:
On immediates
If both operands are MMX registers
On MMX registers and XMM registers
If an MMX register is encoded in the reg field of the ModR/M byte
2. If a memory operand is encoded in the r/m field of the ModR/M byte, REX.X and REX.B may be used for
encoding the memory operand.
P re f ix B y t e s
address size 0110 0111
LOCK 1111 0000
operand size 0110 0110
CS segment override 0010 1110
DS segment override 0011 1110
ES segment override 0010 0110
FS segment override 0110 0100
GS segment override 0110 0101
SS segment override 0011 0110
Ta b le B -1 6 . P e n t iu m P ro ce sso r Fa m ily I n st ru ct io n Fo rm a t s a n d E n co d in g s, N o n -6 4 -B it Mo d e s
I n st ru ct io n a n d Fo rm a t E n co d in g
C MP XC H G 8 B C o m p a re a n d E x ch a n g e 8 B y t e s
EDX:EAX with memory64 0000 1111 : 1100 0111 : mod 001 r/m
Ta b le B -1 7. P e n t iu m P ro ce sso r Fa m ily I n st ru ct io n Fo rm a t s a n d E n co d in g s, 6 4 -B it Mo d e
I n st ru ct io n a n d Fo rm a t E n co d in g
C MP XC H G 8 B /C MP XC H G 1 6 B C o m p a re a n d E x ch a n g e B y t e s
EDX:EAX with memory64 0000 1111 : 1100 0111 : mod 001 r/m
RDX:RAX with memory128 0100 10XB 0000 1111 : 1100 0111 : mod 001 r/m
Ta b le B -1 5 . G e n e ra l P u rp o se I n st ru ct io n Fo rm a t s a n d E n co d in g s fo r 6 4 -B it Mo d e (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
B-38 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
3. If a general-purpose register is encoded in the r/m field of the ModR/M byte, REX.B may be used for register
encoding and REX.W may be used to encode the 64-bit operand size.
4. If an XMM register operand is encoded in the reg field of the ModR/M byte, REX.R may be used for register
encoding. If an XMM register operand is encoded in the r/m field of the ModR/M byte, REX.B may be used for
register encoding.
B .5 MMX I N S T R U C T I O N FO R MAT S AN D E N C O D I N G S
MMX instructions, except the EMMS instruction, use a format similar to the 2-byte Intel Architecture integer
format. Details of subfield encodings within these formats are presented below.
B .5 .1 G ra n u la rit y F ie ld (g g )
The granularity field (gg) indicates the size of the packed operands that the instruction is operating on. When this
field is used, it is located in bits 1 and 0 of the second opcode byte. Table B-18 shows the encoding of the gg field.
B .5 .2 MMX Te ch n o lo g y a n d G e n e ra l-P u rp o se R e g ist e r F ie ld s (m m x re g a n d re g )
When MMX technology registers (mmxreg) are used as operands, they are encoded in the ModR/M byte in the reg
field (bits 5, 4, and 3) and/or the R/M field (bits 2, 1, and 0).
If an MMX instruction operates on a general-purpose register (reg), the register is encoded in the R/M field of the
ModR/M byte.
B .5 .3 MMX I n st ru ct io n Fo rm a t s a n d E n co d in g s Ta b le
Table B-19 shows the formats and encodings of the integer instructions.
Ta b le B -1 8 . E n co d in g o f G ra n u la rit y o f D a t a F ie ld (g g )
g g G ra n u la rit y o f D a t a
00 Packed Bytes
01 Packed Words
10 Packed Doublewords
11 Quadword
Ta b le B -1 9 . MMX I n st ru ct io n Fo rm a t s a n d E n co d in g s
I n st ru ct io n a n d Fo rm a t E n co d in g
E MMS E m p t y MMX t e ch n o lo g y st a t e 0000 1111:01110111
MO VD Mo v e d o u b le w o rd
reg to mmxreg 0000 1111:0110 1110: 11 mmxreg reg
reg frommmxreg 0000 1111:0111 1110: 11 mmxreg reg
memto mmxreg 0000 1111:0110 1110: mod mmxreg r/m
memfrom mmxreg 0000 1111:0111 1110: mod mmxreg r/m
MO VQ Mo v e q u a d w o rd
mmxreg2 to mmxreg1 0000 1111:0110 1111: 11 mmxreg1 mmxreg2
mmxreg2 from mmxreg1 0000 1111:0111 1111: 11 mmxreg1 mmxreg2
memto mmxreg 0000 1111:0110 1111: mod mmxreg r/m
Vol. 2C B-39
INSTRUCTION FORMATS AND ENCODINGS
memfrommmxreg 0000 1111:0111 1111: mod mmxreg r/m
P AC K S S D W
1
P a ck d w o rd to w o rd d a t a (sig n e d w it h
sa t u ra t io n )
mmxreg2 to mmxreg1 0000 1111:0110 1011: 11 mmxreg1 mmxreg2
memory to mmxreg 0000 1111:0110 1011: mod mmxreg r/m
P AC K S S WB
1
P a ck w o rd to b y t e d a t a (sig n e d w it h
sa t u ra t io n )
mmxreg2 to mmxreg1 0000 1111:0110 0011: 11 mmxreg1 mmxreg2
memory to mmxreg 0000 1111:0110 0011: mod mmxreg r/m
P AC K U S WB
1
P a ck w o rd to b y t e d a t a (u n sig n e d w it h
sa t u ra t io n )
mmxreg2 to mmxreg1 0000 1111:0110 0111: 11 mmxreg1 mmxreg2
memory to mmxreg 0000 1111:0110 0111: mod mmxreg r/m
P AD D Ad d w it h w ra p -a ro u n d
mmxreg2 to mmxreg1 0000 1111: 1111 11gg: 11 mmxreg1 mmxreg2
memory to mmxreg 0000 1111: 1111 11gg: mod mmxreg r/m
P AD D S Ad d sig n e d w it h sa t u ra t io n
mmxreg2 to mmxreg1 0000 1111: 1110 11gg: 11 mmxreg1 mmxreg2
memory to mmxreg 0000 1111: 1110 11gg: mod mmxreg r/m
P AD D U S Ad d u n sig n e d w it h sa t u ra t io n
mmxreg2 to mmxreg1 0000 1111: 1101 11gg: 11 mmxreg1 mmxreg2
memory to mmxreg 0000 1111: 1101 11gg: mod mmxreg r/m
P AN D B it w ise An d
mmxreg2 to mmxreg1 0000 1111:1101 1011: 11 mmxreg1 mmxreg2
memory to mmxreg 0000 1111:1101 1011: mod mmxreg r/m
P AN D N B it w ise An d N o t
mmxreg2 to mmxreg1 0000 1111:1101 1111: 11 mmxreg1 mmxreg2
memory to mmxreg 0000 1111:1101 1111: mod mmxreg r/m
P C MP E Q P a cke d co m p a re fo r e q u a lit y
mmxreg1 with mmxreg2 0000 1111:0111 01gg: 11 mmxreg1 mmxreg2
mmxreg with memory 0000 1111:0111 01gg: mod mmxreg r/m
P C MP G T P a cke d co m p a re g re a t e r (sig n e d )
mmxreg1 with mmxreg2 0000 1111:0110 01gg: 11 mmxreg1 mmxreg2
mmxreg with memory 0000 1111:0110 01gg: mod mmxreg r/m
P MAD D WD P a cke d m u lt ip ly a d d
mmxreg2 to mmxreg1 0000 1111:1111 0101: 11 mmxreg1 mmxreg2
memory to mmxreg 0000 1111:1111 0101: mod mmxreg r/m
P MU LH U W P a cke d m u lt ip lica t io n , sto re h ig h w o rd
(u n sig n e d )
mmxreg2 to mmxreg1 0000 1111: 1110 0100: 11 mmxreg1 mmxreg2
Ta b le B -1 9 . MMX I n st ru ct io n Fo rm a t s a n d E n co d in g s (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
B-40 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
memory to mmxreg 0000 1111: 1110 0100: mod mmxreg r/m
P MU LH W P a cke d m u lt ip lica t io n , st o re h ig h w o rd
mmxreg2 to mmxreg1 0000 1111:1110 0101: 11 mmxreg1 mmxreg2
memory to mmxreg 0000 1111:1110 0101: mod mmxreg r/m
P MU LLW P a cke d m u lt ip lica t io n , sto re lo w w o rd
mmxreg2 to mmxreg1 0000 1111:1101 0101: 11 mmxreg1 mmxreg2
memory to mmxreg 0000 1111:1101 0101: mod mmxreg r/m
P O R B it w ise O r
mmxreg2 to mmxreg1 0000 1111:1110 1011: 11 mmxreg1 mmxreg2
memory to mmxreg 0000 1111:1110 1011: mod mmxreg r/m
P S LL
2
P a cke d sh ift le ft lo g ica l
mmxreg1 by mmxreg2 0000 1111:1111 00gg: 11 mmxreg1 mmxreg2
mmxreg by memory 0000 1111:1111 00gg: mod mmxreg r/m
mmxreg by immediate 0000 1111:0111 00gg: 11 110 mmxreg: imm8 data
P S R A
2
P a cke d sh if t rig h t a rit h m e t ic
mmxreg1 by mmxreg2 0000 1111:1110 00gg: 11 mmxreg1 mmxreg2
mmxreg by memory 0000 1111:1110 00gg: mod mmxreg r/m
mmxreg by immediate 0000 1111:0111 00gg: 11 100 mmxreg: imm8 data
P S R L
2
P a cke d sh ift rig h t lo g ica l
mmxreg1 by mmxreg2 0000 1111:1101 00gg: 11 mmxreg1 mmxreg2
mmxreg by memory 0000 1111:1101 00gg: mod mmxreg r/m
mmxreg by immediate 0000 1111:0111 00gg: 11 010 mmxreg: imm8 data
P S U B S u b t ra ct w it h w ra p -a ro u n d
mmxreg2 from mmxreg1 0000 1111:1111 10gg: 11 mmxreg1 mmxreg2
memory frommmxreg 0000 1111:1111 10gg: mod mmxreg r/m
P S U B S S u b t ra ct sig n e d w it h sa t u ra t io n
mmxreg2 from mmxreg1 0000 1111:1110 10gg: 11 mmxreg1 mmxreg2
memory frommmxreg 0000 1111:1110 10gg: mod mmxreg r/m
P S U B U S S u b t ra ct u n sig n e d w it h sa t u ra t io n
mmxreg2 from mmxreg1 0000 1111:1101 10gg: 11 mmxreg1 mmxreg2
memory frommmxreg 0000 1111:1101 10gg: mod mmxreg r/m
P U N P C K H U n p a ck h ig h d a t a to n e x t la rg e r t y p e
mmxreg2 to mmxreg1 0000 1111:0110 10gg: 11 mmxreg1 mmxreg2
memory to mmxreg 0000 1111:0110 10gg: mod mmxreg r/m
P U N P C K L U n p a ck lo w d a t a to n e x t la rg e r t y p e
mmxreg2 to mmxreg1 0000 1111:0110 00gg: 11 mmxreg1 mmxreg2
memory to mmxreg 0000 1111:0110 00gg: mod mmxreg r/m
Ta b le B -1 9 . MMX I n st ru ct io n Fo rm a t s a n d E n co d in g s (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-41
INSTRUCTION FORMATS AND ENCODINGS
B .6 P R O C E S S O R E XT E N D E D S T AT E I N S T R U C T I O N FO R MAT S AN D E N C O D I N G S
Table B-20 shows the formats and encodings for several instructions that relate to processor extended state
management.

B .7 P 6 FAMI LY I N S T R U C T I O N FO R MAT S AN D E N C O D I N G S
Table B-20 shows the formats and encodings for several instructions that were introduced into the IA-32 architec-
ture in the P6 family processors.

P XO R B it w ise Xo r
mmxreg2 to mmxreg1 0000 1111:1110 1111: 11 mmxreg1 mmxreg2
memory to mmxreg 0000 1111:1110 1111: mod mmxreg r/m
N O T E S :
1. The pack instructions perform saturation from signed packed data of one type to signed or unsigned data of the next smaller type.
2. The format of the shift instructions has one additional format to support shifting by immediate shift-counts. The shift operations
are not supported equally for all data types.
Ta b le B -2 0 . Fo rm a t s a n d E n co d in g s o f XS AVE /XR S T O R /XG E T B V/XS E T B V I n st ru ct io n s
I n st ru ct io n a n d Fo rm a t E n co d in g
XG E T B V G e t Va lu e o f E x t e n d e d C o n t ro l R e g ist e r 0000 1111:0000 0001: 1101 0000
XR S T O R R e st o re P ro ce sso r E x t e n d e d S t a t e s
1
0000 1111:1010 1110: mod
A
101 r/m
XS AVE S a v e P ro ce sso r E x t e n d e d S t a t e s
1
0000 1111:1010 1110: mod
A
100 r/m
XS E T B V S e t E x t e n d e d C o n t ro l R e g ist e r 0000 1111:0000 0001: 1101 0001
N O T E S :
1. For XSAVE and XRSTOR, mod =11 is reserved.
Ta b le B -2 1 . Fo rm a t s a n d E n co d in g s o f P 6 Fa m ily I n st ru ct io n s
I n st ru ct io n a n d Fo rm a t E n co d in g
C MO Vcc C o n d it io n a l Mo v e
register2 to register1 0000 1111: 0100 tttn : 11 reg1 reg2
memory to register 0000 1111 : 0100 tttn : mod reg r/m
F C MO Vcc C o n d it io n a l Mo v e o n E F LAG R e g ist e r C o n d it io n
C o d e s
move if below (B) 11011 010 : 11 000 ST(i)
move if equal (E) 11011 010 : 11 001 ST(i)
move if below or equal (BE) 11011 010 : 11 010 ST(i)
move if unordered (U) 11011 010 : 11 011 ST(i)
move if not below (NB) 11011 011 : 11 000 ST(i)
move if not equal (NE) 11011 011 : 11 001 ST(i)
Ta b le B -1 9 . MMX I n st ru ct io n Fo rm a t s a n d E n co d in g s (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
B-42 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
B .8 S S E I N S T R U C T I O N FO R MAT S AN D E N C O D I N G S
The SSE instructions use the ModR/M format and are preceded by the 0FH prefix byte. In general, operations are
not duplicated to provide two directions (that is, separate load and store variants).
The following three tables (Tables B-22, B-23, and B-24) show the formats and encodings for the SSE SIMD
floating-point, SIMD integer, and cacheability and memory ordering instructions, respectively. Some SSE instruc-
tions require a mandatory prefix (66H, F2H, F3H) as part of the two-byte opcode. Mandatory prefixes are included
in the tables.
move if not below or equal (NBE) 11011 011 : 11 010 ST(i)
move if not unordered (NU) 11011 011 : 11 011 ST(i)
FCOMI Compare Real and Set EFLAGS 11011 011 : 11 110 ST(i)
F XR S T O R R e sto re x 8 7 F P U , MMX, S S E , a n d S S E 2 S t a t e
1
0000 1111:1010 1110: mod
A
001 r/m
F XS AVE S a v e x 8 7 F P U , MMX, S S E , a n d S S E 2 S t a t e
1
0000 1111:1010 1110: mod
A
000 r/m
S YS E N T E R Fa st S y st e m C a ll 0000 1111:0011 0100
S YS E XI T Fa st R e tu rn f ro m Fa st S y st e m C a ll 0000 1111:0011 0101
N O T E S :
1. For FXSAVE and FXRSTOR, mod =11 is reserved.
Ta b le B -2 2 . Fo rm a t s a n d E n co d in g s o f S S E F lo a t in g -P o in t I n st ru ct io n s
I n st ru ct io n a n d Fo rm a t E n co d in g
AD D P S Ad d P a cke d S in g le -P re cisio n F lo a t in g -P o in t
Va lu e s
xmmreg2 to xmmreg1 0000 1111:0101 1000:11 xmmreg1 xmmreg2
memto xmmreg 0000 1111:0101 1000: mod xmmreg r/m
AD D S S Ad d S ca la r S in g le -P re cisio n F lo a t in g -P o in t
Va lu e s
xmmreg2 to xmmreg1 1111 0011:0000 1111:01011000:11 xmmreg1 xmmreg2
memto xmmreg 1111 0011:0000 1111:01011000: mod xmmreg r/m
AN D N P S B it w ise Lo g ica l AN D N O T o f P a cke d S in g le -
P re cisio n F lo a t in g -P o in t Va lu e s
xmmreg2 to xmmreg1 0000 1111:0101 0101:11 xmmreg1 xmmreg2
memto xmmreg 0000 1111:0101 0101: mod xmmreg r/m
AN D P S B it w ise Lo g ica l AN D o f P a cke d S in g le -
P re cisio n F lo a t in g -P o in t Va lu e s
xmmreg2 to xmmreg1 0000 1111:0101 0100:11 xmmreg1 xmmreg2
memto xmmreg 0000 1111:0101 0100: mod xmmreg r/m
C MP P S C o m p a re P a cke d S in g le -P re cisio n F lo a t in g -
P o in t Va lu e s
xmmreg2 to xmmreg1, imm8 0000 1111:1100 0010:11 xmmreg1 xmmreg2: imm8
memto xmmreg, imm8 0000 1111:1100 0010: mod xmmreg r/m: imm8
C MP S S C o m p a re S ca la r S in g le -P re cisio n F lo a t in g -
P o in t Va lu e s
Ta b le B -2 1 . Fo rm a t s a n d E n co d in g s o f P 6 Fa m ily I n st ru ct io n s (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-43
INSTRUCTION FORMATS AND ENCODINGS
xmmreg2 to xmmreg1, imm8 1111 0011:0000 1111:1100 0010:11 xmmreg1 xmmreg2: imm8
memto xmmreg, imm8 1111 0011:0000 1111:1100 0010: mod xmmreg r/m: imm8
C O MI S S C o m p a re S ca la r O rd e re d S in g le -P re cisio n
F lo a t in g -P o in t Va lu e s a n d S e t E F LAG S
xmmreg2 to xmmreg1 0000 1111:0010 1111:11 xmmreg1 xmmreg2
memto xmmreg 0000 1111:0010 1111: mod xmmreg r/m
C VT P I 2 P S C o n v e rt P a cke d D o u b le w o rd I n t e g e rs to
P a cke d S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
mmreg to xmmreg 0000 1111:0010 1010:11 xmmreg1 mmreg1
memto xmmreg 0000 1111:0010 1010: mod xmmreg r/m
C VT P S 2 P I C o n v e rt P a cke d S in g le -P re cisio n F lo a t in g -
P o in t Va lu e s to P a cke d D o u b le w o rd I n t e g e rs
xmmreg to mmreg 0000 1111:0010 1101:11 mmreg1 xmmreg1
memto mmreg 0000 1111:0010 1101: mod mmreg r/m
C VT S I 2 S S C o n v e rt D o u b le w o rd I n t e g e r t o S ca la r
S in g le -P re cisio n F lo a t in g -P o in t Va lu e
r32 to xmmreg1 1111 0011:0000 1111:00101010:11 xmmreg1 r32
memto xmmreg 1111 0011:0000 1111:00101010: mod xmmreg r/m
C VT S S 2 S I C o n v e rt S ca la r S in g le -P re cisio n F lo a t in g -
P o in t Va lu e to D o u b le w o rd I n t e g e r
xmmreg to r32 1111 0011:0000 1111:0010 1101:11 r32 xmmreg
memto r32 1111 0011:0000 1111:0010 1101: mod r32 r/m
C VT T P S 2 P I C o n v e rt w it h T ru n ca t io n P a cke d S in g le -
P re cisio n F lo a t in g -P o in t Va lu e s t o P a cke d D o u b le w o rd
I n t e g e rs
xmmreg to mmreg 0000 1111:0010 1100:11 mmreg1 xmmreg1
memto mmreg 0000 1111:0010 1100: mod mmreg r/m
C VT T S S 2 S I C o n v e rt w it h T ru n ca t io n S ca la r S in g le -
P re cisio n F lo a t in g -P o in t Va lu e t o D o u b le w o rd I n t e g e r
xmmreg to r32 1111 0011:0000 1111:0010 1100:11 r32 xmmreg1
memto r32 1111 0011:0000 1111:0010 1100: mod r32 r/m
D I VP S D iv id e P a cke d S in g le -P re cisio n F lo a t in g -P o in t
Va lu e s
xmmreg2 to xmmreg1 0000 1111:0101 1110:11 xmmreg1 xmmreg2
memto xmmreg 0000 1111:0101 1110: mod xmmreg r/m
D I VS S D iv id e S ca la r S in g le -P re cisio n F lo a t in g -P o in t
Va lu e s
xmmreg2 to xmmreg1 1111 0011:0000 1111:0101 1110:11 xmmreg1 xmmreg2
memto xmmreg 1111 0011:0000 1111:0101 1110: mod xmmreg r/m
LD MXC S R Lo a d MXC S R R e g ist e r S t a t e
m32 to MXCSR 0000 1111:1010 1110:mod
A
010 mem
MAXP S R e t u rn Ma x im u m P a cke d S in g le -P re cisio n
F lo a t in g -P o in t Va lu e s
Ta b le B -2 2 . Fo rm a t s a n d E n co d in g s o f S S E F lo a t in g -P o in t I n st ru ct io n s (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
B-44 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
xmmreg2 to xmmreg1 0000 1111:0101 1111:11 xmmreg1 xmmreg2
memto xmmreg 0000 1111:0101 1111: mod xmmreg r/m
MAXS S R e t u rn Ma x im u m S ca la r D o u b le -P re cisio n
F lo a t in g -P o in t Va lu e
xmmreg2 to xmmreg1 1111 0011:0000 1111:0101 1111:11 xmmreg1 xmmreg2
memto xmmreg 1111 0011:0000 1111:0101 1111: mod xmmreg r/m
MI N P S R e t u rn Min im u m P a cke d D o u b le -P re cisio n
F lo a t in g -P o in t
Va lu e s
xmmreg2 to xmmreg1 0000 1111:0101 1101:11 xmmreg1 xmmreg2
memto xmmreg 0000 1111:0101 1101: mod xmmreg r/m
MI N S S R e t u rn Min im u m S ca la r D o u b le -P re cisio n
F lo a t in g -P o in t Va lu e
xmmreg2 to xmmreg1 1111 0011:0000 1111:0101 1101:11 xmmreg1 xmmreg2
memto xmmreg 1111 0011:0000 1111:0101 1101: mod xmmreg r/m
MO VAP S Mo v e Alig n e d P a cke d
S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
xmmreg2 to xmmreg1 0000 1111:0010 1000:11 xmmreg2 xmmreg1
memto xmmreg1 0000 1111:0010 1000: mod xmmreg r/m
xmmreg1 to xmmreg2 0000 1111:0010 1001:11 xmmreg1 xmmreg2
xmmreg1 to mem 0000 1111:0010 1001: mod xmmreg r/m
MO VH LP S Mo v e P a cke d S in g le -P re cisio n F lo a t in g -
P o in t Va lu e s H ig h t o Lo w
xmmreg2 to xmmreg1 0000 1111:0001 0010:11 xmmreg1 xmmreg2
MO VH P S Mo v e H ig h P a cke d S in g le -P re cisio n
F lo a t in g -P o in t Va lu e s
memto xmmreg 0000 1111:0001 0110: mod xmmreg r/m
xmmreg to mem 0000 1111:0001 0111: mod xmmreg r/m
MO VLH P S Mo v e P a cke d S in g le -P re cisio n F lo a t in g -
P o in t Va lu e s Lo w t o H ig h
xmmreg2 to xmmreg1 0000 1111:00010110:11 xmmreg1 xmmreg2
MO VLP S Mo v e Lo w P a cke d S in g le -P re cisio n F lo a t in g -
P o in t Va lu e s
memto xmmreg 0000 1111:0001 0010: mod xmmreg r/m
xmmreg to mem 0000 1111:0001 0011: mod xmmreg r/m
MO VMS K P S E x t ra ct P a cke d S in g le -P re cisio n F lo a t in g -
P o in t S ig n Ma sk
xmmreg to r32 0000 1111:0101 0000:11 r32 xmmreg
MO VS S Mo v e S ca la r S in g le -P re cisio n F lo a t in g -P o in t
Va lu e s
xmmreg2 to xmmreg1 1111 0011:0000 1111:0001 0000:11 xmmreg2 xmmreg1
memto xmmreg1 1111 0011:0000 1111:0001 0000: mod xmmreg r/m
Ta b le B -2 2 . Fo rm a t s a n d E n co d in g s o f S S E F lo a t in g -P o in t I n st ru ct io n s (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-45
INSTRUCTION FORMATS AND ENCODINGS
xmmreg1 to xmmreg2 1111 0011:0000 1111:0001 0001:11 xmmreg1 xmmreg2
xmmreg1 to mem 1111 0011:0000 1111:0001 0001: mod xmmreg r/m
MO VU P S Mo v e U n a lig n e d P a cke d S in g le -P re cisio n
F lo a t in g -P o in t Va lu e s
xmmreg2 to xmmreg1 0000 1111:0001 0000:11 xmmreg2 xmmreg1
memto xmmreg1 0000 1111:0001 0000: mod xmmreg r/m
xmmreg1 to xmmreg2 0000 1111:0001 0001:11 xmmreg1 xmmreg2
xmmreg1 to mem 0000 1111:0001 0001: mod xmmreg r/m
MU LP S Mu lt ip ly P a cke d S in g le -P re cisio n F lo a t in g -
P o in t Va lu e s
xmmreg2 to xmmreg1 0000 1111:0101 1001:11 xmmreg1 xmmreg2
memto xmmreg 0000 1111:0101 1001: mod xmmreg r/m
MU LS S Mu lt ip ly S ca la r S in g le -P re cisio n F lo a t in g -P o in t
Va lu e s
xmmreg2 to xmmreg1 1111 0011:0000 1111:0101 1001:11 xmmreg1 xmmreg2
memto xmmreg 1111 0011:0000 1111:0101 1001: mod xmmreg r/m
O R P S B it w ise Lo g ica l O R o f S in g le -P re cisio n F lo a t in g -
P o in t Va lu e s
xmmreg2 to xmmreg1 0000 1111:0101 0110:11 xmmreg1 xmmreg2
memto xmmreg 0000 1111:0101 0110: mod xmmreg r/m
R C P P S C o m p u t e R e cip ro ca ls o f P a cke d S in g le -
P re cisio n F lo a t in g -P o in t Va lu e s
xmmreg2 to xmmreg1 0000 1111:0101 0011:11 xmmreg1 xmmreg2
memto xmmreg 0000 1111:0101 0011: mod xmmreg r/m
R C P S S C o m p u t e R e cip ro ca ls o f S ca la r S in g le -
P re cisio n F lo a t in g -P o in t Va lu e
xmmreg2 to xmmreg1 1111 0011:0000 1111:01010011:11 xmmreg1 xmmreg2
memto xmmreg 1111 0011:0000 1111:01010011: mod xmmreg r/m
R S Q R T P S C o m p u t e R e cip ro ca ls o f S q u a re R o o t s o f
P a cke d S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
xmmreg2 to xmmreg1 0000 1111:0101 0010:11 xmmreg1 xmmreg2
memto xmmreg 0000 1111:0101 0010: mode xmmreg r/m
R S Q R T S S C o m p u t e R e cip ro ca ls o f S q u a re R o o t s o f
S ca la r S in g le -P re cisio n F lo a t in g -P o in t Va lu e
xmmreg2 to xmmreg1 1111 0011:0000 1111:0101 0010:11 xmmreg1 xmmreg2
memto xmmreg 1111 0011:0000 1111:0101 0010: mod xmmreg r/m
S H U F P S S h u ffle P a cke d S in g le -P re cisio n F lo a t in g -
P o in t Va lu e s
xmmreg2 to xmmreg1, imm8 0000 1111:1100 0110:11 xmmreg1 xmmreg2: imm8
memto xmmreg, imm8 0000 1111:1100 0110: mod xmmreg r/m: imm8
S Q R T P S C o m p u t e S q u a re R o o t s o f P a cke d S in g le -
P re cisio n F lo a t in g -P o in t Va lu e s
Ta b le B -2 2 . Fo rm a t s a n d E n co d in g s o f S S E F lo a t in g -P o in t I n st ru ct io n s (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
B-46 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
xmmreg2 to xmmreg1 0000 1111:0101 0001:11 xmmreg1 xmmreg2
memto xmmreg 0000 1111:0101 0001: mod xmmreg r/m
S Q R T S S C o m p u t e S q u a re R o o t o f S ca la r S in g le -
P re cisio n F lo a t in g -P o in t Va lu e
xmmreg2 to xmmreg1 1111 0011:0000 1111:0101 0001:11 xmmreg1 xmmreg2
memto xmmreg 1111 0011:0000 1111:0101 0001:mod xmmreg r/m
S T MXC S R St o re MXC S R R e g ist e r S t a t e
MXCSR to mem 0000 1111:1010 1110:mod
A
011 mem
S U B P S S u b t ra ct P a cke d S in g le -P re cisio n F lo a t in g -
P o in t Va lu e s
xmmreg2 to xmmreg1 0000 1111:0101 1100:11 xmmreg1 xmmreg2
memto xmmreg 0000 1111:0101 1100:mod xmmreg r/m
S U B S S S u b t ra ct S ca la r S in g le -P re cisio n F lo a t in g -
P o in t Va lu e s
xmmreg2 to xmmreg1 1111 0011:0000 1111:0101 1100:11 xmmreg1 xmmreg2
memto xmmreg 1111 0011:0000 1111:0101 1100:mod xmmreg r/m
U C O MI S S U n o rd e re d C o m p a re S ca la r O rd e re d S in g le -
P re cisio n F lo a t in g -P o in t Va lu e s a n d S e t E F LAG S
xmmreg2 to xmmreg1 0000 1111:0010 1110:11 xmmreg1 xmmreg2
memto xmmreg 0000 1111:0010 1110: mod xmmreg r/m
U N P C K H P S U n p a ck a n d I n t e rle a v e H ig h P a cke d
S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
xmmreg2 to xmmreg1 0000 1111:0001 0101:11 xmmreg1 xmmreg2
memto xmmreg 0000 1111:0001 0101: mod xmmreg r/m
U N P C K LP S U n p a ck a n d I n t e rle a v e Lo w P a cke d
S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
xmmreg2 to xmmreg1 0000 1111:0001 0100:11 xmmreg1 xmmreg2
memto xmmreg 0000 1111:0001 0100: mod xmmreg r/m
XO R P S B it w ise Lo g ica l XO R o f S in g le -P re cisio n
F lo a t in g -P o in t Va lu e s
xmmreg2 to xmmreg1 0000 1111:0101 0111:11 xmmreg1 xmmreg2
memto xmmreg 0000 1111:0101 0111: mod xmmreg r/m
Ta b le B -2 2 . Fo rm a t s a n d E n co d in g s o f S S E F lo a t in g -P o in t I n st ru ct io n s (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-47
INSTRUCTION FORMATS AND ENCODINGS
Ta b le B -2 3 . F o rm a t s a n d E n co d in g s o f S S E I n t e g e r I n st ru ct io n s
I n st ru ct io n a n d Fo rm a t E n co d in g
P AVG B /P AVG WAv e ra g e P a cke d I n t e g e rs
mmreg2 to mmreg1 0000 1111:1110 0000:11 mmreg1 mmreg2
0000 1111:1110 0011:11 mmreg1 mmreg2
memto mmreg 0000 1111:1110 0000: mod mmreg r/m
0000 1111:1110 0011: mod mmreg r/m
P E XT R WE x t ra ct Wo rd
mmreg to reg32, imm8 0000 1111:1100 0101:11 r32 mmreg: imm8
P I N S R WI n se rt Wo rd
reg32 to mmreg, imm8 0000 1111:1100 0100:11 mmreg r32: imm8
m16 to mmreg, imm8 0000 1111:1100 0100: mod mmreg r/m: imm8
P MAXS WMa x im u m o f P a cke d S ig n e d Wo rd I n t e g e rs
mmreg2 to mmreg1 0000 1111:1110 1110:11 mmreg1 mmreg2
memto mmreg 0000 1111:1110 1110: mod mmreg r/m
P MAXU B Ma x im u m o f P a cke d U n sig n e d B y t e I n t e g e rs
mmreg2 to mmreg1 0000 1111:1101 1110:11 mmreg1 mmreg2
memto mmreg 0000 1111:1101 1110: mod mmreg r/m
P MI N S WMin im u m o f P a cke d S ig n e d Wo rd I n t e g e rs
mmreg2 to mmreg1 0000 1111:1110 1010:11 mmreg1 mmreg2
memto mmreg 0000 1111:1110 1010: mod mmreg r/m
P MI N U B Min im u m o f P a cke d U n sig n e d B y t e I n t e g e rs
mmreg2 to mmreg1 0000 1111:1101 1010:11 mmreg1 mmreg2
memto mmreg 0000 1111:1101 1010: mod mmreg r/m
P MO V MS K B Mo v e B y t e Ma sk To I n t e g e r
mmreg to reg32 0000 1111:1101 0111:11 r32 mmreg
P MU LH U WMu lt ip ly P a cke d U n sig n e d I n t e g e rs a n d S to re H ig h
R e su lt
mmreg2 to mmreg1 0000 1111:1110 0100:11 mmreg1 mmreg2
memto mmreg 0000 1111:1110 0100: mod mmreg r/m
P S AD B WC o m p u t e S u m o f Ab so lu t e D iffe re n ce s
mmreg2 to mmreg1 0000 1111:1111 0110:11 mmreg1 mmreg2
memto mmreg 0000 1111:1111 0110: mod mmreg r/m
P S H U F WS h u ffle P a cke d Wo rd s
mmreg2 to mmreg1, imm8 0000 1111:0111 0000:11 mmreg1 mmreg2: imm8
memto mmreg, imm8 0000 1111:0111 0000: mod mmreg r/m: imm8
B-48 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
B .9 S S E 2 I N S T R U C T I O N FO R MAT S AN D E N C O D I N G S
The SSE2 instructions use the ModR/M format and are preceded by the 0FH prefix byte. In general, operations are
not duplicated to provide two directions (that is, separate load and store variants).
The following three tables show the formats and encodings for the SSE2 SIMD floating-point, SIMD integer, and
cacheability instructions, respectively. Some SSE2 instructions require a mandatory prefix (66H, F2H, F3H) as part
of the two-byte opcode. These prefixes are included in the tables.
B .9 .1 G ra n u la rit y F ie ld (g g )
The granularity field (gg) indicates the size of the packed operands that the instruction is operating on. When this
field is used, it is located in bits 1 and 0 of the second opcode byte. Table B-25 shows the encoding of this gg field.
Ta b le B -2 4 . Fo rm a t a n d E n co d in g o f S S E C a ch e a b ilit y & Me m o ry O rd e rin g I n st ru ct io n s
I n st ru ct io n a n d Fo rm a t E n co d in g
MAS K MO VQ S t o re S e le ct e d B y t e s o f Q u a d w o rd
mmreg2 to mmreg1 0000 1111:1111 0111:11 mmreg1 mmreg2
MO VN T P S S t o re P a cke d S in g le -P re cisio n F lo a t in g -P o in t Va lu e s U sin g
N o n -Te m p o ra l H in t
xmmreg to mem 0000 1111:0010 1011: mod xmmreg r/m
MO VN T Q S to re Q u a d w o rd U sin g N o n -Te m p o ra l H in t
mmreg to mem 0000 1111:1110 0111: mod mmreg r/m
P R E F E T C H T 0 P re fe t ch Te m p o ra l t o All C a ch e Le v e ls 0000 1111:0001 1000:mod
A
001 mem
P R E F E T C H T 1 P re fe t ch Te m p o ra l t o F irst Le v e l C a ch e 0000 1111:0001 1000:mod
A
010 mem
P R E F E T C H T 2 P re fe t ch Te m p o ra l t o S e co n d Le v e l C a ch e 0000 1111:0001 1000:mod
A
011 mem
P R E F E T C H N TAP re fe t ch N o n -Te m p o ra l to All C a ch e Le v e ls 0000 1111:0001 1000:mod
A
000 mem
S F E N C E S t o re Fe n ce 0000 1111:1010 1110:11 111 000
Ta b le B -2 5 . E n co d in g o f G ra n u la rit y o f D a t a F ie ld (g g )
g g G ra n u la rit y o f D a t a
00 Packed Bytes
01 Packed Words
10 Packed Doublewords
11 Quadword
Vol. 2C B-49
INSTRUCTION FORMATS AND ENCODINGS
Ta b le B -2 6 . Fo rm a t s a n d E n co d in g s o f S S E 2 F lo a t in g -P o in t I n st ru ct io n s
I n st ru ct io n a n d Fo rm a t E n co d in g
AD D P D Ad d P a cke d D o u b le -P re cisio n F lo a t in g -
P o in t Va lu e s
xmmreg2 to xmmreg1 0110 0110:0000 1111:0101 1000:11 xmmreg1 xmmreg2
memto xmmreg 0110 0110:0000 1111:0101 1000: mod xmmreg r/m
AD D S D Ad d S ca la r D o u b le -P re cisio n F lo a t in g -P o in t
Va lu e s
xmmreg2 to xmmreg1 1111 0010:0000 1111:0101 1000:11 xmmreg1 xmmreg2
memto xmmreg 1111 0010:0000 1111:0101 1000: mod xmmreg r/m
AN D N P D B it w ise Lo g ica l AN D N O T o f P a cke d
D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s
xmmreg2 to xmmreg1 0110 0110:0000 1111:0101 0101:11 xmmreg1 xmmreg2
memto xmmreg 0110 0110:0000 1111:0101 0101: mod xmmreg r/m
AN D P D B it w ise Lo g ica l AN D o f P a cke d D o u b le -
P re cisio n F lo a t in g -P o in t Va lu e s
xmmreg2 to xmmreg1 0110 0110:0000 1111:0101 0100:11 xmmreg1 xmmreg2
memto xmmreg 0110 0110:0000 1111:0101 0100: mod xmmreg r/m
C MP P D C o m p a re P a cke d D o u b le -P re cisio n
F lo a t in g -P o in t Va lu e s
xmmreg2 to xmmreg1, imm8 0110 0110:0000 1111:1100 0010:11 xmmreg1 xmmreg2: imm8
memto xmmreg, imm8 0110 0110:0000 1111:1100 0010: mod xmmreg r/m: imm8
C MP S D C o m p a re S ca la r D o u b le -P re cisio n F lo a t in g -
P o in t Va lu e s
xmmreg2 to xmmreg1, imm8 1111 0010:0000 1111:1100 0010:11 xmmreg1 xmmreg2: imm8
memto xmmreg, imm8 11110 010:0000 1111:1100 0010: mod xmmreg r/m: imm8
C O MI S D C o m p a re S ca la r O rd e re d D o u b le -P re cisio n
F lo a t in g -P o in t Va lu e s a n d S e t E F LAG S
xmmreg2 to xmmreg1 0110 0110:0000 1111:0010 1111:11 xmmreg1 xmmreg2
memto xmmreg 0110 0110:0000 1111:0010 1111: mod xmmreg r/m
C VT P I 2 P D C o n v e rt P a cke d D o u b le w o rd I n t e g e rs to
P a cke d D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s
mmreg to xmmreg 0110 0110:0000 1111:0010 1010:11 xmmreg1 mmreg1
memto xmmreg 0110 0110:0000 1111:0010 1010: mod xmmreg r/m
C VT P D 2 P I C o n v e rt P a cke d D o u b le -P re cisio n
F lo a t in g -P o in t Va lu e s t o P a cke d D o u b le w o rd
I n t e g e rs
xmmreg to mmreg 0110 0110:0000 1111:0010 1101:11 mmreg1 xmmreg1
memto mmreg 0110 0110:0000 1111:0010 1101: mod mmreg r/m
C VT S I 2 S D C o n v e rt D o u b le w o rd I n t e g e r to S ca la r
D o u b le -P re cisio n F lo a t in g -P o in t Va lu e
r32 to xmmreg1 1111 0010:0000 1111:0010 1010:11 xmmreg r32
memto xmmreg 1111 0010:0000 1111:0010 1010: mod xmmreg r/m
C VT S D 2 S I C o n v e rt S ca la r D o u b le -P re cisio n
F lo a t in g -P o in t Va lu e to D o u b le w o rd I n t e g e r
B-50 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
xmmreg to r32 1111 0010:0000 1111:0010 1101:11 r32 xmmreg
memto r32 1111 0010:0000 1111:0010 1101: mod r32 r/m
C VT T P D 2 P I C o n v e rt w it h T ru n ca t io n P a cke d
D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s to P a cke d
D o u b le w o rd I n t e g e rs
xmmreg to mmreg 0110 0110:0000 1111:0010 1100:11 mmreg xmmreg
memto mmreg 0110 0110:0000 1111:0010 1100: mod mmreg r/m
C VT T S D 2 S I C o n v e rt w it h
T ru n ca t io n S ca la r D o u b le -P re cisio n F lo a t in g -P o in t
Va lu e to D o u b le w o rd I n t e g e r
xmmreg to r32 1111 0010:0000 1111:0010 1100:11 r32 xmmreg
memto r32 1111 0010:0000 1111:0010 1100: mod r32 r/m
C VT P D 2 P S C o v e rt P a cke d D o u b le -P re cisio n
F lo a t in g -P o in t Va lu e s to P a cke d S in g le -P re cisio n
F lo a t in g -P o in t Va lu e s
xmmreg2 to xmmreg1 0110 0110:0000 1111:0101 1010:11 xmmreg1 xmmreg2
memto xmmreg 0110 0110:0000 1111:0101 1010: mod xmmreg r/m
C VT P S 2 P D C o v e rt P a cke d S in g le -P re cisio n
F lo a t in g -P o in t Va lu e s to P a cke d D o u b le -P re cisio n
F lo a t in g -P o in t Va lu e s
xmmreg2 to xmmreg1 0000 1111:0101 1010:11 xmmreg1 xmmreg2
memto xmmreg 0000 1111:0101 1010: mod xmmreg r/m
C V T S D 2 S S C o v e rt S ca la r D o u b le -P re cisio n
F lo a t in g -P o in t Va lu e to S ca la r S in g le -P re cisio n
F lo a t in g -P o in t Va lu e
xmmreg2 to xmmreg1 1111 0010:0000 1111:0101 1010:11 xmmreg1 xmmreg2
memto xmmreg 1111 0010:0000 1111:0101 1010: mod xmmreg r/m
C V T S S 2 S D C o v e rt S ca la r S in g le -P re cisio n F lo a t in g -
P o in t Va lu e to S ca la r D o u b le -P re cisio n F lo a t in g -
P o in t Va lu e
xmmreg2 to xmmreg1 1111 0011:0000 1111:0101 1010:11 xmmreg1 xmmreg2
memto xmmreg 1111 0011:00001 111:0101 1010: mod xmmreg r/m
C VT P D 2 D Q C o n v e rt P a cke d D o u b le -P re cisio n
F lo a t in g -P o in t Va lu e s to P a cke d D o u b le w o rd
I n t e g e rs
xmmreg2 to xmmreg1 1111 0010:0000 1111:1110 0110:11 xmmreg1 xmmreg2
memto xmmreg 1111 0010:0000 1111:1110 0110: mod xmmreg r/m
C VT T P D 2 D Q C o n v e rt Wit h T ru n ca t io n P a cke d
D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s to P a cke d
D o u b le w o rd I n t e g e rs
xmmreg2 to xmmreg1 0110 0110:0000 1111:1110 0110:11 xmmreg1 xmmreg2
memto xmmreg 0110 0110:0000 1111:1110 0110: mod xmmreg r/m
Ta b le B -2 6 . Fo rm a t s a n d E n co d in g s o f S S E 2 F lo a t in g -P o in t I n st ru ct io n s (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-51
INSTRUCTION FORMATS AND ENCODINGS
C VT D Q 2 P D C o n v e rt P a cke d D o u b le w o rd I n t e g e rs
t o P a cke d S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
xmmreg2 to xmmreg1 1111 0011:0000 1111:1110 0110:11 xmmreg1 xmmreg2
memto xmmreg 1111 0011:0000 1111:1110 0110: mod xmmreg r/m
C VT P S 2 D Q C o n v e rt P a cke d S in g le -P re cisio n
F lo a t in g -P o in t Va lu e s t o P a cke d D o u b le w o rd
I n t e g e rs
xmmreg2 to xmmreg1 0110 0110:0000 1111:0101 1011:11 xmmreg1 xmmreg2
memto xmmreg 0110 0110:0000 1111:0101 1011: mod xmmreg r/m
C VT T P S 2 D Q C o n v e rt Wit h T ru n ca t io n P a cke d
S in g le -P re cisio n F lo a t in g -P o in t Va lu e s to P a cke d
D o u b le w o rd I n t e g e rs
xmmreg2 to xmmreg1 1111 0011:0000 1111:0101 1011:11 xmmreg1 xmmreg2
memto xmmreg 1111 0011:0000 1111:0101 1011: mod xmmreg r/m
C VT D Q 2 P S C o n v e rt P a cke d D o u b le w o rd I n t e g e rs
t o P a cke d D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s
xmmreg2 to xmmreg1 0000 1111:0101 1011:11 xmmreg1 xmmreg2
memto xmmreg 0000 1111:0101 1011: mod xmmreg r/m
D I VP D D iv id e P a cke d D o u b le -P re cisio n F lo a t in g -
P o in t Va lu e s
xmmreg2 to xmmreg1 0110 0110:0000 1111:0101 1110:11 xmmreg1 xmmreg2
memto xmmreg 0110 0110:0000 1111:0101 1110: mod xmmreg r/m
D I VS D D iv id e S ca la r D o u b le -P re cisio n F lo a t in g -
P o in t Va lu e s
xmmreg2 to xmmreg1 1111 0010:0000 1111:0101 1110:11 xmmreg1 xmmreg2
memto xmmreg 1111 0010:0000 1111:0101 1110: mod xmmreg r/m
MAXP D R e t u rn Ma x im u m P a cke d D o u b le -P re cisio n
F lo a t in g -P o in t Va lu e s
xmmreg2 to xmmreg1 0110 0110:0000 1111:0101 1111:11 xmmreg1 xmmreg2
memto xmmreg 0110 0110:0000 1111:0101 1111: mod xmmreg r/m
MAXS D R e t u rn Ma x im u m S ca la r D o u b le -P re cisio n
F lo a t in g -P o in t Va lu e
xmmreg2 to xmmreg1 1111 0010:0000 1111:0101 1111:11 xmmreg1 xmmreg2
memto xmmreg 1111 0010:0000 1111:0101 1111: mod xmmreg r/m
MI N P D R e t u rn Min im u m P a cke d D o u b le -P re cisio n
F lo a t in g -P o in t Va lu e s
xmmreg2 to xmmreg1 0110 0110:0000 1111:0101 1101:11 xmmreg1 xmmreg2
memto xmmreg 0110 0110:0000 1111:0101 1101: mod xmmreg r/m
MI N S D R e t u rn Min im u m S ca la r D o u b le -P re cisio n
F lo a t in g -P o in t Va lu e
xmmreg2 to xmmreg1 1111 0010:0000 1111:0101 1101:11 xmmreg1 xmmreg2
memto xmmreg 1111 0010:0000 1111:0101 1101: mod xmmreg r/m
Ta b le B -2 6 . Fo rm a t s a n d E n co d in g s o f S S E 2 F lo a t in g -P o in t I n st ru ct io n s (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
B-52 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
MO VAP D Mo v e Alig n e d P a cke d D o u b le -P re cisio n
F lo a t in g -P o in t Va lu e s
xmmreg1 to xmmreg2 0110 0110:0000 1111:0010 1001:11 xmmreg2 xmmreg1
xmmreg1 to mem 0110 0110:0000 1111:0010 1001: mod xmmreg r/m
xmmreg2 to xmmreg1 0110 0110:0000 1111:0010 1000:11 xmmreg1 xmmreg2
memto xmmreg1 0110 0110:0000 1111:0010 1000: mod xmmreg r/m
MO VH P D Mo v e H ig h P a cke d D o u b le -P re cisio n
F lo a t in g -P o in t Va lu e s
xmmreg to mem 0110 0110:0000 1111:0001 0111: mod xmmreg r/m
memto xmmreg 0110 0110:0000 1111:0001 0110: mod xmmreg r/m
MO VLP D Mo v e Lo w P a cke d D o u b le -P re cisio n
F lo a t in g -P o in t Va lu e s
xmmreg to mem 0110 0110:0000 1111:0001 0011: mod xmmreg r/m
memto xmmreg 0110 0110:0000 1111:0001 0010: mod xmmreg r/m
MO VMS K P D E x t ra ct P a cke d D o u b le -P re cisio n
F lo a t in g -P o in t S ig n Ma sk
xmmreg to r32 0110 0110:0000 1111:0101 0000:11 r32 xmmreg
MO VS D Mo v e S ca la r D o u b le -P re cisio n F lo a t in g -
P o in t Va lu e s
xmmreg1 to xmmreg2 1111 0010:0000 1111:0001 0001:11 xmmreg2 xmmreg1
xmmreg1 to mem 1111 0010:0000 1111:0001 0001: mod xmmreg r/m
xmmreg2 to xmmreg1 1111 0010:0000 1111:0001 0000:11 xmmreg1 xmmreg2
memto xmmreg1 1111 0010:0000 1111:0001 0000: mod xmmreg r/m
MO VU P D Mo v e U n a lig n e d P a cke d D o u b le -
P re cisio n F lo a t in g -P o in t Va lu e s
xmmreg2 to xmmreg1 0110 0110:0000 1111:0001 0001:11 xmmreg2 xmmreg1
memto xmmreg1 0110 0110:0000 1111:0001 0001: mod xmmreg r/m
xmmreg1 to xmmreg2 0110 0110:0000 1111:0001 0000:11 xmmreg1 xmmreg2
xmmreg1 to mem 0110 0110:0000 1111:0001 0000: mod xmmreg r/m
MU LP D Mu lt ip ly P a cke d D o u b le -P re cisio n F lo a t in g -
P o in t Va lu e s
xmmreg2 to xmmreg1 0110 0110:0000 1111:0101 1001:11 xmmreg1 xmmreg2
memto xmmreg 0110 0110:0000 1111:0101 1001: mod xmmreg r/m
MU LS D Mu lt ip ly S ca la r D o u b le -P re cisio n F lo a t in g -
P o in t Va lu e s
xmmreg2 to xmmreg1 1111 0010:00001111:01011001:11 xmmreg1 xmmreg2
memto xmmreg 1111 0010:00001111:01011001: mod xmmreg r/m
O R P D B it w ise Lo g ica l O R o f
D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s
xmmreg2 to xmmreg1 0110 0110:0000 1111:0101 0110:11 xmmreg1 xmmreg2
memto xmmreg 0110 0110:0000 1111:0101 0110: mod xmmreg r/m
Ta b le B -2 6 . Fo rm a t s a n d E n co d in g s o f S S E 2 F lo a t in g -P o in t I n st ru ct io n s (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-53
INSTRUCTION FORMATS AND ENCODINGS
S H U F P D S h u ffle P a cke d D o u b le -P re cisio n
F lo a t in g -P o in t Va lu e s
xmmreg2 to xmmreg1, imm8 0110 0110:0000 1111:1100 0110:11 xmmreg1 xmmreg2: imm8
memto xmmreg, imm8 0110 0110:0000 1111:1100 0110: mod xmmreg r/m: imm8
S Q R T P D C o m p u t e S q u a re R o o t s o f P a cke d D o u b le -
P re cisio n F lo a t in g -P o in t Va lu e s
xmmreg2 to xmmreg1 0110 0110:0000 1111:0101 0001:11 xmmreg1 xmmreg2
memto xmmreg 0110 0110:0000 1111:0101 0001: mod xmmreg r/m
S Q R T S D C o m p u t e S q u a re R o o t o f S ca la r D o u b le -
P re cisio n F lo a t in g -P o in t Va lu e
xmmreg2 to xmmreg1 1111 0010:0000 1111:0101 0001:11 xmmreg1 xmmreg2
memto xmmreg 1111 0010:0000 1111:0101 0001: mod xmmreg r/m
S U B P D S u b t ra ct P a cke d D o u b le -P re cisio n
F lo a t in g -P o in t Va lu e s
xmmreg2 to xmmreg1 0110 0110:0000 1111:0101 1100:11 xmmreg1 xmmreg2
memto xmmreg 0110 0110:0000 1111:0101 1100: mod xmmreg r/m
S U B S D S u b t ra ct S ca la r D o u b le -P re cisio n F lo a t in g -
P o in t Va lu e s
xmmreg2 to xmmreg1 1111 0010:0000 1111:0101 1100:11 xmmreg1 xmmreg2
memto xmmreg 1111 0010:0000 1111:0101 1100: mod xmmreg r/m
U C O MI S D U n o rd e re d C o m p a re S ca la r O rd e re d
D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s a n d S e t
E F LAG S
xmmreg2 to xmmreg1 0110 0110:0000 1111:0010 1110:11 xmmreg1 xmmreg2
memto xmmreg 0110 0110:0000 1111:0010 1110: mod xmmreg r/m
U N P C K H P D U n p a ck a n d I n t e rle a v e H ig h P a cke d
D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s
xmmreg2 to xmmreg1 0110 0110:0000 1111:0001 0101:11 xmmreg1 xmmreg2
memto xmmreg 0110 0110:0000 1111:0001 0101: mod xmmreg r/m
U N P C K LP D U n p a ck a n d I n t e rle a v e Lo w P a cke d
D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s
xmmreg2 to xmmreg1 0110 0110:0000 1111:0001 0100:11 xmmreg1 xmmreg2
memto xmmreg 0110 0110:0000 1111:0001 0100: mod xmmreg r/m
XO R P D B it w ise Lo g ica l O R o f D o u b le -P re cisio n
F lo a t in g -P o in t Va lu e s
xmmreg2 to xmmreg1 0110 0110:0000 1111:0101 0111:11 xmmreg1 xmmreg2
memto xmmreg 0110 0110:0000 1111:0101 0111: mod xmmreg r/m
Ta b le B -2 6 . Fo rm a t s a n d E n co d in g s o f S S E 2 F lo a t in g -P o in t I n st ru ct io n s (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
B-54 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
Ta b le B -2 7. Fo rm a t s a n d E n co d in g s o f S S E 2 I n t e g e r I n st ru ct io n s
I n st ru ct io n a n d Fo rm a t E n co d in g
MO VD Mo v e D o u b le w o rd
reg to xmmreg 0110 0110:0000 1111:0110 1110: 11 xmmreg reg
reg fromxmmreg 0110 0110:0000 1111:0111 1110: 11 xmmreg reg
memto xmmreg 0110 0110:0000 1111:0110 1110: mod xmmreg r/m
memfrom xmmreg 0110 0110:0000 1111:0111 1110: mod xmmreg r/m
MO VD Q AMo v e Alig n e d D o u b le Q u a d w o rd
xmmreg2 to xmmreg1 0110 0110:0000 1111:0110 1111:11 xmmreg1 xmmreg2
xmmreg2 from xmmreg1 0110 0110:0000 1111:0111 1111:11 xmmreg1 xmmreg2
memto xmmreg 0110 0110:0000 1111:0110 1111: mod xmmreg r/m
memfrom xmmreg 0110 0110:0000 1111:0111 1111: mod xmmreg r/m
MO VD Q U Mo v e U n a lig n e d D o u b le Q u a d w o rd
xmmreg2 to xmmreg1 1111 0011:0000 1111:0110 1111:11 xmmreg1 xmmreg2
xmmreg2 from xmmreg1 1111 0011:0000 1111:0111 1111:11 xmmreg1 xmmreg2
memto xmmreg 1111 0011:0000 1111:0110 1111: mod xmmreg r/m
memfrom xmmreg 1111 0011:0000 1111:0111 1111: mod xmmreg r/m
MO VQ 2 D Q Mo v e Q u a d w o rd f ro m MMX t o XMM
R e g ist e r
mmreg to xmmreg 1111 0011:0000 1111:1101 0110:11 mmreg1 mmreg2
MO VD Q 2 Q Mo v e Q u a d w o rd fro m XMM to MMX
R e g ist e r
xmmreg to mmreg 1111 0010:0000 1111:1101 0110:11 mmreg1 mmreg2
MO VQ Mo v e Q u a d w o rd
xmmreg2 to xmmreg1 1111 0011:0000 1111:0111 1110: 11 xmmreg1 xmmreg2
xmmreg2 from xmmreg1 0110 0110:0000 1111:1101 0110: 11 xmmreg1 xmmreg2
memto xmmreg 1111 0011:0000 1111:0111 1110: mod xmmreg r/m
memfrom xmmreg 0110 0110:0000 1111:1101 0110: mod xmmreg r/m
P AC K S S D W
1
P a ck D w o rd To Wo rd D a t a (sig n e d
w it h sa t u ra t io n )
xmmreg2 to xmmreg1 0110 0110:0000 1111:0110 1011: 11 xmmreg1 xmmreg2
memory to xmmreg 0110 0110:0000 1111:0110 1011: mod xmmreg r/m
P AC K S S WB P a ck Wo rd To B y t e D a t a (sig n e d w it h
sa t u ra t io n )
xmmreg2 to xmmreg1 0110 0110:0000 1111:0110 0011: 11 xmmreg1 xmmreg2
memory to xmmreg 0110 0110:0000 1111:0110 0011: mod xmmreg r/m
P AC K U S WB P a ck Wo rd To B y t e D a t a (u n sig n e d
w it h sa t u ra t io n )
xmmreg2 to xmmreg1 0110 0110:0000 1111:0110 0111: 11 xmmreg1 xmmreg2
memory to xmmreg 0110 0110:0000 1111:0110 0111: mod xmmreg r/m
P AD D Q Ad d P a cke d Q u a d w o rd I n t e g e rs
mmreg2 to mmreg1 0000 1111:1101 0100:11 mmreg1 mmreg2
Vol. 2C B-55
INSTRUCTION FORMATS AND ENCODINGS
memto mmreg 0000 1111:1101 0100: mod mmreg r/m
xmmreg2 to xmmreg1 0110 0110:0000 1111:1101 0100:11 xmmreg1 xmmreg2
memto xmmreg 0110 0110:0000 1111:1101 0100: mod xmmreg r/m
P AD D Ad d Wit h Wra p -a ro u n d
xmmreg2 to xmmreg1 0110 0110:0000 1111: 1111 11gg: 11 xmmreg1 xmmreg2
memory to xmmreg 0110 0110:0000 1111: 1111 11gg: mod xmmreg r/m
P AD D S Ad d S ig n e d Wit h S a t u ra t io n
xmmreg2 to xmmreg1 0110 0110:0000 1111: 1110 11gg: 11 xmmreg1 xmmreg2
memory to xmmreg 0110 0110:0000 1111: 1110 11gg: mod xmmreg r/m
P AD D U S Ad d U n sig n e d Wit h S a t u ra t io n
xmmreg2 to xmmreg1 0110 0110:0000 1111: 1101 11gg: 11 xmmreg1 xmmreg2
memory to xmmreg 0110 0110:0000 1111: 1101 11gg: mod xmmreg r/m
P AN D B it w ise An d
xmmreg2 to xmmreg1 0110 0110:0000 1111:1101 1011: 11 xmmreg1 xmmreg2
memory to xmmreg 0110 0110:0000 1111:1101 1011: mod xmmreg r/m
P AN D N B it w ise An d N o t
xmmreg2 to xmmreg1 0110 0110:0000 1111:1101 1111: 11 xmmreg1 xmmreg2
memory to xmmreg 0110 0110:0000 1111:1101 1111: mod xmmreg r/m
P AVG B Av e ra g e P a cke d I n t e g e rs
xmmreg2 to xmmreg1 0110 0110:0000 1111:11100 000:11 xmmreg1 xmmreg2
memto xmmreg 01100110:00001111:11100000 mod xmmreg r/m
P AVG WAv e ra g e P a cke d I n t e g e rs
xmmreg2 to xmmreg1 0110 0110:0000 1111:1110 0011:11 xmmreg1 xmmreg2
memto xmmreg 0110 0110:0000 1111:1110 0011 mod xmmreg r/m
P C MP E Q P a cke d C o m p a re Fo r E q u a lit y
xmmreg1 with xmmreg2 0110 0110:0000 1111:0111 01gg: 11 xmmreg1 xmmreg2
xmmreg with memory 0110 0110:0000 1111:0111 01gg: mod xmmreg r/m
P C MP G T P a cke d C o m p a re G re a t e r (sig n e d )
xmmreg1 with xmmreg2 0110 0110:0000 1111:0110 01gg: 11 xmmreg1 xmmreg2
xmmreg with memory 0110 0110:0000 1111:0110 01gg: mod xmmreg r/m
P E XT R WE x t ra ct Wo rd
xmmreg to reg32, imm8 0110 0110:0000 1111:1100 0101:11 r32 xmmreg: imm8
P I N S R WI n se rt Wo rd
reg32 to xmmreg, imm8 0110 0110:0000 1111:1100 0100:11 xmmreg r32: imm8
m16 to xmmreg, imm8 0110 0110:0000 1111:1100 0100: mod xmmreg r/m: imm8
P MAD D WD P a cke d Mu lt ip ly Ad d
xmmreg2 to xmmreg1 0110 0110:0000 1111:1111 0101: 11 xmmreg1 xmmreg2
memory to xmmreg 0110 0110:0000 1111:1111 0101: mod xmmreg r/m
Ta b le B -2 7. Fo rm a t s a n d E n co d in g s o f S S E 2 I n t e g e r I n st ru ct io n s (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
B-56 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
P MAXS WMa x im u m o f P a cke d S ig n e d Wo rd
I n t e g e rs
xmmreg2 to xmmreg1 0110 0110:0000 1111:1110 1110:11 xmmreg1 xmmreg2
memto xmmreg 01100110:00001111:11101110: mod xmmreg r/m
P MAXU B Ma x im u m o f P a cke d U n sig n e d B y t e
I n t e g e rs
xmmreg2 to xmmreg1 0110 0110:0000 1111:1101 1110:11 xmmreg1 xmmreg2
memto xmmreg 0110 0110:0000 1111:1101 1110: mod xmmreg r/m
P MI N S WMin im u m o f P a cke d S ig n e d Wo rd I n t e g e rs
xmmreg2 to xmmreg1 0110 0110:0000 1111:1110 1010:11 xmmreg1 xmmreg2
memto xmmreg 0110 0110:0000 1111:1110 1010: mod xmmreg r/m
P MI N U B Min im u m o f P a cke d U n sig n e d B y t e
I n t e g e rs
xmmreg2 to xmmreg1 0110 0110:0000 1111:1101 1010:11 xmmreg1 xmmreg2
memto xmmreg 0110 0110:0000 1111:1101 1010 mod xmmreg r/m
P MO V MS K B Mo v e B y t e Ma sk To I n t e g e r
xmmreg to reg32 0110 0110:0000 1111:1101 0111:11 r32 xmmreg
P MU LH U WP a cke d m u lt ip lica t io n , st o re h ig h w o rd
(u n sig n e d )
xmmreg2 to xmmreg1 0110 0110:0000 1111:1110 0100: 11 xmmreg1 xmmreg2
memory to xmmreg 0110 0110:0000 1111:1110 0100: mod xmmreg r/m
P MU LH WP a cke d Mu lt ip lica t io n , sto re h ig h w o rd
xmmreg2 to xmmreg1 0110 0110:0000 1111:1110 0101: 11 xmmreg1 xmmreg2
memory to xmmreg 0110 0110:0000 1111:1110 0101: mod xmmreg r/m
P MU LLWP a cke d Mu lt ip lica t io n , sto re lo w w o rd
xmmreg2 to xmmreg1 0110 0110:0000 1111:1101 0101: 11 xmmreg1 xmmreg2
memory to xmmreg 0110 0110:0000 1111:1101 0101: mod xmmreg r/m
P MU LU D Q Mu lt ip ly P a cke d U n sig n e d D o u b le w o rd
I n t e g e rs
mmreg2 to mmreg1 0000 1111:1111 0100:11 mmreg1 mmreg2
memto mmreg 0000 1111:1111 0100: mod mmreg r/m
xmmreg2 to xmmreg1 0110 0110:00001111:1111 0100:11 xmmreg1 xmmreg2
memto xmmreg 0110 0110:00001111:1111 0100: mod xmmreg r/m
P O R B it w ise O r
xmmreg2 to xmmreg1 0110 0110:0000 1111:1110 1011: 11 xmmreg1 xmmreg2
memory to xmmreg 0110 0110:0000 1111:1110 1011: mod xmmreg r/m
P S AD B WC o m p u t e S u m o f Ab so lu t e D iffe re n ce s
xmmreg2 to xmmreg1 0110 0110:0000 1111:1111 0110:11 xmmreg1 xmmreg2
memto xmmreg 0110 0110:0000 1111:1111 0110: mod xmmreg r/m
P S H U F LWS h u ffle P a cke d Lo w Wo rd s
Ta b le B -2 7. Fo rm a t s a n d E n co d in g s o f S S E 2 I n t e g e r I n st ru ct io n s (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-57
INSTRUCTION FORMATS AND ENCODINGS
xmmreg2 to xmmreg1, imm8 1111 0010:0000 1111:0111 0000:11 xmmreg1 xmmreg2: imm8
memto xmmreg, imm8 1111 0010:0000 1111:0111 0000:11 mod xmmreg r/m: imm8
P S H U F H WS h u ffle P a cke d H ig h Wo rd s
xmmreg2 to xmmreg1, imm8 1111 0011:0000 1111:0111 0000:11 xmmreg1 xmmreg2: imm8
memto xmmreg, imm8 1111 0011:0000 1111:0111 0000: mod xmmreg r/m: imm8
P S H U F D S h u ffle P a cke d D o u b le w o rd s
xmmreg2 to xmmreg1, imm8 0110 0110:0000 1111:0111 0000:11 xmmreg1 xmmreg2: imm8
memto xmmreg, imm8 0110 0110:0000 1111:0111 0000: mod xmmreg r/m: imm8
P S LLD Q S h ift D o u b le Q u a d w o rd Le ft Lo g ica l
xmmreg, imm8 0110 0110:0000 1111:0111 0011:11 111 xmmreg: imm8
P S LLP a cke d S h ift Le ft Lo g ica l
xmmreg1 by xmmreg2 0110 0110:0000 1111:1111 00gg: 11 xmmreg1 xmmreg2
xmmreg by memory 0110 0110:0000 1111:1111 00gg: mod xmmreg r/m
xmmreg by immediate 0110 0110:0000 1111:0111 00gg: 11 110 xmmreg: imm8
P S R AP a cke d S h ift R ig h t Arit h m e t ic
xmmreg1 by xmmreg2 0110 0110:0000 1111:1110 00gg: 11 xmmreg1 xmmreg2
xmmreg by memory 0110 0110:0000 1111:1110 00gg: mod xmmreg r/m
xmmreg by immediate 0110 0110:0000 1111:0111 00gg: 11 100 xmmreg: imm8
P S R LD Q S h ift D o u b le Q u a d w o rd R ig h t Lo g ica l
xmmreg, imm8 0110 0110:00001111:01110011:11 011 xmmreg: imm8
P S R LP a cke d S h ift R ig h t Lo g ica l
xmmreg1 by xmmreg2 0110 0110:0000 1111:1101 00gg: 11 xmmreg1 xmmreg2
xmmreg by memory 0110 0110:0000 1111:1101 00gg: mod xmmreg r/m
xmmreg by immediate 0110 0110:0000 1111:0111 00gg: 11 010 xmmreg: imm8
P S U B Q S u b t ra ct P a cke d Q u a d w o rd I n t e g e rs
mmreg2 to mmreg1 0000 1111:11111 011:11 mmreg1 mmreg2
memto mmreg 0000 1111:1111 1011: mod mmreg r/m
xmmreg2 to xmmreg1 0110 0110:0000 1111:1111 1011:11 xmmreg1 xmmreg2
memto xmmreg 0110 0110:0000 1111:1111 1011: mod xmmreg r/m
P S U B S u b t ra ct Wit h Wra p -a ro u n d
xmmreg2 from xmmreg1 0110 0110:0000 1111:1111 10gg: 11 xmmreg1 xmmreg2
memory fromxmmreg 0110 0110:0000 1111:1111 10gg: mod xmmreg r/m
P S U B S S u b t ra ct S ig n e d Wit h S a t u ra t io n
xmmreg2 from xmmreg1 0110 0110:0000 1111:1110 10gg: 11 xmmreg1 xmmreg2
memory fromxmmreg 0110 0110:0000 1111:1110 10gg: mod xmmreg r/m
P S U B U S S u b t ra ct U n sig n e d Wit h S a t u ra t io n
xmmreg2 from xmmreg1 0000 1111:1101 10gg: 11 xmmreg1 xmmreg2
memory fromxmmreg 0000 1111:1101 10gg: mod xmmreg r/m
Ta b le B -2 7. Fo rm a t s a n d E n co d in g s o f S S E 2 I n t e g e r I n st ru ct io n s (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
B-58 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
P U N P C K H U n p a ck H ig h D a t a To N e x t La rg e r T y p e
xmmreg2 to xmmreg1 0110 0110:0000 1111:0110 10gg:11 xmmreg1 xmmreg2
memto xmmreg 0110 0110:0000 1111:0110 10gg: mod xmmreg r/m
P U N P C K H Q D Q U n p a ck H ig h D a t a
xmmreg2 to xmmreg1 0110 0110:0000 1111:0110 1101:11 xmmreg1 xmmreg2
memto xmmreg 0110 0110:0000 1111:0110 1101: mod xmmreg r/m
P U N P C K LU n p a ck Lo w D a t a To N e x t La rg e r T y p e
xmmreg2 to xmmreg1 0110 0110:0000 1111:0110 00gg:11 xmmreg1 xmmreg2
memto xmmreg 0110 0110:0000 1111:0110 00gg: mod xmmreg r/m
P U N P C K LQ D Q U n p a ck Lo w D a t a
xmmreg2 to xmmreg1 0110 0110:0000 1111:0110 1100:11 xmmreg1 xmmreg2
memto xmmreg 0110 0110:0000 1111:0110 1100: mod xmmreg r/m
P XO R B it w ise Xo r
xmmreg2 to xmmreg1 0110 0110:0000 1111:1110 1111: 11 xmmreg1 xmmreg2
memory to xmmreg 0110 0110:0000 1111:1110 1111: mod xmmreg r/m
Ta b le B -2 8 . Fo rm a t a n d E n co d in g o f S S E 2 C a ch e a b ilit y I n st ru ct io n s
I n st ru ct io n a n d Fo rm a t E n co d in g
MAS K MO VD Q U S t o re S e le ct e d B y t e s o f D o u b le
Q u a d w o rd
xmmreg2 to xmmreg1 0110 0110:0000 1111:1111 0111:11 xmmreg1 xmmreg2
C LF LU S H F lu sh C a ch e Lin e
mem 0000 1111:1010 1110: mod 111 r/m
MO VN T P D S to re P a cke d D o u b le -P re cisio n
F lo a t in g -P o in t Va lu e s U sin g N o n -Te m p o ra l H in t
xmmreg to mem 0110 0110:0000 1111:0010 1011: mod xmmreg r/m
MO VN T D Q S t o re D o u b le Q u a d w o rd U sin g N o n -
Te m p o ra l H in t
xmmreg to mem 0110 0110:0000 1111:1110 0111: mod xmmreg r/m
MO VN T I S to re D o u b le w o rd U sin g N o n -Te m p o ra l
H in t
reg to mem 0000 1111:1100 0011: mod reg r/m
P AU S E S p in Lo o p H in t 1111 0011:1001 0000
LF E N C E Lo a d Fe n ce 0000 1111:1010 1110: 11 101 000
MF E N C E Me m o ry Fe n ce 0000 1111:1010 1110: 11 110 000
Ta b le B -2 7. Fo rm a t s a n d E n co d in g s o f S S E 2 I n t e g e r I n st ru ct io n s (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-59
INSTRUCTION FORMATS AND ENCODINGS
B .1 0 S S E 3 FO R MAT S AN D E N C O D I N G S TAB LE
The tables in this section provide SSE3 formats and encodings. Some SSE3 instructions require a mandatory prefix
(66H, F2H, F3H) as part of the two-byte opcode. These prefixes are included in the tables.
When in IA-32e mode, use of the REX.R prefix permits instructions that use general purpose and XMM registers to
access additional registers. Some instructions require the REX.W prefix to promote the instruction to 64-bit oper-
ation. Instructions that require the REX.W prefix are listed (with their opcodes) in Section B.13.
Ta b le B -2 9 . Fo rm a t s a n d E n co d in g s o f S S E 3 F lo a t in g -P o in t I n st ru ct io n s
I n st ru ct io n a n d Fo rm a t E n co d in g
AD D S U B P D Ad d /S u b p a cke d D P F P n u m b e rs fro m
XMM2 /Me m to XMM1
xmmreg2 to xmmreg1 01100110:00001111:11010000:11 xmmreg1 xmmreg2
memto xmmreg 01100110:00001111:11010000: mod xmmreg r/m
AD D S U B P S Ad d /S u b p a cke d S P F P n u m b e rs f ro m
XMM2 /Me m to XMM1
xmmreg2 to xmmreg1 11110010:00001111:11010000:11 xmmreg1 xmmreg2
memto xmmreg 11110010:00001111:11010000: mod xmmreg r/m
H AD D P D Ad d h o rizo n t a lly p a cke d D P F P n u m b e rs
XMM2 /Me m to XMM1
xmmreg2 to xmmreg1 01100110:00001111:01111100:11 xmmreg1 xmmreg2
memto xmmreg 01100110:00001111:01111100: mod xmmreg r/m
H AD D P S Ad d h o rizo n t a lly p a cke d S P F P n u m b e rs
XMM2 /Me m to XMM1
xmmreg2 to xmmreg1 11110010:00001111:01111100:11 xmmreg1 xmmreg2
memto xmmreg 11110010:00001111:01111100: mod xmmreg r/m
H S U B P D S u b h o rizo n t a lly p a cke d D P F P n u m b e rs
XMM2 /Me m to XMM1
xmmreg2 to xmmreg1 01100110:00001111:01111101:11 xmmreg1 xmmreg2
memto xmmreg 01100110:00001111:01111101: mod xmmreg r/m
H S U B P S S u b h o rizo n t a lly p a cke d S P F P n u m b e rs
XMM2 /Me m to XMM1
xmmreg2 to xmmreg1 11110010:00001111:01111101:11 xmmreg1 xmmreg2
memto xmmreg 11110010:00001111:01111101: mod xmmreg r/m
Ta b le B -3 0 . Fo rm a t s a n d E n co d in g s fo r S S E 3 E v e n t Ma n a g e m e n t I n st ru ct io n s
I n st ru ct io n a n d Fo rm a t E n co d in g
MO N I T O R S e t u p a lin e a r a d d re ss ra n g e to b e m o n ito re d
b y h a rd w a re
eax, ecx, edx 0000 1111 : 0000 0001:11 001 000
MWAI T Wa it u n t il w rit e -b a ck sto re p e rfo rm e d w it h in t h e
ra n g e sp e cif ie d b y t h e in st ru ct io n MO N I T O R
eax, ecx 0000 1111 : 0000 0001:11 001 001
B-60 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
B .1 1 S S S E 3 FO R MAT S AN D E N C O D I N G TAB LE
The tables in this section provide SSSE3 formats and encodings. Some SSSE3 instructions require a mandatory
prefix (66H) as part of the three-byte opcode. These prefixes are included in the table below.

Ta b le B -3 1 . Fo rm a t s a n d E n co d in g s fo r S S E 3 I n t e g e r a n d Mo v e I n st ru ct io n s
I n st ru ct io n a n d Fo rm a t E n co d in g
F I S T T P S t o re S T in in t 1 6 (ch o p ) a n d p o p
m16int 11011 111 : mod
A
001 r/m
F I S T T P S t o re S T in in t 3 2 (ch o p ) a n d p o p
m32int 11011 011 : mod
A
001 r/m
F I S T T P S t o re S T in in t 6 4 (ch o p ) a n d p o p
m64int 11011 101 : mod
A
001 r/m
LD D Q U Lo a d u n a lig n e d in t e g e r 1 2 8 -b it
xmm, m128 11110010:00001111:11110000: mod
A
xmmreg r/m
MO VD D U P Mo v e 6 4 b it s re p re se n t in g o n e D P d a t a fro m
XMM2 /Me m to XMM1 a n d d u p lica t e
xmmreg2 to xmmreg1 11110010:00001111:00010010:11 xmmreg1 xmmreg2
memto xmmreg 11110010:00001111:00010010: mod xmmreg r/m
MO VS H D U P Mo v e 1 2 8 b it s re p re se n t in g 4 S P d a t a fro m
XMM2 /Me m to XMM1 a n d d u p lica t e h ig h
xmmreg2 to xmmreg1 11110011:00001111:00010110:11 xmmreg1 xmmreg2
memto xmmreg 11110011:00001111:00010110: mod xmmreg r/m
MO VS LD U P Mo v e 1 2 8 b it s re p re se n t in g 4 S P d a t a fro m
XMM2 /Me m to XMM1 a n d d u p lica t e lo w
xmmreg2 to xmmreg1 11110011:00001111:00010010:11 xmmreg1 xmmreg2
memto xmmreg 11110011:00001111:00010010: mod xmmreg r/m
Ta b le B -3 2 . Fo rm a t s a n d E n co d in g s fo r S S S E 3 I n st ru ct io n s
I n st ru ct io n a n d Fo rm a t E n co d in g
P AB S B P a cke d Ab so lu t e Va lu e B y t e s
mmreg2 to mmreg1 0000 1111:0011 1000: 0001 1100:11 mmreg1 mmreg2
memto mmreg 0000 1111:0011 1000: 0001 1100: mod mmreg r/m
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0001 1100:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0001 1100: mod xmmreg r/m
P AB S D P a cke d Ab so lu t e Va lu e D o u b le Wo rd s
mmreg2 to mmreg1 0000 1111:0011 1000: 0001 1110:11 mmreg1 mmreg2
memto mmreg 0000 1111:0011 1000: 0001 1110: mod mmreg r/m
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0001 1110:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0001 1110: mod xmmreg r/m
P AB S WP a cke d Ab so lu t e Va lu e Wo rd s
Vol. 2C B-61
INSTRUCTION FORMATS AND ENCODINGS
mmreg2 to mmreg1 0000 1111:0011 1000: 0001 1101:11 mmreg1 mmreg2
memto mmreg 0000 1111:0011 1000: 0001 1101: mod mmreg r/m
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0001 1101:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0001 1101: mod xmmreg r/m
P ALI G N R P a cke d Alig n R ig h t
mmreg2 to mmreg1, imm8 0000 1111:0011 1010: 0000 1111:11 mmreg1 mmreg2: imm8
memto mmreg, imm8 0000 1111:0011 1010: 0000 1111: mod mmreg r/m: imm8
xmmreg2 to xmmreg1, imm8 0110 0110:0000 1111:0011 1010: 0000 1111:11 xmmreg1
xmmreg2: imm8
memto xmmreg, imm8 0110 0110:0000 1111:0011 1010: 0000 1111: mod xmmreg r/m:
imm8
P H AD D D P a cke d H o rizo n t a l Ad d D o u b le Wo rd s
mmreg2 to mmreg1 0000 1111:0011 1000: 0000 0010:11 mmreg1 mmreg2
memto mmreg 0000 1111:0011 1000: 0000 0010: mod mmreg r/m
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0000 0010:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0000 0010: mod xmmreg r/m
P H AD D S WP a cke d H o rizo n t a l Ad d a n d S a t u ra t e
mmreg2 to mmreg1 0000 1111:0011 1000: 0000 0011:11 mmreg1 mmreg2
memto mmreg 0000 1111:0011 1000: 0000 0011: mod mmreg r/m
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0000 0011:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0000 0011: mod xmmreg r/m
P H AD D WP a cke d H o rizo n t a l Ad d Wo rd s
mmreg2 to mmreg1 0000 1111:0011 1000: 0000 0001:11 mmreg1 mmreg2
memto mmreg 0000 1111:0011 1000: 0000 0001: mod mmreg r/m
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0000 0001:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0000 0001: mod xmmreg r/m
P H S U B D P a cke d H o rizo n t a l S u b t ra ct D o u b le Wo rd s
mmreg2 to mmreg1 0000 1111:0011 1000: 0000 0110:11 mmreg1 mmreg2
memto mmreg 0000 1111:0011 1000: 0000 0110: mod mmreg r/m
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0000 0110:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0000 0110: mod xmmreg r/m
P H S U B S WP a cke d H o rizo n t a l S u b t ra ct a n d S a t u ra t e
mmreg2 to mmreg1 0000 1111:0011 1000: 0000 0111:11 mmreg1 mmreg2
memto mmreg 0000 1111:0011 1000: 0000 0111: mod mmreg r/m
Ta b le B -3 2 . Fo rm a t s a n d E n co d in g s fo r S S S E 3 I n st ru ct io n s (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
B-62 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0000 0111:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0000 0111: mod xmmreg r/m
P H S U B WP a cke d H o rizo n t a l S u b t ra ct Wo rd s
mmreg2 to mmreg1 0000 1111:0011 1000: 0000 0101:11 mmreg1 mmreg2
memto mmreg 0000 1111:0011 1000: 0000 0101: mod mmreg r/m
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0000 0101:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0000 0101: mod xmmreg r/m
P MAD D U B S WMu lt ip ly a n d Ad d P a cke d S ig n e d a n d
U n sig n e d B y t e s
mmreg2 to mmreg1 0000 1111:0011 1000: 0000 0100:11 mmreg1 mmreg2
memto mmreg 0000 1111:0011 1000: 0000 0100: mod mmreg r/m
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0000 0100:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0000 0100: mod xmmreg r/m
P MU LH R S WP a cke d Mu lt ip ly H I g n w it h R o u n d a n d S ca le
mmreg2 to mmreg1 0000 1111:0011 1000: 0000 1011:11 mmreg1 mmreg2
memto mmreg 0000 1111:0011 1000: 0000 1011: mod mmreg r/m
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0000 1011:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0000 1011: mod xmmreg r/m
P S H U F B P a cke d S h u ffle B y t e s
mmreg2 to mmreg1 0000 1111:0011 1000: 0000 0000:11 mmreg1 mmreg2
memto mmreg 0000 1111:0011 1000: 0000 0000: mod mmreg r/m
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0000 0000:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0000 0000: mod xmmreg r/m
P S I G N B P a cke d S ig n B y t e s
mmreg2 to mmreg1 0000 1111:0011 1000: 0000 1000:11 mmreg1 mmreg2
memto mmreg 0000 1111:0011 1000: 0000 1000: mod mmreg r/m
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0000 1000:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0000 1000: mod xmmreg r/m
P S I G N D P a cke d S ig n D o u b le Wo rd s
mmreg2 to mmreg1 0000 1111:0011 1000: 0000 1010:11 mmreg1 mmreg2
memto mmreg 0000 1111:0011 1000: 0000 1010: mod mmreg r/m
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0000 1010:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0000 1010: mod xmmreg r/m
P S I G N WP a cke d S ig n Wo rd s
Ta b le B -3 2 . F o rm a t s a n d E n co d in g s fo r S S S E 3 I n st ru ct io n s (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-63
INSTRUCTION FORMATS AND ENCODINGS
B .1 2 AE S N I AN D P C LMU LQ D Q I N S T R U C T I O N FO R MAT S AN D E N C O D I N G S
Table B-33 shows the formats and encodings for AESNI and PCLMULQDQ instructions.

mmreg2 to mmreg1 0000 1111:0011 1000: 0000 1001:11 mmreg1 mmreg2
memto mmreg 0000 1111:0011 1000: 0000 1001: mod mmreg r/m
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0000 1001:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0000 1001: mod xmmreg r/m
Ta b le B -3 3 . Fo rm a t s a n d E n co d in g s o f AE S N I a n d P C LMU LQ D Q I n st ru ct io n s
I n st ru ct io n a n d Fo rm a t E n co d in g
AE S D E C P e rfo rm O n e R o u n d o f a n AE S D e cry p t io n F lo w
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000:1101 1110:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000:1101 1110: mod xmmreg r/m
AE S D E C LAS T P e rfo rm La st R o u n d o f a n AE S D e cry p t io n
F lo w
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000:1101 1111:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000:1101 1111: mod xmmreg r/m
AE S E N C P e rfo rm O n e R o u n d o f a n AE S E n cry p t io n F lo w
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000:1101 1100:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000:1101 1100: mod xmmreg r/m
AE S E N C LAS T P e rfo rm La st R o u n d o f a n AE S E n cry p t io n
F lo w
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000:1101 1101:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000:1101 1101: mod xmmreg r/m
AE S I MC P e rfo rm t h e AE S I n v Mix C o lu m n T ra n sfo rm a t io n
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000:1101 1011:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000:1101 1011: mod xmmreg r/m
AE S K E YG E N AS S I S T AE S R o u n d K e y G e n e ra t io n Assist
xmmreg2 to xmmreg1, imm8 0110 0110:0000 1111:0011 1010:1101 1111:11 xmmreg1
xmmreg2: imm8
memto xmmreg, imm8 0110 0110:0000 1111:0011 1010:1101 1111: mod xmmreg r/m:
imm8
P C LMU LQ D Q C a rry -Le ss Mu lt ip lica t io n Q u a d w o rd
xmmreg2 to xmmreg1, imm8 0110 0110:0000 1111:0011 1010:0100 0100:11 xmmreg1
xmmreg2: imm8
Ta b le B -3 2 . Fo rm a t s a n d E n co d in g s fo r S S S E 3 I n st ru ct io n s (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
B-64 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
B .1 3 S P E C I AL E N C O D I N G S F O R 6 4 -B I T MO D E
The following Pentium, P6, MMX, SSE, SSE2, SSE3 instructions are promoted to 64-bit operation in IA-32e mode
by using REX.W. However, these entries are special cases that do not follow the general rules (specified in Section
B.4).
memto xmmreg, imm8 0110 0110:0000 1111:0011 1010:0100 0100: mod xmmreg r/m:
imm8
Ta b le B -3 4 . S p e cia l C a se I n st ru ct io n s P ro m o t e d U sin g R E X.W
I n st ru ct io n a n d Fo rm a t E n co d in g
C MO VccC o n d it io n a l Mo v e
register2 to register1 0100 0R0B 0000 1111: 0100 tttn : 11 reg1 reg2
qwordregister2 to qwordregister1 0100 1R0B 0000 1111: 0100 tttn : 11 qwordreg1 qwordreg2
memory to register 0100 0RXB 0000 1111 : 0100 tttn : mod reg r/m
memory64 to qwordregister 0100 1RXB 0000 1111 : 0100 tttn : mod qwordreg r/m
C VT S D 2 S I C o n v e rt S ca la r D o u b le -P re cisio n F lo a t in g -P o in t
Va lu e to D o u b le w o rd I n t e g e r
xmmreg to r32 0100 0R0B 1111 0010:0000 1111:0010 1101:11 r32
xmmreg
xmmreg to r64 0100 1R0B 1111 0010:0000 1111:0010 1101:11 r64
xmmreg
mem64 to r32 0100 0R0XB 1111 0010:0000 1111:0010 1101: mod r32 r/m
mem64 to r64 0100 1RXB 1111 0010:0000 1111:0010 1101: mod r64 r/m
C VT S I 2 S S C o n v e rt D o u b le w o rd I n t e g e r t o S ca la r S in g le -
P re cisio n F lo a t in g -P o in t Va lu e
r32 to xmmreg1 0100 0R0B 1111 0011:0000 1111:0010 1010:11 xmmreg
r32
r64 to xmmreg1 0100 1R0B 1111 0011:0000 1111:0010 1010:11 xmmreg
r64
memto xmmreg 0100 0RXB 1111 0011:0000 1111:0010 1010: mod xmmreg
r/m
mem64 to xmmreg 0100 1RXB 1111 0011:0000 1111:0010 1010: mod xmmreg
r/m
C VT S I 2 S D C o n v e rt D o u b le w o rd I n t e g e r to S ca la r D o u b le -
P re cisio n F lo a t in g -P o in t Va lu e
r32 to xmmreg1 0100 0R0B 1111 0010:0000 1111:0010 1010:11 xmmreg
r32
r64 to xmmreg1 0100 1R0B 1111 0010:0000 1111:0010 1010:11 xmmreg
r64
memto xmmreg 0100 0RXB 1111 0010:0000 1111:00101 010: mod xmmreg
r/m
Ta b le B -3 3 . Fo rm a t s a n d E n co d in g s o f AE S N I a n d P C LMU LQ D Q I n st ru ct io n s
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-65
INSTRUCTION FORMATS AND ENCODINGS
mem64 to xmmreg 0100 1RXB 1111 0010:0000 1111:0010 1010: mod xmmreg
r/m
C VT S S 2 S I C o n v e rt S ca la r S in g le -P re cisio n F lo a t in g -P o in t
Va lu e to D o u b le w o rd I n t e g e r
xmmreg to r32 0100 0R0B 1111 0011:0000 1111:0010 1101:11 r32
xmmreg
xmmreg to r64 0100 1R0B 1111 0011:0000 1111:0010 1101:11 r64
xmmreg
memto r32 0100 0RXB 11110011:00001111:00101101: mod r32 r/m
mem32 to r64 0100 1RXB 1111 0011:0000 1111:0010 1101: mod r64 r/m
C VT T S D 2 S I C o n v e rt w it h T ru n ca t io n S ca la r D o u b le -P re cisio n
F lo a t in g -P o in t Va lu e to D o u b le w o rd I n t e g e r
xmmreg to r32 0100 0R0B 11110010:00001111:00101100:11 r32 xmmreg
xmmreg to r64 0100 1R0B 1111 0010:0000 1111:0010 1100:11 r64
xmmreg
mem64 to r32 0100 0RXB 1111 0010:0000 1111:0010 1100: mod r32 r/m
mem64 to r64 0100 1RXB 1111 0010:0000 1111:0010 1100: mod r64 r/m
C VT T S S 2 S I C o n v e rt w it h T ru n ca t io n S ca la r S in g le -P re cisio n
F lo a t in g -P o in t Va lu e to D o u b le w o rd I n t e g e r
xmmreg to r32 0100 0R0B 1111 0011:0000 1111:0010 1100:11 r32
xmmreg1
xmmreg to r64 0100 1R0B 1111 0011:0000 1111:0010 1100:11 r64
xmmreg1
memto r32 0100 0RXB 1111 0011:0000 1111:0010 1100: mod r32 r/m
mem32 to r64 0100 1RXB 1111 0011:0000 1111:0010 1100: mod r64 r/m
MO VD /MO VQ Mo v e d o u b le w o rd
reg to mmxreg 0100 0R0B 0000 1111:0110 1110: 11 mmxreg reg
qwordreg to mmxreg 0100 1R0B 0000 1111:0110 1110: 11 mmxreg qwordreg
reg frommmxreg 0100 0R0B 0000 1111:0111 1110: 11 mmxreg reg
qwordreg frommmxreg 0100 1R0B 0000 1111:0111 1110: 11 mmxreg qwordreg
memto mmxreg 0100 0RXB 0000 1111:0110 1110: mod mmxreg r/m
mem64 to mmxreg 0100 1RXB 0000 1111:0110 1110: mod mmxreg r/m
memfrommmxreg 0100 0RXB 0000 1111:0111 1110: mod mmxreg r/m
mem64 from mmxreg 0100 1RXB 0000 1111:0111 1110: mod mmxreg r/m
mmxreg with memory 0100 0RXB 0000 1111:0110 01gg: mod mmxreg r/m
MO VMS K P S E x t ra ct P a cke d S in g le -P re cisio n F lo a t in g -P o in t
S ig n Ma sk
xmmreg to r32 0100 0R0B 0000 1111:0101 0000:11 r32 xmmreg
xmmreg to r64 0100 1R0B 00001111:01010000:11 r64 xmmreg
P E XT R WE x t ra ct Wo rd
mmreg to reg32, imm8 0100 0R0B 0000 1111:1100 0101:11 r32 mmreg: imm8
Ta b le B -3 4 . S p e cia l C a se I n st ru ct io n s P ro m o t e d U sin g R E X .W (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
B-66 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
B .1 4 S S E 4 .1 FO R MAT S AN D E N C O D I N G TAB LE
The tables in this section provide SSE4.1 formats and encodings. Some SSE4.1 instructions require a mandatory
prefix (66H, F2H, F3H) as part of the three-byte opcode. These prefixes are included in the tables.
In 64-bit mode, some instructions requires REX.W, the byte sequence of REX.W prefix in the opcode sequence is
shown.
mmreg to reg64, imm8 0100 1R0B 0000 1111:1100 0101:11 r64 mmreg: imm8
xmmreg to reg32, imm8 0100 0R0B 0110 0110 0000 1111:1100 0101:11 r32
xmmreg: imm8
xmmreg to reg64, imm8 0100 1R0B 0110 0110 0000 1111:1100 0101:11 r64
xmmreg: imm8
P I N S R WI n se rt Wo rd
reg32 to mmreg, imm8 0100 0R0B 0000 1111:1100 0100:11 mmreg r32: imm8
reg64 to mmreg, imm8 0100 1R0B 0000 1111:1100 0100:11 mmreg r64: imm8
m16 to mmreg, imm8 0100 0R0B 0000 1111:1100 0100 mod mmreg r/m: imm8
m16 to mmreg, imm8 0100 1RXB 0000 1111:11000100 mod mmreg r/m: imm8
reg32 to xmmreg, imm8 0100 0RXB 0110 0110 0000 1111:1100 0100:11 xmmreg
r32: imm8
reg64 to xmmreg, imm8 0100 0RXB 0110 0110 0000 1111:1100 0100:11 xmmreg
r64: imm8
m16 to xmmreg, imm8 0100 0RXB 0110 0110 0000 1111:1100 0100 mod xmmreg
r/m: imm8
m16 to xmmreg, imm8 0100 1RXB 0110 0110 0000 1111:1100 0100 mod xmmreg
r/m: imm8
P MO V MS K B Mo v e B y t e Ma sk To I n t e g e r
mmreg to reg32 0100 0RXB 0000 1111:1101 0111:11 r32 mmreg
mmreg to reg64 0100 1R0B 0000 1111:1101 0111:11 r64 mmreg
xmmreg to reg32 0100 0RXB 0110 0110 0000 1111:1101 0111:11 r32 mmreg
xmmreg to reg64 0110 0110 0000 1111:1101 0111:11 r64 xmmreg
Ta b le B -3 5 . E n co d in g s o f S S E 4 .1 in st ru ct io n s
I n st ru ct io n a n d Fo rm a t E n co d in g
B LE N D P D B le n d P a cke d D o u b le -P re cisio n F lo a t s
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1010: 0000 1101:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1010: 0000 1101: mod xmmreg r/m
B LE N D P S B le n d P a cke d S in g le -P re cisio n F lo a t s
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1010: 0000 1100:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1010: 0000 1100: mod xmmreg r/m
B LE N D V P D Va ria b le B le n d P a cke d D o u b le -P re cisio n
F lo a t s
Ta b le B -3 4 . S p e cia l C a se I n st ru ct io n s P ro m o t e d U sin g R E X .W (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-67
INSTRUCTION FORMATS AND ENCODINGS
xmmreg2 to xmmreg1 <xmm0> 0110 0110:0000 1111:0011 1000: 0001 0101:11 xmmreg1
xmmreg2
memto xmmreg <xmm0> 0110 0110:0000 1111:0011 1000: 0001 0101: mod xmmreg r/m
B LE N D V P S Va ria b le B le n d P a cke d S in g le -P re cisio n F lo a t s
xmmreg2 to xmmreg1 <xmm0> 0110 0110:0000 1111:0011 1000: 0001 0100:11 xmmreg1
xmmreg2
memto xmmreg <xmm0> 0110 0110:0000 1111:0011 1000: 0001 0100: mod xmmreg r/m
D P P D P a cke d D o u b le -P re cisio n D o t P ro d u ct s
xmmreg2 to xmmreg1, imm8 0110 0110:0000 1111:0011 1010: 0100 0001:11 xmmreg1
xmmreg2: imm8
memto xmmreg, imm8 0110 0110:0000 1111:0011 1010: 0100 0001: mod xmmreg r/m:
imm8
D P P S P a cke d S in g le -P re cisio n D o t P ro d u ct s
xmmreg2 to xmmreg1, imm8 0110 0110:0000 1111:0011 1010: 0100 0000:11 xmmreg1
xmmreg2: imm8
memto xmmreg, imm8 0110 0110:0000 1111:0011 1010: 0100 0000: mod xmmreg r/m:
imm8
E XT R AC T P S E x t ra ct F ro m P a cke d S in g le -P re cisio n F lo a t s
reg fromxmmreg , imm8 0110 0110:0000 1111:0011 1010: 0001 0111:11 xmmreg reg:
imm8
memfromxmmreg , imm8 0110 0110:0000 1111:0011 1010: 0001 0111: mod xmmreg r/m:
imm8
I N S E R T P S I n se rt I n t o P a cke d S in g le -P re cisio n F lo a t s
xmmreg2 to xmmreg1, imm8 0110 0110:0000 1111:0011 1010: 0010 0001:11 xmmreg1
xmmreg2: imm8
memto xmmreg, imm8 0110 0110:0000 1111:0011 1010: 0010 0001: mod xmmreg r/m:
imm8
MO VN T D Q A Lo a d D o u b le Q u a d w o rd N o n -t e m p o ra l
Alig n e d
m128 to xmmreg 0110 0110:0000 1111:0011 1000: 0010 1010:11 r/mxmmreg2
MP S AD B W Mu lt ip le P a cke d S u m s o f Ab so lu t e D iffe re n ce
xmmreg2 to xmmreg1, imm8 0110 0110:0000 1111:0011 1010: 0100 0010:11 xmmreg1
xmmreg2: imm8
memto xmmreg, imm8 0110 0110:0000 1111:0011 1010: 0100 0010: mod xmmreg r/m:
imm8
P AC K U S D W P a ck w it h U n sig n e d S a t u ra t io n
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0010 1011:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0010 1011: mod xmmreg r/m
P B LE N D VB Va ria b le B le n d P a cke d B y t e s
xmmreg2 to xmmreg1 <xmm0> 0110 0110:0000 1111:0011 1000: 0001 0000:11 xmmreg1
xmmreg2
memto xmmreg <xmm0> 0110 0110:0000 1111:0011 1000: 0001 0000: mod xmmreg r/m
Ta b le B -3 5 . E n co d in g s o f S S E 4 .1 in st ru ct io n s
I n st ru ct io n a n d Fo rm a t E n co d in g
B-68 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
P B LE N D W B le n d P a cke d Wo rd s
xmmreg2 to xmmreg1, imm8 0110 0110:0000 1111:0011 1010: 0001 1110:11 xmmreg1
xmmreg2: imm8
memto xmmreg, imm8 0110 0110:0000 1111:0011 1010: 0000 1110: mod xmmreg r/m:
imm8
P C MP E Q Q C o m p a re P a cke d Q w o rd D a t a o f E q u a l
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0010 1001:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0010 1001: mod xmmreg r/m
P E XT R B E x t ra ct B y t e
reg fromxmmreg , imm8 0110 0110:0000 1111:0011 1010: 0001 0100:11 xmmreg reg:
imm8
xmmreg to mem, imm8 0110 0110:0000 1111:0011 1010: 0001 0100: mod xmmreg r/m:
imm8
P E XT R D E x t ra ct D Wo rd
reg fromxmmreg, imm8 0110 0110:0000 1111:0011 1010: 0001 0110:11 xmmreg reg:
imm8
xmmreg to mem, imm8 0110 0110:0000 1111:0011 1010: 0001 0110: mod
xmmreg r/m: imm8
P E XT R Q E x t ra ct Q Wo rd
r64 fromxmmreg, imm8 0110 0110:REX.W:0000 1111:0011 1010: 0001 0110:11 xmmreg
reg: imm8
m64 from xmmreg, imm8 0110 0110:REX.W:0000 1111:0011 1010: 0001 0110: mod
xmmreg r/m: imm8
P E XT R W E x t ra ct Wo rd
reg fromxmmreg, imm8 0110 0110:0000 1111:0011 1010: 0001 0101:11 reg xmmreg:
imm8
memfrom xmmreg, imm8 0110 0110:0000 1111:0011 1010: 0001 0101: mod xmmreg r/m:
imm8
P H MI N P O S U W P a cke d H o rizo n t a l Wo rd Min im u m
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0100 0001:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0100 0001: mod xmmreg r/m
P I N S R B E x t ra ct B y t e
reg to xmmreg, imm8 0110 0110:0000 1111:0011 1010: 0010 0000:11 xmmreg reg:
imm8
memto xmmreg, imm8 0110 0110:0000 1111:0011 1010: 0010 0000: mod xmmreg r/m:
imm8
P I N S R D E x t ra ct D Wo rd
reg to xmmreg, imm8 0110 0110:0000 1111:0011 1010: 0010 0010:11 xmmreg reg:
imm8
Ta b le B -3 5 . E n co d in g s o f S S E 4 .1 in st ru ct io n s
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-69
INSTRUCTION FORMATS AND ENCODINGS
memto xmmreg, imm8 0110 0110:0000 1111:0011 1010: 0010 0010: mod xmmreg r/m:
imm8
P I N S R Q E x t ra ct Q Wo rd
r64 to xmmreg, imm8 0110 0110:REX.W:0000 1111:0011 1010: 0010 0010:11 xmmreg
reg: imm8
m64 to xmmreg, imm8 0110 0110:REX.W:0000 1111:0011 1010: 0010 0010: mod
xmmreg r/m: imm8
P MAXS B Ma x im u m o f P a cke d S ig n e d B y t e I n t e g e rs
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0011 1100:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0011 1100: mod xmmreg r/m
P MAXS D Ma x im u m o f P a cke d S ig n e d D w o rd I n t e g e rs
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0011 1101:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0011 1101: mod xmmreg r/m
P MAXU D Ma x im u m o f P a cke d U n sig n e d D w o rd I n t e g e rs
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0011 1111:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0011 1111: mod xmmreg r/m
P MAXU W Ma x im u m o f P a cke d U n sig n e d Wo rd I n t e g e rs
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0011 1110:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0011 1110: mod xmmreg r/m
P MI N S B Min im u m o f P a cke d S ig n e d B y t e I n t e g e rs
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0011 1000:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0011 1000: mod xmmreg r/m
P MI N S D Min im u m o f P a cke d S ig n e d D w o rd I n t e g e rs
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0011 1001:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0011 1001: mod xmmreg r/m
P MI N U D Min im u m o f P a cke d U n sig n e d D w o rd I n t e g e rs
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0011 1011:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0011 1011: mod xmmreg r/m
P MI N U W Min im u m o f P a cke d U n sig n e d Wo rd I n t e g e rs
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0011 1010:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0011 1010: mod xmmreg r/m
P MO VS XB D P a cke d Mo v e S ig n E x t e n d - B y t e t o D w o rd
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0010 0001:11 xmmreg1
xmmreg2
Ta b le B -3 5 . E n co d in g s o f S S E 4 .1 in st ru ct io n s
I n st ru ct io n a n d Fo rm a t E n co d in g
B-70 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
memto xmmreg 0110 0110:0000 1111:0011 1000: 0010 0001: mod xmmreg r/m
P MO VS XB Q P a cke d Mo v e S ig n E x t e n d - B y t e to Q w o rd
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0010 0010:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0010 0010: mod xmmreg r/m
P MO VS XB W P a cke d Mo v e S ig n E x t e n d - B y t e t o Wo rd
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0010 0000:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0010 0000: mod xmmreg r/m
P MO VS XWD P a cke d Mo v e S ig n E x t e n d - Wo rd t o D w o rd
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0010 0011:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0010 0011: mod xmmreg r/m
P MO VS XWQ P a cke d Mo v e S ig n E x t e n d - Wo rd to Q w o rd
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0010 0100:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0010 0100: mod xmmreg r/m
P MO VS XD Q P a cke d Mo v e S ig n E x t e n d - D w o rd to Q w o rd
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0010 0101:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0010 0101: mod xmmreg r/m
P MO V Z XB D P a cke d Mo v e Z e ro E x t e n d - B y t e t o D w o rd
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0011 0001:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0011 0001: mod xmmreg r/m
P MO V Z XB Q P a cke d Mo v e Z e ro E x t e n d - B y t e to Q w o rd
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0011 0010:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0011 0010: mod xmmreg r/m
P MO V Z XB W P a cke d Mo v e Z e ro E x t e n d - B y t e t o Wo rd
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0011 0000:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0011 0000: mod xmmreg r/m
P MO V Z XWD P a cke d Mo v e Z e ro E x t e n d - Wo rd to D w o rd
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0011 0011:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0011 0011: mod xmmreg r/m
P MO V Z XWQ P a cke d Mo v e Z e ro E x t e n d - Wo rd to Q w o rd
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0011 0100:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0011 0100: mod xmmreg r/m
P MO V Z XD Q P a cke d Mo v e Z e ro E x t e n d - D w o rd to Q w o rd
Ta b le B -3 5 . E n co d in g s o f S S E 4 .1 in st ru ct io n s
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-71
INSTRUCTION FORMATS AND ENCODINGS
B .1 5 S S E 4 .2 FO R MAT S AN D E N C O D I N G TAB LE
The tables in this section provide SSE4.2 formats and encodings. Some SSE4.2 instructions require a mandatory
prefix (66H, F2H, F3H) as part of the three-byte opcode. These prefixes are included in the tables. In 64-bit mode,
some instructions requires REX.W, the byte sequence of REX.W prefix in the opcode sequence is shown.
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0011 0101:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0011 0101: mod xmmreg r/m
P MU LD Q Mu lt ip ly P a cke d S ig n e d D w o rd I n t e g e rs
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0010 1000:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0010 1000: mod xmmreg r/m
P MU LLD Mu lt ip ly P a cke d S ig n e d D w o rd I n t e g e rs, S to re
lo w R e su lt
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0100 0000:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0100 0000: mod xmmreg r/m
P T E S T Lo g ica l C o m p a re
xmmreg2 to xmmreg1 0110 0110:0000 1111:0011 1000: 0001 0111:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0001 0111: mod xmmreg r/m
R O U N D P D R o u n d P a cke d D o u b le -P re cisio n Va lu e s
xmmreg2 to xmmreg1, imm8 0110 0110:0000 1111:0011 1010: 0000 1001:11 xmmreg1
xmmreg2: imm8
memto xmmreg, imm8 0110 0110:0000 1111:0011 1010: 0000 1001: mod xmmreg r/m:
imm8
R O U N D P S R o u n d P a cke d S in g le -P re cisio n Va lu e s
xmmreg2 to xmmreg1, imm8 0110 0110:0000 1111:0011 1010: 0000 1000:11 xmmreg1
xmmreg2: imm8
memto xmmreg, imm8 0110 0110:0000 1111:0011 1010: 0000 1000: mod xmmreg r/m:
imm8
R O U N D S D R o u n d S ca la r D o u b le -P re cisio n Va lu e
xmmreg2 to xmmreg1, imm8 0110 0110:0000 1111:0011 1010: 0000 1011:11 xmmreg1
xmmreg2: imm8
memto xmmreg, imm8 0110 0110:0000 1111:0011 1010: 0000 1011: mod xmmreg r/m:
imm8
R O U N D S S R o u n d S ca la r S in g le -P re cisio n Va lu e
xmmreg2 to xmmreg1, imm8 0110 0110:0000 1111:0011 1010: 0000 1010:11 xmmreg1
xmmreg2: imm8
memto xmmreg, imm8 0110 0110:0000 1111:0011 1010: 0000 1010: mod xmmreg r/m:
imm8
Ta b le B -3 5 . E n co d in g s o f S S E 4 .1 in st ru ct io n s
I n st ru ct io n a n d Fo rm a t E n co d in g
B-72 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
Ta b le B -3 6 . E n co d in g s o f S S E 4 .2 in st ru ct io n s
I n st ru ct io n a n d Fo rm a t E n co d in g
C R C 3 2 Accu m u la t e C R C 3 2
reg2 to reg1 1111 0010:0000 1111:0011 1000: 1111 000w :11 reg1 reg2
memto reg 1111 0010:0000 1111:0011 1000: 1111 000w : mod reg r/m
bytereg2 to reg1 1111 0010:0100 WR0B:0000 1111:0011 1000: 1111 0000 :11
reg1 bytereg2
m8 to reg 1111 0010:0100 WR0B:0000 1111:0011 1000: 1111 0000 : mod
reg r/m
qwreg2 to qwreg1 1111 0010:0100 1R0B:0000 1111:0011 1000: 1111 0000 :11
qwreg1 qwreg2
mem64 to qwreg 1111 0010:0100 1R0B:0000 1111:0011 1000: 1111 0000 : mod
qwreg r/m
P C MP E S T R I P a cke d C o m p a re E x p licit -Le n g t h S t rin g s To
I n d e x
xmmreg2 to xmmreg1, imm8 0110 0110:0000 1111:0011 1010: 0110 0001:11 xmmreg1
xmmreg2: imm8
memto xmmreg 0110 0110:0000 1111:0011 1010: 0110 0001: mod xmmreg r/m
P C MP E S T R MP a cke d C o m p a re E x p licit -Le n g t h S t rin g s To
Ma sk
xmmreg2 to xmmreg1, imm8 0110 0110:0000 1111:0011 1010: 0110 0000:11 xmmreg1
xmmreg2: imm8
memto xmmreg 0110 0110:0000 1111:0011 1010: 0110 0000: mod xmmreg r/m
P C MP I S T R I P a cke d C o m p a re I m p licit -Le n g t h S t rin g To
I n d e x
xmmreg2 to xmmreg1, imm8 0110 0110:0000 1111:0011 1010: 0110 0011:11 xmmreg1
xmmreg2: imm8
memto xmmreg 0110 0110:0000 1111:0011 1010: 0110 0011: mod xmmreg r/m
P C MP I S T R MP a cke d C o m p a re I m p licit -Le n g t h S t rin g s To
Ma sk
xmmreg2 to xmmreg1, imm8 0110 0110:0000 1111:0011 1010: 0110 0010:11 xmmreg1
xmmreg2: imm8
memto xmmreg 0110 0110:0000 1111:0011 1010: 0110 0010: mod xmmreg r/m
P C MP G T Q P a cke d C o m p a re G re a t e r T h a n
xmmreg to xmmreg 0110 0110:0000 1111:0011 1000: 0011 0111:11 xmmreg1
xmmreg2
memto xmmreg 0110 0110:0000 1111:0011 1000: 0011 0111: mod xmmreg r/m
P O P C N T R e t u rn N u m b e r o f B it s S e t t o 1
reg2 to reg1 1111 0011:0000 1111:1011 1000:11 reg1 reg2
memto reg1 1111 0011:0000 1111:1011 1000:mod reg1 r/m
qwreg2 to qwreg1 1111 0011:0100 1R0B:0000 1111:1011 1000:11 reg1 reg2
mem64 to qwreg1 1111 0011:0100 1R0B:0000 1111:1011 1000:mod reg1 r/m
Vol. 2C B-73
INSTRUCTION FORMATS AND ENCODINGS
B .1 6 AVX FO R MAT S AN D E N C O D I N G TAB LE
The tables in this section provide AVX formats and encodings. A mixed form of bit/hex/symbolic forms are used to
express the various bytes:
The C4/C5 and opcode bytes are expressed in hex notation; the first and second payload byte of VEX, the modR/M
byte is expressed in combination of bit/symbolic form. The first payload byte of C4 is expressed as combination of
bits and hex form, with the hex value preceded by an underscore. The VEX bit field to encode upper register 8-15
uses 1s complement form, each of those bit field is expressed as lower case notation rxb, instead of RXB.
The hybrid bit-nibble-byte form is depicted below:
Ta b le B -3 7. E n co d in g s o f AV X in st ru ct io n s
F ig u re B -2 . H y b rid N o t a t io n o f VE X-E n co d e d K e y I n st ru ct io n B y t e s
I n st ru ct io n a n d Fo rm a t E n co d in g
V B LE N D P D B le n d P a cke d D o u b le -P re cisio n F lo a t s
xmmreg2 with xmmreg3 into xmmreg1 C4: rxb0_3: w xmmreg2 001:0D:11 xmmreg1 xmmreg3: imm
xmmreg2 with mem to xmmreg1 C4: rxb0_3: w xmmreg2 001:0D:mod xmmreg1 r/m: imm
ymmreg2 with ymmreg3 into ymmreg1 C4: rxb0_3: w ymmreg2 101:0D:11 ymmreg1 ymmreg3: imm
ymmreg2 with memto ymmreg1 C4: rxb0_3: w ymmreg2 101:0D:mod ymmreg1 r/m: imm
VB LE N D P S B le n d P a cke d S in g le -P re cisio n F lo a t s
xmmreg2 with xmmreg3 into xmmreg1 C4: rxb0_3: w xmmreg2 001:0C:11 xmmreg1 xmmreg3: imm
xmmreg2 with memto xmmreg1 C4: rxb0_3: w xmmreg2 001:0C:mod xmmreg1 r/m: imm
ymmreg2 with ymmreg3 into ymmreg1 C4: rxb0_3: w ymmreg2 101:0C:11 ymmreg1 ymmreg3: imm
ymmreg2 with memto ymmreg1 C4: rxb0_3: w ymmreg2 101:0C:mod ymmreg1 r/m: imm
VB LE N D VP D Va ria b le B le n d P a cke d D o u b le -P re cisio n
F lo a t s
xmmreg2 with xmmreg3 into xmmreg1 using xmmreg4 as
mask
C4: rxb0_3: 0 xmmreg2 001:4B:11 xmmreg1 xmmreg3: xmmreg4
xmmreg2 with mem to xmmreg1 using xmmreg4 as mask C4: rxb0_3: 0 xmmreg2 001:4B:mod xmmreg1 r/m: xmmreg4
ymmreg2 with ymmreg3 into ymmreg1 using ymmreg4 as
mask
C4: rxb0_3: 0 ymmreg2 101:4B:11 ymmreg1 ymmreg3: ymmreg4
ymmreg2 with memto ymmreg1 using ymmreg4 as mask C4: rxb0_3: 0 ymmreg2 101:4B:mod ymmreg1 r/m: ymmreg4
VB LE N D VP S Va ria b le B le n d P a cke d S in g le -P re cisio n
F lo a t s
7 6 ----3 2 1 0 hex notation
7-6 5-3 2-0
R srcreg Lp p Opcode byte
Mod Reg* R/M
C5
7 6 ----3 2 1 0
Wsrcreg L pp
Two-Byte VEX
hex notation
7 6 5 hex notation
7-6 5-3 2-0
R X B Opcode byte
Mod Reg R/M
C4
4 ----- 0
0_hex
mmmmm
Three-Byte VEX
B-74 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
xmmreg2 with xmmreg3 into xmmreg1 using xmmreg4 as
mask
C4: rxb0_3: 0 xmmreg2 001:4A:11 xmmreg1 xmmreg3: xmmreg4
xmmreg2 with mem to xmmreg1 using xmmreg4 as mask C4: rxb0_3: 0 xmmreg2 001:4A:mod xmmreg1 r/m: xmmreg4
ymmreg2 with ymmreg3 into ymmreg1 using ymmreg4 as
mask
C4: rxb0_3: 0 ymmreg2 101:4A:11 ymmreg1 ymmreg3: ymmreg4
ymmreg2 with memto ymmreg1 using ymmreg4 as mask C4: rxb0_3: 0 ymmreg2 101:4A:mod ymmreg1 r/m: ymmreg4
VD P P D P a cke d D o u b le -P re cisio n D o t P ro d u ct s
xmmreg2 with xmmreg3 into xmmreg1 C4: rxb0_3: w xmmreg2 001:41:11 xmmreg1 xmmreg3: imm
xmmreg2 with mem to xmmreg1 C4: rxb0_3: w xmmreg2 001:41:mod xmmreg1 r/m: imm
VD P P S P a cke d S in g le -P re cisio n D o t P ro d u ct s
xmmreg2 with xmmreg3 into xmmreg1 C4: rxb0_3: w xmmreg2 001:40:11 xmmreg1 xmmreg3: imm
xmmreg2 with mem to xmmreg1 C4: rxb0_3: w xmmreg2 001:40:mod xmmreg1 r/m: imm
ymmreg2 with ymmreg3 into ymmreg1 C4: rxb0_3: w ymmreg2 101:40:11 ymmreg1 ymmreg3: imm
ymmreg2 with mem to ymmreg1 C4: rxb0_3: w ymmreg2 101:40:mod ymmreg1 r/m: imm
VE XT R AC T P S E x t ra ct F ro m P a cke d S in g le -P re cisio n
F lo a t s
reg fromxmmreg1 using imm C4: rxb0_3: w_F 001:17:11 xmmreg1 reg: imm
mem from xmmreg1 using imm C4: rxb0_3: w_F 001:17:mod xmmreg1 r/m: imm
VI N S E R T P S I n se rt I n to P a cke d S in g le -P re cisio n F lo a t s
use immto merge xmmreg3 with xmmreg2 into xmmreg1 C4: rxb0_3: w xmmreg2 001:21:11 xmmreg1 xmmreg3: imm
use immto merge mem with xmmreg2 into xmmreg1 C4: rxb0_3: w xmmreg2 001:21:mod xmmreg1 r/m: imm
VMO V N T D Q A Lo a d D o u b le Q u a d w o rd N o n -t e m p o ra l
Alig n e d
m128 to xmmreg1 C4: rxb0_2: w_F 001:2A:11 xmmreg1 r/m
VMP S AD B W Mu lt ip le P a cke d S u m s o f Ab so lu t e
D iffe re n ce
xmmreg3 with xmmreg2 into xmmreg1 C4: rxb0_3: w xmmreg2 001:42:11 xmmreg1 xmmreg3: imm
m128 with xmmreg2 into xmmreg1 C4: rxb0_3: w xmmreg2 001:42:mod xmmreg1 r/m: imm
VP AC K U S D W P a ck w it h U n sig n e d S a t u ra t io n
xmmreg3 and xmmreg2 to xmmreg1 C4: rxb0_2: w xmmreg2 001:2B:11 xmmreg1 xmmreg3: imm
m128 and xmmreg2 to xmmreg1 C4: rxb0_2: w xmmreg2 001:2B:mod xmmreg1 r/m: imm
VP B LE N D VB Va ria b le B le n d P a cke d B y t e s
xmmreg2 with xmmreg3 into xmmreg1 using xmmreg4 as
mask
C4: rxb0_3: w xmmreg2 001:4C:11 xmmreg1 xmmreg3: xmmreg4
xmmreg2 with mem to xmmreg1 using xmmreg4 as mask C4: rxb0_3: w xmmreg2 001:4C:mod xmmreg1 r/m: xmmreg4
VP B LE N D W B le n d P a cke d Wo rd s
xmmreg2 with xmmreg3 into xmmreg1 C4: rxb0_3: w xmmreg2 001:0E:11 xmmreg1 xmmreg3: imm
xmmreg2 with mem to xmmreg1 C4: rxb0_3: w xmmreg2 001:0E:mod xmmreg1 r/m: imm
VP C MP E Q Q C o m p a re P a cke d Q w o rd D a t a o f E q u a l
xmmreg2 with xmmreg3 into xmmreg1 C4: rxb0_2: w xmmreg2 001:29:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_2: w xmmreg2 001:29:mod xmmreg1 r/m:
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-75
INSTRUCTION FORMATS AND ENCODINGS
V P E XT R B E x t ra ct B y t e
reg fromxmmreg1 using imm C4: rxb0_3: 0_F 001:14:11 xmmreg1 reg: imm
mem fromxmmreg1 using imm C4: rxb0_3: 0_F 001:14:mod xmmreg1 r/m: imm
V P E XT R D E x t ra ct D Wo rd
reg fromxmmreg1 using imm C4: rxb0_3: 0_F 001:16:11 xmmreg1 reg: imm
mem fromxmmreg1 using imm C4: rxb0_3: 0_F 001:16:mod xmmreg1 r/m: imm
V P E XT R Q E x t ra ct Q Wo rd
reg fromxmmreg1 using imm C4: rxb0_3: 1_F 001:16:11 xmmreg1 reg: imm
mem fromxmmreg1 using imm C4: rxb0_3: 1_F 001:16:mod xmmreg1 r/m: imm
V P E XT R W E x t ra ct Wo rd
reg fromxmmreg1 using imm C4: rxb0_3: 0_F 001:15:11 xmmreg1 reg: imm
mem fromxmmreg1 using imm C4: rxb0_3: 0_F 001:15:mod xmmreg1 r/m: imm
V P H MI N P O S U W P a cke d H o rizo n t a l Wo rd Min im u m
xmmreg2 to xmmreg1 C4: rxb0_2: w_F 001:41:11 xmmreg1 xmmreg2
mem to xmmreg1 C4: rxb0_2: w_F 001:41:mod xmmreg1 r/m
VP I N S R B I n se rt B y t e
reg with xmmreg2 to xmmreg1, imm8 C4: rxb0_3: 0 xmmreg2 001:20:11 xmmreg1 reg: imm
memwith xmmreg2 to xmmreg1, imm8 C4: rxb0_3: 0 xmmreg2 001:20:mod xmmreg1 r/m: imm
VP I N S R D I n se rt D Wo rd
reg with xmmreg2 to xmmreg1, imm8 C4: rxb0_3: 0 xmmreg2 001:22:11 xmmreg1 reg: imm
memwith xmmreg2 to xmmreg1, imm8 C4: rxb0_3: 0 xmmreg2 001:22:mod xmmreg1 r/m: imm
VP I N S R Q I n se rt Q Wo rd
r64 with xmmreg2 to xmmreg1, imm8 C4: rxb0_3: 1 xmmreg2 001:22:11 xmmreg1 reg: imm
m64 with xmmreg2 to xmmreg1, imm8 C4: rxb0_3: 1 xmmreg2 001:22:mod xmmreg1 r/m: imm
V P MAXS B Ma x im u m o f P a cke d S ig n e d B y t e I n t e g e rs
xmmreg2 with xmmreg3 into xmmreg1 C4: rxb0_2: w xmmreg2 001:3C:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_2: w xmmreg2 001:3C:mod xmmreg1 r/m
V P MAXS D Ma x im u m o f P a cke d S ig n e d D w o rd I n t e g e rs
xmmreg2 with xmmreg3 into xmmreg1 C4: rxb0_2: w xmmreg2 001:3D:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_2: w xmmreg2 001:3D:mod xmmreg1 r/m
VP MAXU D Ma x im u m o f P a cke d U n sig n e d D w o rd I n t e g e rs
xmmreg2 with xmmreg3 into xmmreg1 C4: rxb0_2: w xmmreg2 001:3F:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_2: w xmmreg2 001:3F:mod xmmreg1 r/m
V P MAXU W Ma x im u m o f P a cke d U n sig n e d Wo rd I n t e g e rs
xmmreg2 with xmmreg3 into xmmreg1 C4: rxb0_2: w xmmreg2 001:3E:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_2: w xmmreg2 001:3E:mod xmmreg1 r/m
V P MI N S B Min im u m o f P a cke d S ig n e d B y t e I n t e g e rs
xmmreg2 with xmmreg3 into xmmreg1 C4: rxb0_2: w xmmreg2 001:38:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_2: w xmmreg2 001:38:mod xmmreg1 r/m
I n st ru ct io n a n d Fo rm a t E n co d in g
B-76 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
VP MI N S D Min im u m o f P a cke d S ig n e d D w o rd I n t e g e rs
xmmreg2 with xmmreg3 into xmmreg1 C4: rxb0_2: w xmmreg2 001:39:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_2: w xmmreg2 001:39:mod xmmreg1 r/m
VP MI N U D Min im u m o f P a cke d U n sig n e d D w o rd I n t e g e rs
xmmreg2 with xmmreg3 into xmmreg1 C4: rxb0_2: w xmmreg2 001:3B:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_2: w xmmreg2 001:3B:mod xmmreg1 r/m
VP MI N U W Min im u m o f P a cke d U n sig n e d Wo rd I n t e g e rs
xmmreg2 with xmmreg3 into xmmreg1 C4: rxb0_2: w xmmreg2 001:3A:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_2: w xmmreg2 001:3A:mod xmmreg1 r/m
VP MO VS XB D P a cke d Mo v e S ig n E x t e n d - B y t e to D w o rd
xmmreg2 to xmmreg1 C4: rxb0_2: w_F 001:21:11 xmmreg1 xmmreg2
mem to xmmreg1 C4: rxb0_2: w_F 001:21:mod xmmreg1 r/m
VP MO VS XB Q P a cke d Mo v e S ig n E x t e n d - B y t e to Q w o rd
xmmreg2 to xmmreg1 C4: rxb0_2: w_F 001:22:11 xmmreg1 xmmreg2
mem to xmmreg1 C4: rxb0_2: w_F 001:22:mod xmmreg1 r/m
VP MO VS XB W P a cke d Mo v e S ig n E x t e n d - B y t e to Wo rd
xmmreg2 to xmmreg1 C4: rxb0_2: w_F 001:20:11 xmmreg1 xmmreg2
mem to xmmreg1 C4: rxb0_2: w_F 001:20:mod xmmreg1 r/m
VP MO VS XWD P a cke d Mo v e S ig n E x t e n d - Wo rd to D w o rd
xmmreg2 to xmmreg1 C4: rxb0_2: w_F 001:23:11 xmmreg1 xmmreg2
mem to xmmreg1 C4: rxb0_2: w_F 001:23:mod xmmreg1 r/m
VP MO VS XWQ P a cke d Mo v e S ig n E x t e n d - Wo rd to Q w o rd
xmmreg2 to xmmreg1 C4: rxb0_2: w_F 001:24:11 xmmreg1 xmmreg2
mem to xmmreg1 C4: rxb0_2: w_F 001:24:mod xmmreg1 r/m
VP MO VS XD Q P a cke d Mo v e S ig n E x t e n d - D w o rd t o
Q w o rd
xmmreg2 to xmmreg1 C4: rxb0_2: w_F 001:25:11 xmmreg1 xmmreg2
mem to xmmreg1 C4: rxb0_2: w_F 001:25:mod xmmreg1 r/m
VP MO VZ XB D P a cke d Mo v e Z e ro E x t e n d - B y t e to D w o rd
xmmreg2 to xmmreg1 C4: rxb0_2: w_F 001:31:11 xmmreg1 xmmreg2
mem to xmmreg1 C4: rxb0_2: w_F 001:31:mod xmmreg1 r/m
VP MO VZ XB Q P a cke d Mo v e Z e ro
E x t e n d - B y t e t o Q w o rd
xmmreg2 to xmmreg1 C4: rxb0_2: w_F 001:32:11 xmmreg1 xmmreg2
mem to xmmreg1 C4: rxb0_2: w_F 001:32:mod xmmreg1 r/m
VP MO VZ XB W P a cke d Mo v e Z e ro
E x t e n d - B y t e t o Wo rd
xmmreg2 to xmmreg1 C4: rxb0_2: w_F 001:30:11 xmmreg1 xmmreg2
mem to xmmreg1 C4: rxb0_2: w_F 001:30:mod xmmreg1 r/m
VP MO VZ XWD P a cke d Mo v e Z e ro
E x t e n d - Wo rd to D w o rd
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-77
INSTRUCTION FORMATS AND ENCODINGS
xmmreg2 to xmmreg1 C4: rxb0_2: w_F 001:33:11 xmmreg1 xmmreg2
mem to xmmreg1 C4: rxb0_2: w_F 001:33:mod xmmreg1 r/m
V P MO VZ XWQ P a cke d Mo v e Z e ro
E x t e n d - Wo rd to Q w o rd
xmmreg2 to xmmreg1 C4: rxb0_2: w_F 001:34:11 xmmreg1 xmmreg2
mem to xmmreg1 C4: rxb0_2: w_F 001:34:mod xmmreg1 r/m
V P MO VZ XD Q P a cke d Mo v e Z e ro
E x t e n d - D w o rd to Q w o rd
xmmreg2 to xmmreg1 C4: rxb0_2: w_F 001:35:11 xmmreg1 xmmreg2
mem to xmmreg1 C4: rxb0_2: w_F 001:35:mod xmmreg1 r/m
V P MU LD Q Mu lt ip ly P a cke d S ig n e d
D w o rd I n t e g e rs
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_2: w xmmreg2 001:28:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_2: w xmmreg2 001:28:mod xmmreg1 r/m
V P MU LLD Mu lt ip ly P a cke d S ig n e d
D w o rd I n t e g e rs, S t o re lo w R e su lt
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_2: w xmmreg2 001:40:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_2: w xmmreg2 001:40:mod xmmreg1 r/m
V P T E S T Lo g ica l C o m p a re
xmmreg2 to xmmreg1 C4: rxb0_2: w_F 001:17:11 xmmreg1 xmmreg2
mem to xmmreg C4: rxb0_2: w_F 001:17:mod xmmreg1 r/m
ymmreg2 to ymmreg1 C4: rxb0_2: w_F 101:17:11 ymmreg1 ymmreg2
mem to ymmreg C4: rxb0_2: w_F 101:17:mod ymmreg1 r/m
VR O U N D P D R o u n d P a cke d D o u b le -
P re cisio n Va lu e s
xmmreg2 to xmmreg1, imm8 C4: rxb0_3: w_F 001:09:11 xmmreg1 xmmreg2: imm
memto xmmreg1, imm8 C4: rxb0_3: w_F 001:09:mod xmmreg1 r/m: imm
ymmreg2 to ymmreg1, imm8 C4: rxb0_3: w_F 101:09:11 ymmreg1 ymmreg2: imm
mem to ymmreg1, imm8 C4: rxb0_3: w_F 101:09:mod ymmreg1 r/m: imm
V R O U N D P S R o u n d P a cke d S in g le -P re cisio n Va lu e s
xmmreg2 to xmmreg1, imm8 C4: rxb0_3: w_F 001:08:11 xmmreg1 xmmreg2: imm
memto xmmreg1, imm8 C4: rxb0_3: w_F 001:08:mod xmmreg1 r/m: imm
ymmreg2 to ymmreg1, imm8 C4: rxb0_3: w_F 101:08:11 ymmreg1 ymmreg2: imm
mem to ymmreg1, imm8 C4: rxb0_3: w_F 101:08:mod ymmreg1 r/m: imm
VR O U N D S D R o u n d S ca la r D o u b le -
P re cisio n Va lu e
xmmreg2 and xmmreg3 to xmmreg1, imm8 C4: rxb0_3: w xmmreg2 001:0B:11 xmmreg1 xmmreg3: imm
xmmreg2 and mem to xmmreg1, imm8 C4: rxb0_3: w xmmreg2 001:0B:mod xmmreg1 r/m: imm
V R O U N D S S R o u n d S ca la r S in g le -
P re cisio n Va lu e
xmmreg2 and xmmreg3 to xmmreg1, imm8 C4: rxb0_3: w xmmreg2 001:0A:11 xmmreg1 xmmreg3: imm
xmmreg2 and mem to xmmreg1, imm8 C4: rxb0_3: w xmmreg2 001:0A:mod xmmreg1 r/m: imm
I n st ru ct io n a n d Fo rm a t E n co d in g
B-78 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
VP C MP E S T R I P a cke d C o m p a re E x p licit Le n g t h S t rin g s,
R e t u rn I n d e x
xmmreg2 with xmmreg1, imm8 C4: rxb0_3: w_F 001:61:11 xmmreg1 xmmreg2: imm
mem with xmmreg1, imm8 C4: rxb0_3: w_F 001:61:mod xmmreg1 r/m: imm
VP C MP E S T R M P a cke d C o m p a re E x p licit Le n g t h St rin g s,
R e t u rn Ma sk
xmmreg2 with xmmreg1, imm8 C4: rxb0_3: w_F 001:60:11 xmmreg1 xmmreg2: imm
mem with xmmreg1, imm8 C4: rxb0_3: w_F 001:60:mod xmmreg1 r/m: imm
VP C MP G T Q C o m p a re P a cke d D a t a fo r G re a t e r T h a n
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_2: w xmmreg2 001:28:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_2: w xmmreg2 001:28:mod xmmreg1 r/m
VP C MP I S T R I P a cke d C o m p a re I m p licit Le n g t h S t rin g s,
R e t u rn I n d e x
xmmreg2 with xmmreg1, imm8 C4: rxb0_3: w_F 001:63:11 xmmreg1 xmmreg2: imm
mem with xmmreg1, imm8 C4: rxb0_3: w_F 001:63:mod xmmreg1 r/m: imm
VP C MP I S T R M P a cke d C o m p a re I m p licit Le n g t h S t rin g s,
R e t u rn Ma sk
xmmreg2 with xmmreg1, imm8 C4: rxb0_3: w_F 001:62:11 xmmreg1 xmmreg2: imm
memwith xmmreg, imm8 C4: rxb0_3: w_F 001:62:mod xmmreg1 r/m: imm
VAE S D E C P e rfo rm O n e R o u n d o f a n AE S D e cry p t io n F lo w
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_2: w xmmreg2 001:DE:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_2: w xmmreg2 001:DE:mod xmmreg1 r/m
VAE S D E C LAS T P e rfo rm La st R o u n d o f a n AE S D e cry p t io n
F lo w
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_2: w xmmreg2 001:DF:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_2: w xmmreg2 001:DF:mod xmmreg1 r/m
VAE S E N C P e rfo rm O n e R o u n d o f a n AE S E n cry p t io n F lo w
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_2: w xmmreg2 001:DC:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_2: w xmmreg2 001:DC:mod xmmreg1 r/m
VAE S E N C LAS T P e rfo rm La st R o u n d o f a n AE S E n cry p t io n
F lo w
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_2: w xmmreg2 001:DD:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_2: w xmmreg2 001:DD:mod xmmreg1 r/m
VAE S I MC P e rfo rm t h e AE S I n v Mix C o lu m n T ra n sfo rm a t io n
xmmreg2 to xmmreg1 C4: rxb0_2: w_F 001:DB:11 xmmreg1 xmmreg2
mem to xmmreg1 C4: rxb0_2: w_F 001:DB:mod xmmreg1 r/m
VAE S K E YG E N AS S I S T AE S R o u n d K e y G e n e ra t io n Assist
xmmreg2 to xmmreg1, imm8 C4: rxb0_3: w_F 001:DF:11 xmmreg1 xmmreg2: imm
mem to xmmreg, imm8 C4: rxb0_3: w_F 001:DF:mod xmmreg1 r/m: imm
V P AB S B P a cke d Ab so lu t e Va lu e
xmmreg2 to xmmreg1 C4: rxb0_2: w_F 001:1C:11 xmmreg1 xmmreg2
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-79
INSTRUCTION FORMATS AND ENCODINGS
mem to xmmreg1 C4: rxb0_2: w_F 001:1C:mod xmmreg1 r/m
V P AB S D P a cke d Ab so lu t e Va lu e
xmmreg2 to xmmreg1 C4: rxb0_2: w_F 001:1E:11 xmmreg1 xmmreg2
mem to xmmreg1 C4: rxb0_2: w_F 001:1E:mod xmmreg1 r/m
V P AB S W P a cke d Ab so lu t e Va lu e
xmmreg2 to xmmreg1 C4: rxb0_2: w_F 001:1D:11 xmmreg1 xmmreg2
mem to xmmreg1 C4: rxb0_2: w_F 001:1D:mod xmmreg1 r/m
V P ALI G N R P a cke d Alig n R ig h t
xmmreg2 with xmmreg3 to xmmreg1, imm8 C4: rxb0_3: w xmmreg2 001:DD:11 xmmreg1 xmmreg3: imm
xmmreg2 with memto xmmreg1, imm8 C4: rxb0_3: w xmmreg2 001:DD:mod xmmreg1 r/m: imm
VP H AD D D P a cke d H o rizo n t a l Ad d
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_2: w xmmreg2 001:02:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_2: w xmmreg2 001:02:mod xmmreg1 r/m
V P H AD D W P a cke d H o rizo n t a l Ad d
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_2: w xmmreg2 001:01:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_2: w xmmreg2 001:01:mod xmmreg1 r/m
V P H AD D S W P a cke d H o rizo n t a l Ad d a n d S a t u ra t e
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_2: w xmmreg2 001:03:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_2: w xmmreg2 001:03:mod xmmreg1 r/m
V P H S U B D P a cke d H o rizo n t a l S u b t ra ct
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_2: w xmmreg2 001:06:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_2: w xmmreg2 001:06:mod xmmreg1 r/m
V P H S U B W P a cke d H o rizo n t a l S u b t ra ct
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_2: w xmmreg2 001:05:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_2: w xmmreg2 001:05:mod xmmreg1 r/m
V P H S U B S W P a cke d H o rizo n t a l S u b t ra ct a n d S a t u ra t e
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_2: w xmmreg2 001:07:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_2: w xmmreg2 001:07:mod xmmreg1 r/m
V P MAD D U B S W Mu lt ip ly a n d Ad d P a cke d S ig n e d a n d
U n sig n e d B y t e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_2: w xmmreg2 001:04:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_2: w xmmreg2 001:04:mod xmmreg1 r/m
V P MU LH R S W P a cke d Mu lt ip ly H ig h w it h R o u n d a n d S ca le
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_2: w xmmreg2 001:0B:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_2: w xmmreg2 001:0B:mod xmmreg1 r/m
V P S H U F B P a cke d S h u ff le B y t e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_2: w xmmreg2 001:00:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_2: w xmmreg2 001:00:mod xmmreg1 r/m
V P S I G N B P a cke d S I G N
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_2: w xmmreg2 001:08:11 xmmreg1 xmmreg3
I n st ru ct io n a n d Fo rm a t E n co d in g
B-80 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
xmmreg2 with mem to xmmreg1 C4: rxb0_2: w xmmreg2 001:08:mod xmmreg1 r/m
VP S I G N D P a cke d S I G N
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_2: w xmmreg2 001:0A:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_2: w xmmreg2 001:0A:mod xmmreg1 r/m
VP S I G N W P a cke d S I G N
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_2: w xmmreg2 001:09:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_2: w xmmreg2 001:09:mod xmmreg1 r/m
VAD D S U B P D P a cke d D o u b le -F P Ad d /S u b t ra ct
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:D0:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:D0:mod xmmreg1 r/m
xmmreglo2
1
with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:D0:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:D0:mod xmmreg1 r/m
ymmreg2 with ymmreg3 to ymmreg1 C4: rxb0_1: w ymmreg2 101:D0:11 ymmreg1 ymmreg3
ymmreg2 with memto ymmreg1 C4: rxb0_1: w ymmreg2 101:D0:mod ymmreg1 r/m
ymmreglo2 with ymmreglo3 to ymmreg1 C5: r_ymmreglo2 101:D0:11 ymmreg1 ymmreglo3
ymmreglo2 with mem to ymmreg1 C5: r_ymmreglo2 101:D0:mod ymmreg1 r/m
VAD D S U B P S P a cke d S in g le -F P Ad d /S u b t ra ct
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 011:D0:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 011:D0:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 011:D0:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 011:D0:mod xmmreg1 r/m
ymmreg2 with ymmreg3 to ymmreg1 C4: rxb0_1: w ymmreg2 111:D0:11 ymmreg1 ymmreg3
ymmreg2 with memto ymmreg1 C4: rxb0_1: w ymmreg2 111:D0:mod ymmreg1 r/m
ymmreglo2 with ymmreglo3 to ymmreg1 C5: r_ymmreglo2 111:D0:11 ymmreg1 ymmreglo3
ymmreglo2 with mem to ymmreg1 C5: r_ymmreglo2 111:D0:mod ymmreg1 r/m
VH AD D P D P a cke d D o u b le -F P H o rizo n t a l Ad d
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:7C:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:7C:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:7C:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:7C:mod xmmreg1 r/m
ymmreg2 with ymmreg3 to ymmreg1 C4: rxb0_1: w ymmreg2 101:7C:11 ymmreg1 ymmreg3
ymmreg2 with mem to ymmreg1 C4: rxb0_1: w ymmreg2 101:7C:mod ymmreg1 r/m
ymmreglo2 with ymmreglo3 to ymmreg1 C5: r_ymmreglo2 101:7C:11 ymmreg1 ymmreglo3
ymmreglo2 with mem to ymmreg1 C5: r_ymmreglo2 101:7C:mod ymmreg1 r/m
VH AD D P S P a cke d S in g le -F P H o rizo n t a l Ad d
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 011:7C:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 011:7C:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 011:7C:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 011:7C:mod xmmreg1 r/m
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-81
INSTRUCTION FORMATS AND ENCODINGS
ymmreg2 with ymmreg3 to ymmreg1 C4: rxb0_1: w ymmreg2 111:7C:11 ymmreg1 ymmreg3
ymmreg2 with memto ymmreg1 C4: rxb0_1: w ymmreg2 111:7C:mod ymmreg1 r/m
ymmreglo2 with ymmreglo3 to ymmreg1 C5: r_ymmreglo2 111:7C:11 ymmreg1 ymmreglo3
ymmreglo2 with mem to ymmreg1 C5: r_ymmreglo2 111:7C:mod ymmreg1 r/m
VH S U B P D P a cke d D o u b le -F P H o rizo n t a l S u b t ra ct
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:7D:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:7D:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:7D:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:7D:mod xmmreg1 r/m
ymmreg2 with ymmreg3 to ymmreg1 C4: rxb0_1: w ymmreg2 101:7D:11 ymmreg1 ymmreg3
ymmreg2 with memto ymmreg1 C4: rxb0_1: w ymmreg2 101:7D:mod ymmreg1 r/m
ymmreglo2 with ymmreglo3 to ymmreg1 C5: r_ymmreglo2 101:7D:11 ymmreg1 ymmreglo3
ymmreglo2 with mem to ymmreg1 C5: r_ymmreglo2 101:7D:mod ymmreg1 r/m
V H S U B P S P a cke d S in g le -F P H o rizo n t a l S u b t ra ct
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 011:7D:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 011:7D:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 011:7D:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 011:7D:mod xmmreg1 r/m
ymmreg2 with ymmreg3 to ymmreg1 C4: rxb0_1: w ymmreg2 111:7D:11 ymmreg1 ymmreg3
ymmreg2 with memto ymmreg1 C4: rxb0_1: w ymmreg2 111:7D:mod ymmreg1 r/m
ymmreglo2 with ymmreglo3 to ymmreg1 C5: r_ymmreglo2 111:7D:11 ymmreg1 ymmreglo3
ymmreglo2 with mem to ymmreg1 C5: r_ymmreglo2 111:7D:mod ymmreg1 r/m
V LD D Q U Lo a d U n a lig n e d I n t e g e r 1 2 8 B it s
mem to xmmreg1 C4: rxb0_1: w_F 011:F0:mod xmmreg1 r/m
memto xmmreg1 C5: r_F 011:F0:mod xmmreg1 r/m
mem to ymmreg1 C4: rxb0_1: w_F 111:F0:mod ymmreg1 r/m
memto ymmreg1 C5: r_F 111:F0:mod ymmreg1 r/m
VMO V D D U P Mo v e O n e D o u b le -F P a n d D u p lica t e
xmmreg2 to xmmreg1 C4: rxb0_1: w_F 011:12:11 xmmreg1 xmmreg2
mem to xmmreg1 C4: rxb0_1: w_F 011:12:mod xmmreg1 r/m
xmmreglo to xmmreg1 C5: r_F 011:12:11 xmmreg1 xmmreglo
memto xmmreg1 C5: r_F 011:12:mod xmmreg1 r/m
ymmreg2 to ymmreg1 C4: rxb0_1: w_F 111:12:11 ymmreg1 ymmreg2
mem to ymmreg1 C4: rxb0_1: w_F 111:12:mod ymmreg1 r/m
ymmreglo to ymmreg1 C5: r_ F 111:12:11 ymmreg1 ymmreglo
memto ymmreg1 C5: r_F 111:12:mod ymmreg1 r/m
V MO V H LP S Mo v e P a cke d S in g le -P re cisio n F lo a t in g -P o in t
Va lu e s H ig h to Lo w
xmmreg2 and xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 000:12:11 xmmreg1 xmmreg3
I n st ru ct io n a n d Fo rm a t E n co d in g
B-82 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
xmmreglo2 and xmmreglo3 to xmmreg1 C5: r_xmmreglo2 000:12:11 xmmreg1 xmmreglo3
VMO VS H D U P Mo v e P a cke d S in g le -F P H ig h a n d D u p lica t e
xmmreg2 to xmmreg1 C4: rxb0_1: w_F 010:16:11 xmmreg1 xmmreg2
mem to xmmreg1 C4: rxb0_1: w_F 010:16:mod xmmreg1 r/m
xmmreglo to xmmreg1 C5: r_F 010:16:11 xmmreg1 xmmreglo
memto xmmreg1 C5: r_F 010:16:mod xmmreg1 r/m
ymmreg2 to ymmreg1 C4: rxb0_1: w_F 110:16:11 ymmreg1 ymmreg2
mem to ymmreg1 C4: rxb0_1: w_F 110:16:mod ymmreg1 r/m
ymmreglo to ymmreg1 C5: r_F 110:16:11 ymmreg1 ymmreglo
memto ymmreg1 C5: r_F 110:16:mod ymmreg1 r/m
VMO VS LD U P Mo v e P a cke d S in g le -F P Lo w a n d D u p lica t e
xmmreg2 to xmmreg1 C4: rxb0_1: w_F 010:12:11 xmmreg1 xmmreg2
mem to xmmreg1 C4: rxb0_1: w_F 010:12:mod xmmreg1 r/m
xmmreglo to xmmreg1 C5: r_F 010:12:11 xmmreg1 xmmreglo
memto xmmreg1 C5: r_F 010:12:mod xmmreg1 r/m
ymmreg2 to ymmreg1 C4: rxb0_1: w_F 110:12:11 ymmreg1 ymmreg2
mem to ymmreg1 C4: rxb0_1: w_F 110:12:mod ymmreg1 r/m
ymmreglo to ymmreg1 C5: r_F 110:12:11 ymmreg1 ymmreglo
memto ymmreg1 C5: r_F 110:12:mod ymmreg1 r/m
VAD D P D Ad d P a cke d D o u b le -P re cisio n F lo a t in g -P o in t
Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:58:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:58:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:58:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:58:mod xmmreg1 r/m
ymmreg2 with ymmreg3 to ymmreg1 C4: rxb0_1: w ymmreg2 101:58:11 ymmreg1 ymmreg3
ymmreg2 with mem to ymmreg1 C4: rxb0_1: w ymmreg2 101:58:mod ymmreg1 r/m
ymmreglo2 with ymmreglo3 to ymmreg1 C5: r_ymmreglo2 101:58:11 ymmreg1 ymmreglo3
ymmreglo2 with mem to ymmreg1 C5: r_ymmreglo2 101:58:mod ymmreg1 r/m
VAD D S D Ad d S ca la r D o u b le -P re cisio n F lo a t in g -P o in t
Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 011:58:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 011:58:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 011:58:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5 r_xmmreglo2 011:58:mod xmmreg1 r/m
VAN D P D B it w ise Lo g ica l AN D o f P a cke d D o u b le -P re cisio n
F lo a t in g -P o in t Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:54:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:54:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:54:11 xmmreg1 xmmreglo3
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-83
INSTRUCTION FORMATS AND ENCODINGS
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:54:mod xmmreg1 r/m
ymmreg2 with ymmreg3 to ymmreg1 C4: rxb0_1: w ymmreg2 101:54:11 ymmreg1 ymmreg3
ymmreg2 with memto ymmreg1 C4: rxb0_1: w ymmreg2 101:54:mod ymmreg1 r/m
ymmreglo2 with ymmreglo3 to ymmreg1 C5: r_ymmreglo2 101:54:11 ymmreg1 ymmreglo3
ymmreglo2 with mem to ymmreg1 C5: r_ymmreglo2 101:54:mod ymmreg1 r/m
VAN D N P D B it w ise Lo g ica l AN D N O T o f P a cke d D o u b le -
P re cisio n F lo a t in g -P o in t Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:55:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_1: w xmmreg2 001:55:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:55:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:55:mod xmmreg1 r/m
ymmreg2 with ymmreg3 to ymmreg1 C4: rxb0_1: w ymmreg2 101:55:11 ymmreg1 ymmreg3
ymmreg2 with memto ymmreg1 C4: rxb0_1: w ymmreg2 101:55:mod ymmreg1 r/m
ymmreglo2 with ymmreglo3 to ymmreg1 C5: r_ymmreglo2 101:55:11 ymmreg1 ymmreglo3
ymmreglo2 with mem to ymmreg1 C5: r_ymmreglo2 101:55:mod ymmreg1 r/m
VC MP P D C o m p a re P a cke d D o u b le -P re cisio n F lo a t in g -
P o in t Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:C2:11 xmmreg1 xmmreg3: imm
xmmreg2 with memto xmmreg1 C4: rxb0_1: w xmmreg2 001:C2:mod xmmreg1 r/m: imm
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:C2:11 xmmreg1 xmmreglo3: imm
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:C2:mod xmmreg1 r/m: imm
ymmreg2 with ymmreg3 to ymmreg1 C4: rxb0_1: w ymmreg2 101:C2:11 ymmreg1 ymmreg3: imm
ymmreg2 with memto ymmreg1 C4: rxb0_1: w ymmreg2 101:C2:mod ymmreg1 r/m: imm
ymmreglo2 with ymmreglo3 to ymmreg1 C5: r_ymmreglo2 101:C2:11 ymmreg1 ymmreglo3: imm
ymmreglo2 with mem to ymmreg1 C5: r_ymmreglo2 101:C2:mod ymmreg1 r/m: imm
VC MP S D C o m p a re S ca la r D o u b le -P re cisio n F lo a t in g -P o in t
Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 011:C2:11 xmmreg1 xmmreg3: imm
xmmreg2 with memto xmmreg1 C4: rxb0_1: w xmmreg2 011:C2:mod xmmreg1 r/m: imm
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 011:C2:11 xmmreg1 xmmreglo3: imm
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 011:C2:mod xmmreg1 r/m: imm
VC O MI S D C o m p a re S ca la r O rd e re d D o u b le -P re cisio n
F lo a t in g -P o in t Va lu e s a n d S e t E F LAG S
xmmreg2 to xmmreg1 C4: rxb0_1: w_F 001:2F:11 xmmreg1 xmmreg2
mem to xmmreg1 C4: rxb0_1: w_F 001:2F:mod xmmreg1 r/m
xmmreglo to xmmreg1 C5: r_F 001:2F:11 xmmreg1 xmmreglo
memto xmmreg1 C5: r_F 001:2F:mod xmmreg1 r/m
VC VT D Q 2 P D C o n v e rt P a cke d D w o rd I n t e g e rs to P a cke d
D o u b le -P re cisio n F P Va lu e s
xmmreg2 to xmmreg1 C4: rxb0_1: w_F 010:E6:11 xmmreg1 xmmreg2
mem to xmmreg1 C4: rxb0_1: w_F 010:E6:mod xmmreg1 r/m
I n st ru ct io n a n d Fo rm a t E n co d in g
B-84 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
xmmreglo to xmmreg1 C5: r_F 010:E6:11 xmmreg1 xmmreglo
memto xmmreg1 C5: r_F 010:E6:mod xmmreg1 r/m
ymmreg2 to ymmreg1 C4: rxb0_1: w_F 110:E6:11 ymmreg1 ymmreg2
mem to ymmreg1 C4: rxb0_1: w_F 110:E6:mod ymmreg1 r/m
ymmreglo to ymmreg1 C5: r_F 110:E6:11 ymmreg1 ymmreglo
mem to ymmreg1 C5: r_F 110:E6:mod ymmreg1 r/m
VC VT D Q 2 P S C o n v e rt P a cke d D w o rd I n t e g e rs to P a cke d
S in g le -P re cisio n F P Va lu e s
xmmreg2 to xmmreg1 C4: rxb0_1: w_F 000:5B:11 xmmreg1 xmmreg2
mem to xmmreg1 C4: rxb0_1: w_F 000:5B:mod xmmreg1 r/m
xmmreglo to xmmreg1 C5: r_F 000:5B:11 xmmreg1 xmmreglo
mem to xmmreg1 C5: r_F 000:5B:mod xmmreg1 r/m
ymmreg2 to ymmreg1 C4: rxb0_1: w_F 100:5B:11 ymmreg1 ymmreg2
mem to ymmreg1 C4: rxb0_1: w_F 100:5B:mod ymmreg1 r/m
ymmreglo to ymmreg1 C5: r_F 100:5B:11 ymmreg1 ymmreglo
mem to ymmreg1 C5: r_F 100:5B:mod ymmreg1 r/m
VC VT P D 2 D Q C o n v e rt P a cke d D o u b le -P re cisio n F P Va lu e s
to P a cke d D w o rd I n t e g e rs
xmmreg2 to xmmreg1 C4: rxb0_1: w_F 011:E6:11 xmmreg1 xmmreg2
mem to xmmreg1 C4: rxb0_1: w_F 011:E6:mod xmmreg1 r/m
xmmreglo to xmmreg1 C5: r_F 011:E6:11 xmmreg1 xmmreglo
memto xmmreg1 C5: r_F 011:E6:mod xmmreg1 r/m
ymmreg2 to ymmreg1 C4: rxb0_1: w_F 111:E6:11 ymmreg1 ymmreg2
mem to ymmreg1 C4: rxb0_1: w_F 111:E6:mod ymmreg1 r/m
ymmreglo to ymmreg1 C5: r_F 111:E6:11 ymmreg1 ymmreglo
mem to ymmreg1 C5: r_F 111:E6:mod ymmreg1 r/m
VC VT P D 2 P S C o n v e rt P a cke d D o u b le -P re cisio n F P Va lu e s
to P a cke d S in g le -P re cisio n F P Va lu e s
xmmreg2 to xmmreg1 C4: rxb0_1: w_F 001:5A:11 xmmreg1 xmmreg2
mem to xmmreg1 C4: rxb0_1: w_F 001:5A:mod xmmreg1 r/m
xmmreglo to xmmreg1 C5: r_F 001:5A:11 xmmreg1 xmmreglo
mem to xmmreg1 C5: r_F 001:5A:mod xmmreg1 r/m
ymmreg2 to ymmreg1 C4: rxb0_1: w_F 101:5A:11 ymmreg1 ymmreg2
mem to ymmreg1 C4: rxb0_1: w_F 101:5A:mod ymmreg1 r/m
ymmreglo to ymmreg1 C5: r_F 101:5A:11 ymmreg1 ymmreglo
mem to ymmreg1 C5: r_F 101:5A:mod ymmreg1 r/m
VC VT P S 2 D Q C o n v e rt P a cke d S in g le -P re cisio n F P Va lu e s
to P a cke d D w o rd I n t e g e rs
xmmreg2 to xmmreg1 C4: rxb0_1: w_F 001:5B:11 xmmreg1 xmmreg2
mem to xmmreg1 C4: rxb0_1: w_F 001:5B:mod xmmreg1 r/m
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-85
INSTRUCTION FORMATS AND ENCODINGS
xmmreglo to xmmreg1 C5: r_F 001:5B:11 xmmreg1 xmmreglo
mem to xmmreg1 C5: r_F 001:5B:mod xmmreg1 r/m
ymmreg2 to ymmreg1 C4: rxb0_1: w_F 101:5B:11 ymmreg1 ymmreg2
mem to ymmreg1 C4: rxb0_1: w_F 101:5B:mod ymmreg1 r/m
ymmreglo to ymmreg1 C5: r_F 101:5B:11 ymmreg1 ymmreglo
mem to ymmreg1 C5: r_F 101:5B:mod ymmreg1 r/m
VC VT P S 2 P D C o n v e rt P a cke d S in g le -P re cisio n F P Va lu e s
t o P a cke d D o u b le -P re cisio n F P Va lu e s
xmmreg2 to xmmreg1 C4: rxb0_1: w_F 000:5A:11 xmmreg1 xmmreg2
mem to xmmreg1 C4: rxb0_1: w_F 000:5A:mod xmmreg1 r/m
xmmreglo to xmmreg1 C5: r_F 000:5A:11 xmmreg1 xmmreglo
mem to xmmreg1 C5: r_F 000:5A:mod xmmreg1 r/m
ymmreg2 to ymmreg1 C4: rxb0_1: w_F 100:5A:11 ymmreg1 ymmreg2
mem to ymmreg1 C4: rxb0_1: w_F 100:5A:mod ymmreg1 r/m
ymmreglo to ymmreg1 C5: r_F 100:5A:11 ymmreg1 ymmreglo
mem to ymmreg1 C5: r_F 100:5A:mod ymmreg1 r/m
VC VT S D 2 S I C o n v e rt S ca la r D o u b le -P re cisio n F P Va lu e to
I n t e g e r
xmmreg1 to reg32 C4: rxb0_1: 0_F 011:2D:11 reg xmmreg1
mem to reg32 C4: rxb0_1: 0_F 011:2D:mod reg r/m
xmmreglo to reg32 C5: r_F 011:2D:11 reg xmmreglo
memto reg32 C5: r_F 011:2D:mod reg r/m
ymmreg1 to reg64 C4: rxb0_1: 1_F 111:2D:11 reg ymmreg1
mem to reg64 C4: rxb0_1: 1_F 111:2D:mod reg r/m
VC VT S D 2 S S C o n v e rt S ca la r D o u b le -P re cisio n F P Va lu e to
S ca la r S in g le -P re cisio n F P Va lu e
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 011:5A:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 011:5A:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 011:5A:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 011:5A:mod xmmreg1 r/m
VC VT S I 2 S D C o n v e rt D w o rd In t e g e r to S ca la r D o u b le -
P re cisio n F P Va lu e
xmmreg2 with reg to xmmreg1 C4: rxb0_1: 0 xmmreg2 011:2A:11 xmmreg1 reg
xmmreg2 with memto xmmreg1 C4: rxb0_1: 0 xmmreg2 011:2A:mod xmmreg1 r/m
xmmreglo2 with reglo to xmmreg1 C5: r_xmmreglo2 011:2A:11 xmmreg1 reglo
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 011:2A:mod xmmreg1 r/m
ymmreg2 with reg to ymmreg1 C4: rxb0_1: 1 ymmreg2 111:2A:11 ymmreg1 reg
ymmreg2 with mem to ymmreg1 C4: rxb0_1: 1 ymmreg2 111:2A:mod ymmreg1 r/m
VC VT S S 2 S D C o n v e rt S ca la r S in g le -P re cisio n F P Va lu e to
S ca la r D o u b le -P re cisio n F P Va lu e
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 010:5A:11 xmmreg1 xmmreg3
I n st ru ct io n a n d Fo rm a t E n co d in g
B-86 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 010:5A:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 010:5A:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 010:5A:mod xmmreg1 r/m
VC VT T P D 2 D Q C o n v e rt w it h T ru n ca t io n P a cke d D o u b le -
P re cisio n F P Va lu e s to P a cke d D w o rd I n t e g e rs
xmmreg2 to xmmreg1 C4: rxb0_1: w_F 001:E6:11 xmmreg1 xmmreg2
mem to xmmreg1 C4: rxb0_1: w_F 001:E6:mod xmmreg1 r/m
xmmreglo to xmmreg1 C5: r_F 001:E6:11 xmmreg1 xmmreglo
memto xmmreg1 C5: r_F 001:E6:mod xmmreg1 r/m
ymmreg2 to ymmreg1 C4: rxb0_1: w_F 101:E6:11 ymmreg1 ymmreg2
mem to ymmreg1 C4: rxb0_1: w_F 101:E6:mod ymmreg1 r/m
ymmreglo to ymmreg1 C5: r_F 101:E6:11 ymmreg1 ymmreglo
mem to ymmreg1 C5: r_F 101:E6:mod ymmreg1 r/m
VC VT T P S 2 D Q C o n v e rt w it h T ru n ca t io n P a cke d S in g le -
P re cisio n F P Va lu e s to P a cke d D w o rd I n t e g e rs
xmmreg2 to xmmreg1 C4: rxb0_1: w_F 010:5B:11 xmmreg1 xmmreg2
mem to xmmreg1 C4: rxb0_1: w_F 010:5B:mod xmmreg1 r/m
xmmreglo to xmmreg1 C5: r_F 010:5B:11 xmmreg1 xmmreglo
mem to xmmreg1 C5: r_F 010:5B:mod xmmreg1 r/m
ymmreg2 to ymmreg1 C4: rxb0_1: w_F 110:5B:11 ymmreg1 ymmreg2
mem to ymmreg1 C4: rxb0_1: w_F 110:5B:mod ymmreg1 r/m
ymmreglo to ymmreg1 C5: r_F 110:5B:11 ymmreg1 ymmreglo
mem to ymmreg1 C5: r_F 110:5B:mod ymmreg1 r/m
VC VT T S D 2 S I C o n v e rt w it h T ru n ca t io n S ca la r D o u b le -
P re cisio n F P Va lu e t o S ig n e d I n t e g e r
xmmreg1 to reg32 C4: rxb0_1: 0_F 011:2C:11 reg xmmreg1
mem to reg32 C4: rxb0_1: 0_F 011:2C:mod reg r/m
xmmreglo to reg32 C5: r_F 011:2C:11 reg xmmreglo
mem to reg32 C5: r_F 011:2C:mod reg r/m
xmmreg1 to reg64 C4: rxb0_1: 1_F 011:2C:11 reg xmmreg1
mem to reg64 C4: rxb0_1: 1_F 011:2C:mod reg r/m
VD I VP D D iv id e P a cke d D o u b le -P re cisio n F lo a t in g -P o in t
Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:5E:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:5E:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:5E:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:5E:mod xmmreg1 r/m
ymmreg2 with ymmreg3 to ymmreg1 C4: rxb0_1: w ymmreg2 101:5E:11 ymmreg1 ymmreg3
ymmreg2 with mem to ymmreg1 C4: rxb0_1: w ymmreg2 101:5E:mod ymmreg1 r/m
ymmreglo2 with ymmreglo3 to ymmreg1 C5: r_ymmreglo2 101:5E:11 ymmreg1 ymmreglo3
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-87
INSTRUCTION FORMATS AND ENCODINGS
ymmreglo2 with mem to ymmreg1 C5: r_ymmreglo2 101:5E:mod ymmreg1 r/m
V D I VS D D iv id e S ca la r D o u b le -P re cisio n F lo a t in g -P o in t
Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 011:5E:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_1: w xmmreg2 011:5E:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 011:5E:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 011:5E:mod xmmreg1 r/m
VMAS K MO V D Q U S to re S e le ct e d B y t e s o f D o u b le
Q u a d w o rd
xmmreg1 to mem; xmmreg2 as mask C4: rxb0_1: w_F 001:F7:11 r/m xmmreg1: xmmreg2
xmmreg1 to mem; xmmreg2 as mask C5: r_F 001:F7:11 r/m xmmreg1: xmmreg2
V MAXP D R e t u rn Ma x im u m P a cke d D o u b le -P re cisio n
F lo a t in g -P o in t Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:5F:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_1: w xmmreg2 001:5F:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:5F:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:5F:mod xmmreg1 r/m
ymmreg2 with ymmreg3 to ymmreg1 C4: rxb0_1: w ymmreg2 101:5F:11 ymmreg1 ymmreg3
ymmreg2 with memto ymmreg1 C4: rxb0_1: w ymmreg2 101:5F:mod ymmreg1 r/m
ymmreglo2 with ymmreglo3 to ymmreg1 C5: r_ymmreglo2 101:5F:11 ymmreg1 ymmreglo3
ymmreglo2 with mem to ymmreg1 C5: r_ymmreglo2 101:5F:mod ymmreg1 r/m
V MAXS D R e t u rn Ma x im u m S ca la r D o u b le -P re cisio n
F lo a t in g -P o in t Va lu e
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 011:5F:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_1: w xmmreg2 011:5F:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 011:5F:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 011:5F:mod xmmreg1 r/m
V MI N P D R e t u rn Min im u m P a cke d D o u b le -P re cisio n
F lo a t in g -P o in t Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:5D:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:5D:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:5D:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:5D:mod xmmreg1 r/m
ymmreg2 with ymmreg3 to ymmreg1 C4: rxb0_1: w ymmreg2 101:5D:11 ymmreg1 ymmreg3
ymmreg2 with memto ymmreg1 C4: rxb0_1: w ymmreg2 101:5D:mod ymmreg1 r/m
ymmreglo2 with ymmreglo3 to ymmreg1 C5: r_ymmreglo2 101:5D:11 ymmreg1 ymmreglo3
ymmreglo2 with mem to ymmreg1 C5: r_ymmreglo2 101:5D:mod ymmreg1 r/m
V MI N S D R e t u rn Min im u m S ca la r D o u b le -P re cisio n
F lo a t in g -P o in t Va lu e
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 011:5D:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 011:5D:mod xmmreg1 r/m
I n st ru ct io n a n d Fo rm a t E n co d in g
B-88 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 011:5D:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 011:5D:mod xmmreg1 r/m
VMO VAP D Mo v e Alig n e d P a cke d D o u b le -P re cisio n
F lo a t in g -P o in t Va lu e s
xmmreg2 to xmmreg1 C4: rxb0_1: w_F 001:28:11 xmmreg1 xmmreg2
mem to xmmreg1 C4: rxb0_1: w_F 001:28:mod xmmreg1 r/m
xmmreglo to xmmreg1 C5: r_F 001:28:11 xmmreg1 xmmreglo
memto xmmreg1 C5: r_F 001:28:mod xmmreg1 r/m
xmmreg1 to xmmreg2 C4: rxb0_1: w_F 001:29:11 xmmreg2 xmmreg1
xmmreg1 to mem C4: rxb0_1: w_F 001:29:mod r/m xmmreg1
xmmreg1 to xmmreglo C5: r_F 001:29:11 xmmreglo xmmreg1
xmmreg1 to mem C5: r_F 001:29:mod r/m xmmreg1
ymmreg2 to ymmreg1 C4: rxb0_1: w_F 101:28:11 ymmreg1 ymmreg2
mem to ymmreg1 C4: rxb0_1: w_F 101:28:mod ymmreg1 r/m
ymmreglo to ymmreg1 C5: r_F 101:28:11 ymmreg1 ymmreglo
memto ymmreg1 C5: r_F 101:28:mod ymmreg1 r/m
ymmreg1 to ymmreg2 C4: rxb0_1: w_F 101:29:11 ymmreg2 ymmreg1
ymmreg1 to mem C4: rxb0_1: w_F 101:29:mod r/m ymmreg1
ymmreg1 to ymmreglo C5: r_F 101:29:11 ymmreglo ymmreg1
ymmreg1 to mem C5: r_F 101:29:mod r/m ymmreg1
VMO V D Mo v e D o u b le w o rd
reg32 to xmmreg1 C4: rxb0_1: 0_F 001:6E:11 xmmreg1 reg32
mem32 to xmmreg1 C4: rxb0_1: 0_F 001:6E:mod xmmreg1 r/m
reg32 to xmmreg1 C5: r_F 001:6E:11 xmmreg1 reg32
mem32 to xmmreg1 C5: r_F 001:6E:mod xmmreg1 r/m
xmmreg1 to reg32 C4: rxb0_1: 0_F 001:7E:11 reg32 xmmreg1
xmmreg1 to mem32 C4: rxb0_1: 0_F 001:7E:mod mem32 xmmreg1
xmmreglo to reg32 C5: r_F 001:7E:11 reg32 xmmreglo
xmmreglo to mem32 C5: r_F 001:7E:mod mem32 xmmreglo
VMO VQ Mo v e Q u a d w o rd
reg64 to xmmreg1 C4: rxb0_1: 1_F 001:6E:11 xmmreg1 reg64
mem64 to xmmreg1 C4: rxb0_1: 1_F 001:6E:mod xmmreg1 r/m
xmmreg1 to reg64 C4: rxb0_1: 1_F 001:7E:11 reg64 xmmreg1
xmmreg1 to mem64 C4: rxb0_1: 1_F 001:7E:mod r/m xmmreg1
VMO V D Q A Mo v e Alig n e d D o u b le Q u a d w o rd
xmmreg2 to xmmreg1 C4: rxb0_1: w_F 001:6F:11 xmmreg1 xmmreg2
mem to xmmreg1 C4: rxb0_1: w_F 001:6F:mod xmmreg1 r/m
xmmreglo to xmmreg1 C5: r_F 001:6F:11 xmmreg1 xmmreglo
mem to xmmreg1 C5: r_F 001:6F:mod xmmreg1 r/m
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-89
INSTRUCTION FORMATS AND ENCODINGS
xmmreg1 to xmmreg2 C4: rxb0_1: w_F 001:7F:11 xmmreg2 xmmreg1
xmmreg1 to mem C4: rxb0_1: w_F 001:7F:mod r/m xmmreg1
xmmreg1 to xmmreglo C5: r_F 001:7F:11 xmmreglo xmmreg1
xmmreg1 to mem C5: r_F 001:7F:mod r/m xmmreg1
ymmreg2 to ymmreg1 C4: rxb0_1: w_F 101:6F:11 ymmreg1 ymmreg2
mem to ymmreg1 C4: rxb0_1: w_F 101:6F:mod ymmreg1 r/m
ymmreglo to ymmreg1 C5: r_F 101:6F:11 ymmreg1 ymmreglo
memto ymmreg1 C5: r_F 101:6F:mod ymmreg1 r/m
ymmreg1 to ymmreg2 C4: rxb0_1: w_F 101:7F:11 ymmreg2 ymmreg1
ymmreg1 to mem C4: rxb0_1: w_F 101:7F:mod r/m ymmreg1
ymmreg1 to ymmreglo C5: r_F 101:7F:11 ymmreglo ymmreg1
ymmreg1 to mem C5: r_F 101:7F:mod r/m ymmreg1
V MO V D Q U Mo v e U n a lig n e d D o u b le Q u a d w o rd
xmmreg2 to xmmreg1 C4: rxb0_1: w_F 010:6F:11 xmmreg1 xmmreg2
mem to xmmreg1 C4: rxb0_1: w_F 010:6F:mod xmmreg1 r/m
xmmreglo to xmmreg1 C5: r_F 010:6F:11 xmmreg1 xmmreglo
memto xmmreg1 C5: r_F 010:6F:mod xmmreg1 r/m
xmmreg1 to xmmreg2 C4: rxb0_1: w_F 010:7F:11 xmmreg2 xmmreg1
xmmreg1 to mem C4: rxb0_1: w_F 010:7F:mod r/m xmmreg1
xmmreg1 to xmmreglo C5: r_F 010:7F:11 xmmreglo xmmreg1
xmmreg1 to mem C5: r_F 010:7F:mod r/m xmmreg1
ymmreg2 to ymmreg1 C4: rxb0_1: w_F 110:6F:11 ymmreg1 ymmreg2
mem to ymmreg1 C4: rxb0_1: w_F 110:6F:mod ymmreg1 r/m
ymmreglo to ymmreg1 C5: r_F 110:6F:11 ymmreg1 ymmreglo
memto ymmreg1 C5: r_F 110:6F:mod ymmreg1 r/m
ymmreg1 to ymmreg2 C4: rxb0_1: w_F 110:7F:11 ymmreg2 ymmreg1
ymmreg1 to mem C4: rxb0_1: w_F 110:7F:mod r/m ymmreg1
ymmreg1 to ymmreglo C5: r_F 110:7F:11 ymmreglo ymmreg1
ymmreg1 to mem C5: r_F 110:7F:mod r/m ymmreg1
V MO V H P D Mo v e H ig h P a cke d D o u b le -P re cisio n F lo a t in g -
P o in t Va lu e
xmmreg1 and mem to xmmreg2 C4: rxb0_1: w xmmreg1 001:16:11 xmmreg2 r/m
xmmreg1 and mem to xmmreglo2 C5: r_xmmreg1 001:16:11 xmmreglo2 r/m
xmmreg1 to mem C4: rxb0_1: w_F 001:17:mod r/m xmmreg1
xmmreglo to mem C5: r_F 001:17:mod r/m xmmreglo
VMO V LP D Mo v e Lo w P a cke d D o u b le -P re cisio n F lo a t in g -
P o in t Va lu e
xmmreg1 and mem to xmmreg2 C4: rxb0_1: w xmmreg1 001:12:11 xmmreg2 r/m
xmmreg1 and mem to xmmreglo2 C5: r_xmmreg1 001:12:11 xmmreglo2 r/m
xmmreg1 to mem C4: rxb0_1: w_F 001:13:mod r/m xmmreg1
I n st ru ct io n a n d Fo rm a t E n co d in g
B-90 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
xmmreglo to mem C5: r_F 001:13:mod r/m xmmreglo
VMO V MS K P D E x t ra ct P a cke d D o u b le -P re cisio n F lo a t in g -
P o in t S ig n Ma sk
xmmreg2 to reg C4: rxb0_1: w_F 001:50:11 reg xmmreg1
xmmreglo to reg C5: r_F 001:50:11 reg xmmreglo
ymmreg2 to reg C4: rxb0_1: w_F 101:50:11 reg ymmreg1
ymmreglo to reg C5: r_F 101:50:11 reg ymmreglo
VMO V N T D Q S to re D o u b le Q u a d w o rd U sin g N o n -Te m p o ra l
H in t
xmmreg1 to mem C4: rxb0_1: w_F 001:E7:11 r/m xmmreg1
xmmreglo to mem C5: r_F 001:E7:11 r/m xmmreglo
ymmreg1 to mem C4: rxb0_1: w_F 101:E7:11 r/m ymmreg1
ymmreglo to mem C5: r_F 101:E7:11 r/mymmreglo
VMO V N T P D S t o re P a cke d D o u b le -P re cisio n F lo a t in g -
P o in t Va lu e s U sin g N o n -Te m p o ra l H in t
xmmreg1 to mem C4: rxb0_1: w_F 001:2B:11 r/m xmmreg1
xmmreglo to mem C5: r_F 001:2B:11 r/m xmmreglo
ymmreg1 to mem C4: rxb0_1: w_F 101:2B:11r/m ymmreg1
ymmreglo to mem C5: r_F 101:2B:11r/mymmreglo
VMO VS D Mo v e S ca la r D o u b le -P re cisio n F lo a t in g -P o in t
Va lu e
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 011:10:11 xmmreg1 xmmreg3
mem to xmmreg1 C4: rxb0_1: w_F 011:10:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 011:10:11 xmmreg1 xmmreglo3
memto xmmreg1 C5: r_F 011:10:mod xmmreg1 r/m
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 011:11:11 xmmreg1 xmmreg3
xmmreg1 to mem C4: rxb0_1: w_F 011:11:mod r/m xmmreg1
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 011:11:11 xmmreg1 xmmreglo3
xmmreglo to mem C5: r_F 011:11:mod r/m xmmreglo
VMO V U P D Mo v e U n a lig n e d P a cke d D o u b le -P re cisio n
F lo a t in g -P o in t Va lu e s
xmmreg2 to xmmreg1 C4: rxb0_1: w_F 001:10:11 xmmreg1 xmmreg2
mem to xmmreg1 C4: rxb0_1: w_F 001:10:mod xmmreg1 r/m
xmmreglo to xmmreg1 C5: r_F 001:10:11 xmmreg1 xmmreglo
memto xmmreg1 C5: r_F 001:10:mod xmmreg1 r/m
ymmreg2 to ymmreg1 C4: rxb0_1: w_F 101:10:11 ymmreg1 ymmreg2
mem to ymmreg1 C4: rxb0_1: w_F 101:10:mod ymmreg1 r/m
ymmreglo to ymmreg1 C5: r_F 101:10:11 ymmreg1 ymmreglo
memto ymmreg1 C5: r_F 101:10:mod ymmreg1 r/m
xmmreg1 to xmmreg2 C4: rxb0_1: w_F 001:11:11 xmmreg2 xmmreg1
xmmreg1 to mem C4: rxb0_1: w_F 001:11:mod r/m xmmreg1
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-91
INSTRUCTION FORMATS AND ENCODINGS
xmmreg1 to xmmreglo C5: r_F 001:11:11 xmmreglo xmmreg1
xmmreg1 to mem C5: r_F 001:11:mod r/m xmmreg1
ymmreg1 to ymmreg2 C4: rxb0_1: w_F 101:11:11 ymmreg2 ymmreg1
ymmreg1 to mem C4: rxb0_1: w_F 101:11:mod r/m ymmreg1
ymmreg1 to ymmreglo C5: r_F 101:11:11 ymmreglo ymmreg1
ymmreg1 to mem C5: r_F 101:11:mod r/m ymmreg1
V MU LP D Mu lt ip ly P a cke d D o u b le -P re cisio n F lo a t in g -
P o in t Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:59:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_1: w xmmreg2 001:59:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:59:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:59:mod xmmreg1 r/m
ymmreg2 with ymmreg3 to ymmreg1 C4: rxb0_1: w ymmreg2 101:59:11 ymmreg1 ymmreg3
ymmreg2 with memto ymmreg1 C4: rxb0_1: w ymmreg2 101:59:mod ymmreg1 r/m
ymmreglo2 with ymmreglo3 to ymmreg1 C5: r_ymmreglo2 101:59:11 ymmreg1 ymmreglo3
ymmreglo2 with mem to ymmreg1 C5: r_ymmreglo2 101:59:mod ymmreg1 r/m
V MU LS D Mu lt ip ly S ca la r D o u b le -P re cisio n F lo a t in g -P o in t
Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 011:59:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_1: w xmmreg2 011:59:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 011:59:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 011:59:mod xmmreg1 r/m
VO R P D B it w ise Lo g ica l O R o f D o u b le -P re cisio n F lo a t in g -
P o in t Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:56:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_1: w xmmreg2 001:56:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:56:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:56:mod xmmreg1 r/m
ymmreg2 with ymmreg3 to ymmreg1 C4: rxb0_1: w ymmreg2 101:56:11 ymmreg1 ymmreg3
ymmreg2 with memto ymmreg1 C4: rxb0_1: w ymmreg2 101:56:mod ymmreg1 r/m
ymmreglo2 with ymmreglo3 to ymmreg1 C5: r_ymmreglo2 101:56:11 ymmreg1 ymmreglo3
ymmreglo2 with mem to ymmreg1 C5: r_ymmreglo2 101:56:mod ymmreg1 r/m
VP AC K S S WB P a ck w it h S ig n e d S a t u ra t io n
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:63:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_1: w xmmreg2 001:63:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:63:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:63:mod xmmreg1 r/m
VP AC K S S D WP a ck w it h S ig n e d S a t u ra t io n
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:6B:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:6B:mod xmmreg1 r/m
I n st ru ct io n a n d Fo rm a t E n co d in g
B-92 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:6B:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:6B:mod xmmreg1 r/m
VP AC K U S WB P a ck w it h U n sig n e d S a t u ra t io n
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:67:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:67:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:67:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:67:mod xmmreg1 r/m
VP AD D B Ad d P a cke d I n t e g e rs
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:FC:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:FC:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:FC:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:FC:mod xmmreg1 r/m
VP AD D W Ad d P a cke d I n t e g e rs
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:FD:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:FD:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:FD:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:FD:mod xmmreg1 r/m
VP AD D D Ad d P a cke d I n t e g e rs
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:FE:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:FE:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:FE:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:FE:mod xmmreg1 r/m
VP AD D Q Ad d P a cke d Q u a d w o rd I n t e g e rs
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:D4:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:D4:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:D4:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:D4:mod xmmreg1 r/m
VP AD D S B Ad d P a cke d S ig n e d I n t e g e rs w it h S ig n e d
S a t u ra t io n
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:EC:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:EC:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:EC:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:EC:mod xmmreg1 r/m
VP AD D S W Ad d P a cke d S ig n e d I n t e g e rs w it h S ig n e d
S a t u ra t io n
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:ED:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:ED:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:ED:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:ED:mod xmmreg1 r/m
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-93
INSTRUCTION FORMATS AND ENCODINGS
V P AD D U S B Ad d P a cke d U n sig n e d I n t e g e rs w it h
U n sig n e d S a t u ra t io n
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:DC:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:DC:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:DC:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:DC:mod xmmreg1 r/m
V P AD D U S W Ad d P a cke d U n sig n e d I n t e g e rs w it h
U n sig n e d S a t u ra t io n
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:DD:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_1: w xmmreg2 001:DD:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:DD:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:DD:mod xmmreg1 r/m
V P AN D Lo g ica l AN D
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:DB:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:DB:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:DB:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:DB:mod xmmreg1 r/m
V P AN D N Lo g ica l AN D N O T
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:DF:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:DF:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:DF:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:DF:mod xmmreg1 r/m
V P AVG B Av e ra g e P a cke d I n t e g e rs
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:E0:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_1: w xmmreg2 001:E0:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:E0:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:E0:mod xmmreg1 r/m
V P AVG W Av e ra g e P a cke d I n t e g e rs
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:E3:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_1: w xmmreg2 001:E3:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:E3:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:E3:mod xmmreg1 r/m
V P C MP E Q B C o m p a re P a cke d D a t a fo r E q u a l
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:74:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_1: w xmmreg2 001:74:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:74:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:74:mod xmmreg1 r/m
V P C MP E Q W C o m p a re P a cke d D a t a fo r E q u a l
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:75:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_1: w xmmreg2 001:75:mod xmmreg1 r/m
I n st ru ct io n a n d Fo rm a t E n co d in g
B-94 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:75:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:75:mod xmmreg1 r/m
VP C MP E Q D C o m p a re P a cke d D a t a fo r E q u a l
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:76:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:76:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:76:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:76:mod xmmreg1 r/m
VP C MP G T B C o m p a re P a cke d S ig n e d I n t e g e rs fo r G re a t e r
T h a n
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:64:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:64:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:64:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:64:mod xmmreg1 r/m
VP C MP G T W C o m p a re P a cke d S ig n e d I n t e g e rs fo r G re a t e r
T h a n
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:65:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:65:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:65:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:65:mod xmmreg1 r/m
VP C MP G T D C o m p a re P a cke d S ig n e d I n t e g e rs fo r G re a t e r
T h a n
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:66:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:66:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:66:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:66:mod xmmreg1 r/m
V P E XT R W E x t ra ct Wo rd
xmmreg1 to reg using imm C4: rxb0_1: 0_F 001:C5:11 reg xmmreg1: imm
xmmreg1 to reg using imm C5: r_F 001:C5:11 reg xmmreg1: imm
VP I N S R W I n se rt Wo rd
xmmreg2 with reg to xmmreg1 C4: rxb0_1: 0 xmmreg2 001:C4:11 xmmreg1 reg: imm
xmmreg2 with memto xmmreg1 C4: rxb0_1: 0 xmmreg2 001:C4:mod xmmreg1 r/m: imm
xmmreglo2 with reglo to xmmreg1 C5: r_xmmreglo2 001:C4:11 xmmreg1 reglo: imm
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:C4:mod xmmreg1 r/m: imm
VP MAD D WD Mu lt ip ly a n d Ad d P a cke d I n t e g e rs
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:F5:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:F5:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:F5:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:F5:mod xmmreg1 r/m
VP MAXS W Ma x im u m o f P a cke d S ig n e d Wo rd I n t e g e rs
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:EE:11 xmmreg1 xmmreg3
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-95
INSTRUCTION FORMATS AND ENCODINGS
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:EE:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:EE:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:EE:mod xmmreg1 r/m
V P MAXU B Ma x im u m o f P a cke d U n sig n e d B y t e I n t e g e rs
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:DE:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:DE:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:DE:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:DE:mod xmmreg1 r/m
V P MI N S W Min im u m o f P a cke d S ig n e d Wo rd I n t e g e rs
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:EA:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:EA:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:EA:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:EA:mod xmmreg1 r/m
V P MI N U B Min im u m o f P a cke d U n sig n e d B y t e I n t e g e rs
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:DA:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:DA:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:DA:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:DA:mod xmmreg1 r/m
V P MO VMS K B Mo v e B y t e Ma sk
xmmreg1 to reg C4: rxb0_1: w_F 001:D7:11 reg xmmreg1
xmmreg1 to reg C5: r_F 001:D7:11 reg xmmreg1
V P MU LH U W Mu lt ip ly P a cke d U n sig n e d I n t e g e rs a n d
S t o re H ig h R e su lt
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:E4:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_1: w xmmreg2 001:E4:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:E4:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:E4:mod xmmreg1 r/m
V P MU LH W Mu lt ip ly P a cke d S ig n e d I n t e g e rs a n d S t o re
H ig h R e su lt
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:E5:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_1: w xmmreg2 001:E5:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:E5:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:E5:mod xmmreg1 r/m
V P MU LLW Mu lt ip ly P a cke d S ig n e d I n t e g e rs a n d S to re
Lo w R e su lt
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:D5:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:D5:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:D5:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:D5:mod xmmreg1 r/m
I n st ru ct io n a n d Fo rm a t E n co d in g
B-96 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
VP MU LU D Q Mu lt ip ly P a cke d U n sig n e d D o u b le w o rd
I n t e g e rs
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:F4:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:F4:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:F4:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:F4:mod xmmreg1 r/m
VP O R B it w ise Lo g ica l O R
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:EB:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:EB:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:EB:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:EB:mod xmmreg1 r/m
VP S AD B W C o m p u t e S u m o f Ab so lu t e D iffe re n ce s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:F6:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:F6:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:F6:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:F6:mod xmmreg1 r/m
VP S H U F D S h u ffle P a cke d D o u b le w o rd s
xmmreg2 to xmmreg1 using imm C4: rxb0_1: w_F 001:70:11 xmmreg1 xmmreg2: imm
mem to xmmreg1 using imm C4: rxb0_1: w_F 001:70:mod xmmreg1 r/m: imm
xmmreglo to xmmreg1 using imm C5: r_F 001:70:11 xmmreg1 xmmreglo: imm
memto xmmreg1 using imm C5: r_F 001:70:mod xmmreg1 r/m: imm
VP S H U F H W S h u ffle P a cke d H ig h Wo rd s
xmmreg2 to xmmreg1 using imm C4: rxb0_1: w_F 010:70:11 xmmreg1 xmmreg2: imm
mem to xmmreg1 using imm C4: rxb0_1: w_F 010:70:mod xmmreg1 r/m: imm
xmmreglo to xmmreg1 using imm C5: r_F 010:70:11 xmmreg1 xmmreglo: imm
memto xmmreg1 using imm C5: r_F 010:70:mod xmmreg1 r/m: imm
VP S H U F LW S h u ffle P a cke d Lo w Wo rd s
xmmreg2 to xmmreg1 using imm C4: rxb0_1: w_F 011:70:11 xmmreg1 xmmreg2: imm
mem to xmmreg1 using imm C4: rxb0_1: w_F 011:70:mod xmmreg1 r/m: imm
xmmreglo to xmmreg1 using imm C5: r_F 011:70:11 xmmreg1 xmmreglo: imm
memto xmmreg1 using imm C5: r_F 011:70:mod xmmreg1 r/m: imm
VP S LLD Q S h if t D o u b le Q u a d w o rd Le ft Lo g ica l
xmmreg2 to xmmreg1 using imm C4: rxb0_1: w_F 001:73:11 xmmreg1 xmmreg2: imm
xmmreglo to xmmreg1 using imm C5: r_F 001:73:11 xmmreg1 xmmreglo: imm
VP S LLW S h ift P a cke d D a t a Le ft Lo g ica l
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:F1:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:F1:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:F1:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:F1:mod xmmreg1 r/m
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-97
INSTRUCTION FORMATS AND ENCODINGS
xmmreg2 to xmmreg1 using imm8 C4: rxb0_1: w_F 001:71:11 xmmreg1 xmmreg2: imm
xmmreglo to xmmreg1 using imm8 C5: r_F 001:71:11 xmmreg1 xmmreglo: imm
V P S LLD S h ift P a cke d D a t a Le f t Lo g ica l
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:F2:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_1: w xmmreg2 001:F2:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:F2:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:F2:mod xmmreg1 r/m
xmmreg2 to xmmreg1 using imm8 C4: rxb0_1: w_F 001:72:11 xmmreg1 xmmreg2: imm
xmmreglo to xmmreg1 using imm8 C5: r_F 001:72:11 xmmreg1 xmmreglo: imm
V P S LLQ S h ift P a cke d D a t a Le f t Lo g ica l
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:F3:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_1: w xmmreg2 001:F3:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:F3:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:F3:mod xmmreg1 r/m
xmmreg2 to xmmreg1 using imm8 C4: rxb0_1: w_F 001:73:11 xmmreg1 xmmreg2: imm
xmmreglo to xmmreg1 using imm8 C5: r_F 001:73:11 xmmreg1 xmmreglo: imm
V P S R AW S h ift P a cke d D a t a R ig h t Arit h m e t ic
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:E1:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_1: w xmmreg2 001:E1:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:E1:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:E1:mod xmmreg1 r/m
xmmreg2 to xmmreg1 using imm8 C4: rxb0_1: w_F 001:71:11 xmmreg1 xmmreg2: imm
xmmreglo to xmmreg1 using imm8 C5: r_F 001:71:11 xmmreg1 xmmreglo: imm
VP S R AD S h ift P a cke d D a t a R ig h t Arit h m e t ic
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:E2:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_1: w xmmreg2 001:E2:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:E2:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:E2:mod xmmreg1 r/m
xmmreg2 to xmmreg1 using imm8 C4: rxb0_1: w_F 001:72:11 xmmreg1 xmmreg2: imm
xmmreglo to xmmreg1 using imm8 C5: r_F 001:72:11 xmmreg1 xmmreglo: imm
V P S R LD Q S h if t D o u b le Q u a d w o rd R ig h t Lo g ica l
xmmreg2 to xmmreg1 using imm8 C4: rxb0_1: w_F 001:73:11 xmmreg1 xmmreg2: imm
xmmreglo to xmmreg1 using imm8 C5: r_F 001:73:11 xmmreg1 xmmreglo: imm
VP S R LW S h ift P a cke d D a t a R ig h t Lo g ica l
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:D1:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:D1:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:D1:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:D1:mod xmmreg1 r/m
xmmreg2 to xmmreg1 using imm8 C4: rxb0_1: w_F 001:71:11 xmmreg1 xmmreg2: imm
I n st ru ct io n a n d Fo rm a t E n co d in g
B-98 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
xmmreglo to xmmreg1 using imm8 C5: r_F 001:71:11 xmmreg1 xmmreglo: imm
VP S R LD S h ift P a cke d D a t a R ig h t Lo g ica l
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:D2:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:D2:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:D2:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:D2:mod xmmreg1 r/m
xmmreg2 to xmmreg1 using imm8 C4: rxb0_1: w_F 001:72:11 xmmreg1 xmmreg2: imm
xmmreglo to xmmreg1 using imm8 C5: r_F 001:72:11 xmmreg1 xmmreglo: imm
VP S R LQ S h ift P a cke d D a t a R ig h t Lo g ica l
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:D3:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:D3:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:D3:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:D3:mod xmmreg1 r/m
xmmreg2 to xmmreg1 using imm8 C4: rxb0_1: w_F 001:73:11 xmmreg1 xmmreg2: imm
xmmreglo to xmmreg1 using imm8 C5: r_F 001:73:11 xmmreg1 xmmreglo: imm
VP S U B B S u b t ra ct P a cke d I n t e g e rs
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:F8:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:F8:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:F8:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:F8:mod xmmreg1 r/m
VP S U B W S u b t ra ct P a cke d I n t e g e rs
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:F9:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:F9:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:F9:11 xmmreg1 xmmreglo3
xmmrelog2 with mem to xmmreg1 C5: r_xmmreglo2 001:F9:mod xmmreg1 r/m
VP S U B D S u b t ra ct P a cke d I n t e g e rs
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:FA:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:FA:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:FA:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:FA:mod xmmreg1 r/m
VP S U B Q S u b t ra ct P a cke d Q u a d w o rd I n t e g e rs
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:FB:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:FB:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:FB:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:FB:mod xmmreg1 r/m
VP S U B S B S u b t ra ct P a cke d S ig n e d I n t e g e rs w it h S ig n e d
S a t u ra t io n
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:E8:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:E8:mod xmmreg1 r/m
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-99
INSTRUCTION FORMATS AND ENCODINGS
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:E8:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:E8:mod xmmreg1 r/m
V P S U B S W S u b t ra ct P a cke d S ig n e d I n t e g e rs w it h S ig n e d
S a t u ra t io n
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:E9:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_1: w xmmreg2 001:E9:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:E9:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:E9:mod xmmreg1 r/m
V P S U B U S B S u b t ra ct P a cke d U n sig n e d I n t e g e rs w it h
U n sig n e d S a t u ra t io n
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:D8:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:D8:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:D8:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:D8:mod xmmreg1 r/m
V P S U B U S W S u b t ra ct P a cke d U n sig n e d I n t e g e rs w it h
U n sig n e d S a t u ra t io n
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:D9:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:D9:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:D9:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:D9:mod xmmreg1 r/m
V P U N P C K H B W U n p a ck H ig h D a t a
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:68:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_1: w xmmreg2 001:68:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:68:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:68:mod xmmreg1 r/m
V P U N P C K H WD U n p a ck H ig h D a t a
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:69:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_1: w xmmreg2 001:69:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:69:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:69:mod xmmreg1 r/m
V P U N P C K H D Q U n p a ck H ig h D a t a
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:6A:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:6A:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:6A:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:6A:mod xmmreg1 r/m
V P U N P C K H Q D Q U n p a ck H ig h D a t a
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:6D:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:6D:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:6D:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:6D:mod xmmreg1 r/m
I n st ru ct io n a n d Fo rm a t E n co d in g
B-100 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
VP U N P C K LB W U n p a ck Lo w D a t a
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:60:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:60:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:60:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:60:mod xmmreg1 r/m
VP U N P C K LWD U n p a ck Lo w D a t a
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:61:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:61:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:61:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:61:mod xmmreg1 r/m
VP U N P C K LD Q U n p a ck Lo w D a t a
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:62:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:62:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:62:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:62:mod xmmreg1 r/m
VP U N P C K LQ D Q U n p a ck Lo w D a t a
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:6C:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:6C:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:6C:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:6C:mod xmmreg1 r/m
VP XO R Lo g ica l E x clu siv e O R
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:EF:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:EF:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:EF:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:EF:mod xmmreg1 r/m
VS H U F P D S h u f fle P a cke d D o u b le -P re cisio n F lo a t in g -
P o in t Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 using imm8 C4: rxb0_1: w xmmreg2 001:C6:11 xmmreg1 xmmreg3: imm
xmmreg2 with memto xmmreg1 using imm8 C4: rxb0_1: w xmmreg2 001:C6:mod xmmreg1 r/m: imm
xmmreglo2 with xmmreglo3 to xmmreg1 using imm8 C5: r_xmmreglo2 001:C6:11 xmmreg1 xmmreglo3: imm
xmmreglo2 with mem to xmmreg1 using imm8 C5: r_xmmreglo2 001:C6:mod xmmreg1 r/m: imm
ymmreg2 with ymmreg3 to ymmreg1 using imm8 C4: rxb0_1: w ymmreg2 101:C6:11 ymmreg1 ymmreg3: imm
ymmreg2 with memto ymmreg1 using imm8 C4: rxb0_1: w ymmreg2 101:C6:mod ymmreg1 r/m: imm
ymmreglo2 with ymmreglo3 to ymmreg1 using imm8 C5: r_ymmreglo2 101:C6:11 ymmreg1 ymmreglo3: imm
ymmreglo2 with mem to ymmreg1 using imm8 C5: r_ymmreglo2 101:C6:mod ymmreg1 r/m: imm
VS Q R T P D C o m p u t e S q u a re R o o t s o f P a cke d D o u b le -
P re cisio n F lo a t in g -P o in t Va lu e s
xmmreg2 to xmmreg1 C4: rxb0_1: w_F 001:51:11 xmmreg1 xmmreg2
mem to xmmreg1 C4: rxb0_1: w_F 001:51:mod xmmreg1 r/m
xmmreglo to xmmreg1 C5: r_F 001:51:11 xmmreg1 xmmreglo
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-101
INSTRUCTION FORMATS AND ENCODINGS
memto xmmreg1 C5: r_F 001:51:mod xmmreg1 r/m
ymmreg2 to ymmreg1 C4: rxb0_1: w_F 101:51:11 ymmreg1 ymmreg2
mem to ymmreg1 C4: rxb0_1: w_F 101:51:mod ymmreg1 r/m
ymmreglo to ymmreg1 C5: r_F 101:51:11 ymmreg1 ymmreglo
memto ymmreg1 C5: r_F 101:51:mod ymmreg1 r/m
VS Q R T S D C o m p u t e S q u a re R o o t o f S ca la r D o u b le -
P re cisio n F lo a t in g -P o in t Va lu e
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 011:51:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_1: w xmmreg2 011:51:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 011:51:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 011:51:mod xmmreg1 r/m
VS U B P D S u b t ra ct P a cke d D o u b le -P re cisio n F lo a t in g -
P o in t Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:5C:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_1: w xmmreg2 001:5C:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:5C:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:5C:mod xmmreg1 r/m
ymmreg2 with ymmreg3 to ymmreg1 C4: rxb0_1: w ymmreg2 101:5C:11 ymmreg1 ymmreg3
ymmreg2 with memto ymmreg1 C4: rxb0_1: w ymmreg2 101:5C:mod ymmreg1 r/m
ymmreglo2 with ymmreglo3 to ymmreg1 C5: r_ymmreglo2 101:5C:11 ymmreg1 ymmreglo3
ymmreglo2 with mem to ymmreg1 C5: r_ymmreglo2 101:5C:mod ymmreg1 r/m
VS U B S D S u b t ra ct S ca la r D o u b le -P re cisio n F lo a t in g -P o in t
Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 011:5C:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_1: w xmmreg2 011:5C:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 011:5C:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 011:5C:mod xmmreg1 r/m
VU C O MI S D U n o rd e re d C o m p a re S ca la r D o u b le -P re cisio n
F lo a t in g -P o in t Va lu e s a n d S e t E F LAG S
xmmreg2 with xmmreg1, set EFLAGS C4: rxb0_1: w_F xmmreg1 001:2E:11 xmmreg2
memwith xmmreg1, set EFLAGS C4: rxb0_1: w_F xmmreg1 001:2E:mod r/m
xmmreglo with xmmreg1, set EFLAGS C5: r_F xmmreg1 001:2E:11 xmmreglo
memwith xmmreg1, set EFLAGS C5: r_F xmmreg1 001:2E:mod r/m
V U N P C K H P D U n p a ck a n d I n t e rle a v e H ig h P a cke d
D o u b le -P re cisio n F lo a t in g -P o in t Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:15:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_1: w xmmreg2 001:15:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:15:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:15:mod xmmreg1 r/m
ymmreg2 with ymmreg3 to ymmreg1 C4: rxb0_1: w ymmreg2 101:15:11 ymmreg1 ymmreg3
ymmreg2 with memto ymmreg1 C4: rxb0_1: w ymmreg2 101:15:mod ymmreg1 r/m
I n st ru ct io n a n d Fo rm a t E n co d in g
B-102 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
ymmreglo2 with ymmreglo3 to ymmreg1 C5: r_ymmreglo2 101:15:11 ymmreg1 ymmreglo3
ymmreglo2 with mem to ymmreg1 C5: r_ymmreglo2 101:15:mod ymmreg1 r/m
VU N P C K H P S U n p a ck a n d I n t e rle a v e H ig h P a cke d S in g le -
P re cisio n F lo a t in g -P o in t Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 000:15:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 000:15:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 000:15:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 000:15:mod xmmreg1 r/m
ymmreg2 with ymmreg3 to ymmreg1 C4: rxb0_1: w ymmreg2 100:15:11 ymmreg1 ymmreg3
ymmreg2 with mem to ymmreg1 C4: rxb0_1: w ymmreg2 100:15:mod ymmreg1 r/m
ymmreglo2 with ymmreglo3 to ymmreg1 C5: r_ymmreglo2 100:15:11 ymmreg1 ymmreglo3
ymmreglo2 with mem to ymmreg1 C5: r_ymmreglo2 100:15:mod ymmreg1 r/m
VU N P C K LP D U n p a ck a n d I n t e rle a v e Lo w P a cke d D o u b le -
P re cisio n F lo a t in g -P o in t Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:14:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:14:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:14:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:14:mod xmmreg1 r/m
ymmreg2 with ymmreg3 to ymmreg1 C4: rxb0_1: w ymmreg2 101:14:11 ymmreg1 ymmreg3
ymmreg2 with mem to ymmreg1 C4: rxb0_1: w ymmreg2 101:14:mod ymmreg1 r/m
ymmreglo2 with ymmreglo3 to ymmreg1 C5: r_ymmreglo2 101:14:11 ymmreg1 ymmreglo3
ymmreglo2 with mem to ymmreg1 C5: r_ymmreglo2 101:14:mod ymmreg1 r/m
VU N P C K LP S U n p a ck a n d I n t e rle a v e Lo w P a cke d S in g le -
P re cisio n F lo a t in g -P o in t Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 000:14:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 000:14:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 000:14:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 000:14:mod xmmreg1 r/m
ymmreg2 with ymmreg3 to ymmreg1 C4: rxb0_1: w ymmreg2 100:14:11 ymmreg1 ymmreg3
ymmreg2 with mem to ymmreg1 C4: rxb0_1: w ymmreg2 100:14:mod ymmreg1 r/m
ymmreglo2 with ymmreglo3 to ymmreg1 C5: r_ymmreglo2 100:14:11 ymmreg1 ymmreglo3
ymmreglo2 with mem to ymmreg1 C5: r_ymmreglo2 100:14:mod ymmreg1 r/m
VXO R P D B it w ise Lo g ica l XO R fo r D o u b le -P re cisio n
F lo a t in g -P o in t Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 001:57:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 001:57:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 001:57:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 001:57:mod xmmreg1 r/m
ymmreg2 with ymmreg3 to ymmreg1 C4: rxb0_1: w ymmreg2 101:57:11 ymmreg1 ymmreg3
ymmreg2 with mem to ymmreg1 C4: rxb0_1: w ymmreg2 101:57:mod ymmreg1 r/m
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-103
INSTRUCTION FORMATS AND ENCODINGS
ymmreglo2 with ymmreglo3 to ymmreg1 C5: r_ymmreglo2 101:57:11 ymmreg1 ymmreglo3
ymmreglo2 with mem to ymmreg1 C5: r_ymmreglo2 101:57:mod ymmreg1 r/m
VAD D P S Ad d P a cke d S in g le -P re cisio n F lo a t in g -P o in t
Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 000:58:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_1: w xmmreg2 000:58:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 000:58:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 000:58:mod xmmreg1 r/m
ymmreg2 with ymmreg3 to ymmreg1 C4: rxb0_1: w ymmreg2 100:58:11 ymmreg1 ymmreg3
ymmreg2 with memto ymmreg1 C4: rxb0_1: w ymmreg2 100:58:mod ymmreg1 r/m
ymmreglo2 with ymmreglo3 to ymmreg1 C5: r_ymmreglo2 100:58:11 ymmreg1 ymmreglo3
ymmreglo2 with mem to ymmreg1 C5: r_ymmreglo2 100:58:mod ymmreg1 r/m
VAD D S S Ad d S ca la r S in g le -P re cisio n F lo a t in g -P o in t
Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 010:58:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_1: w xmmreg2 010:58:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 010:58:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 010:58:mod xmmreg1 r/m
VAN D P S B it w ise Lo g ica l AN D o f P a cke d S in g le -P re cisio n
F lo a t in g -P o in t Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 000:54:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_1: w xmmreg2 000:54:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 000:54:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 000:54:mod xmmreg1 r/m
ymmreg2 with ymmreg3 to ymmreg1 C4: rxb0_1: w ymmreg2 100:54:11 ymmreg1 ymmreg3
ymmreg2 with memto ymmreg1 C4: rxb0_1: w ymmreg2 100:54:mod ymmreg1 r/m
ymmreglo2 with ymmreglo3 to ymmreg1 C5: r_ymmreglo2 100:54:11 ymmreg1 ymmreglo3
ymmreglo2 with mem to ymmreg1 C5: r_ymmreglo2 100:54:mod ymmreg1 r/m
VAN D N P S B it w ise Lo g ica l AN D N O T o f P a cke d S in g le -
P re cisio n F lo a t in g -P o in t Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 000:55:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_1: w xmmreg2 000:55:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 000:55:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 000:55:mod xmmreg1 r/m
ymmreg2 with ymmreg3 to ymmreg1 C4: rxb0_1: w ymmreg2 100:55:11 ymmreg1 ymmreg3
ymmreg2 with memto ymmreg1 C4: rxb0_1: w ymmreg2 100:55:mod ymmreg1 r/m
ymmreglo2 with ymmreglo3 to ymmreg1 C5: r_ymmreglo2 100:55:11 ymmreg1 ymmreglo3
ymmreglo2 with mem to ymmreg1 C5: r_ymmreglo2 100:55:mod ymmreg1 r/m
VC MP P S C o m p a re P a cke d S in g le -P re cisio n F lo a t in g -P o in t
Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 000:C2:11 xmmreg1 xmmreg3: imm
I n st ru ct io n a n d Fo rm a t E n co d in g
B-104 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 000:C2:mod xmmreg1 r/m: imm
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 000:C2:11 xmmreg1 xmmreglo3: imm
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 000:C2:mod xmmreg1 r/m: imm
ymmreg2 with ymmreg3 to ymmreg1 C4: rxb0_1: w ymmreg2 100:C2:11 ymmreg1 ymmreg3: imm
ymmreg2 with mem to ymmreg1 C4: rxb0_1: w ymmreg2 100:C2:mod ymmreg1 r/m: imm
ymmreglo2 with ymmreglo3 to ymmreg1 C5: r_ymmreglo2 100:C2:11 ymmreg1 ymmreglo3: imm
ymmreglo2 with mem to ymmreg1 C5: r_ymmreglo2 100:C2:mod ymmreg1 r/m: imm
VC MP S S C o m p a re S ca la r S in g le -P re cisio n F lo a t in g -P o in t
Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 010:C2:11 xmmreg1 xmmreg3: imm
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 010:C2:mod xmmreg1 r/m: imm
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 010:C2:11 xmmreg1 xmmreglo3: imm
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 010:C2:mod xmmreg1 r/m: imm
VC O MI S S C o m p a re S ca la r O rd e re d S in g le -P re cisio n
F lo a t in g -P o in t Va lu e s a n d S e t E F LAG S
xmmreg2 with xmmreg1 C4: rxb0_1: w_F 000:2F:11 xmmreg1 xmmreg2
mem with xmmreg1 C4: rxb0_1: w_F 000:2F:mod xmmreg1 r/m
xmmreglo with xmmreg1 C5: r_F 000:2F:11 xmmreg1 xmmreglo
memwith xmmreg1 C5: r_F 000:2F:mod xmmreg1 r/m
VC VT S I 2 S S C o n v e rt D w o rd I n t e g e r to S ca la r S in g le -
P re cisio n F P Va lu e
xmmreg2 with reg to xmmreg1 C4: rxb0_1: 0 xmmreg2 010:2A:11 xmmreg1 reg
xmmreg2 with mem to xmmreg1 C4: rxb0_1: 0 xmmreg2 010:2A:mod xmmreg1 r/m
xmmreglo2 with reglo to xmmreg1 C5: r_xmmreglo2 010:2A:11 xmmreg1 reglo
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 010:2A:mod xmmreg1 r/m
xmmreg2 with reg to xmmreg1 C4: rxb0_1: 1 xmmreg2 010:2A:11 xmmreg1 reg
xmmreg2 with mem to xmmreg1 C4: rxb0_1: 1 xmmreg2 010:2A:mod xmmreg1 r/m
VC VT S S 2 S I C o n v e rt S ca la r S in g le -P re cisio n F P Va lu e to
D w o rd I n t e g e r
xmmreg1 to reg C4: rxb0_1: 0_F 010:2D:11 reg xmmreg1
mem to reg C4: rxb0_1: 0_F 010:2D:mod reg r/m
xmmreglo to reg C5: r_F 010:2D:11 reg xmmreglo
mem to reg C5: r_F 010:2D:mod reg r/m
xmmreg1 to reg C4: rxb0_1: 1_F 010:2D:11 reg xmmreg1
mem to reg C4: rxb0_1: 1_F 010:2D:mod reg r/m
VC VT T S S 2 S I C o n v e rt w it h T ru n ca t io n S ca la r S in g le -
P re cisio n F P Va lu e t o D w o rd I n t e g e r
xmmreg1 to reg C4: rxb0_1: 0_F 010:2C:11 reg xmmreg1
mem to reg C4: rxb0_1: 0_F 010:2C:mod reg r/m
xmmreglo to reg C5: r_F 010:2C:11 reg xmmreglo
mem to reg C5: r_F 010:2C:mod reg r/m
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-105
INSTRUCTION FORMATS AND ENCODINGS
xmmreg1 to reg C4: rxb0_1: 1_F 010:2C:11 reg xmmreg1
mem to reg C4: rxb0_1: 1_F 010:2C:mod reg r/m
V D I VP S D iv id e P a cke d S in g le -P re cisio n F lo a t in g -P o in t
Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 000:5E:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_1: w xmmreg2 000:5E:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 000:5E:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 000:5E:mod xmmreg1 r/m
ymmreg2 with ymmreg3 to ymmreg1 C4: rxb0_1: w ymmreg2 100:5E:11 ymmreg1 ymmreg3
ymmreg2 with memto ymmreg1 C4: rxb0_1: w ymmreg2 100:5E:mod ymmreg1 r/m
ymmreglo2 with ymmreglo3 to ymmreg1 C5: r_ymmreglo2 100:5E:11 ymmreg1 ymmreglo3
ymmreglo2 with mem to ymmreg1 C5: r_ymmreglo2 100:5E:mod ymmreg1 r/m
V D I VS S D iv id e S ca la r S in g le -P re cisio n F lo a t in g -P o in t
Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 010:5E:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_1: w xmmreg2 010:5E:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 010:5E:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 010:5E:mod xmmreg1 r/m
V LD MXC S R Lo a d MXC S R R e g ist e r
mem to MXCSR reg C4: rxb0_1: w_F 000:AEmod 011 r/m
mem to MXCSR reg C5: r_F 000:AEmod 011 r/m
V MAXP S R e t u rn Ma x im u m P a cke d S in g le -P re cisio n
F lo a t in g -P o in t Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 000:5F:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_1: w xmmreg2 000:5F:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 000:5F:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 000:5F:mod xmmreg1 r/m
ymmreg2 with ymmreg3 to ymmreg1 C4: rxb0_1: w ymmreg2 100:5F:11 ymmreg1 ymmreg3
ymmreg2 with memto ymmreg1 C4: rxb0_1: w ymmreg2 100:5F:mod ymmreg1 r/m
ymmreglo2 with ymmreglo3 to ymmreg1 C5: r_ymmreglo2 100:5F:11 ymmreg1 ymmreglo3
ymmreglo2 with mem to ymmreg1 C5: r_ymmreglo2 100:5F:mod ymmreg1 r/m
V MAXS S R e t u rn Ma x im u m S ca la r S in g le -P re cisio n
F lo a t in g -P o in t Va lu e
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 010:5F:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_1: w xmmreg2 010:5F:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 010:5F:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 010:5F:mod xmmreg1 r/m
V MI N P S R e t u rn Min im u m P a cke d S in g le -P re cisio n
F lo a t in g -P o in t Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 000:5D:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 000:5D:mod xmmreg1 r/m
I n st ru ct io n a n d Fo rm a t E n co d in g
B-106 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 000:5D:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 000:5D:mod xmmreg1 r/m
ymmreg2 with ymmreg3 to ymmreg1 C4: rxb0_1: w ymmreg2 100:5D:11 ymmreg1 ymmreg3
ymmreg2 with memto ymmreg1 C4: rxb0_1: w ymmreg2 100:5D:mod ymmreg1 r/m
ymmreglo2 with ymmreglo3 to ymmreg1 C5: r_ymmreglo2 100:5D:11 ymmreg1 ymmreglo3
ymmreglo2 with mem to ymmreg1 C5: r_ymmreglo2 100:5D:mod ymmreg1 r/m
VMI N S S R e t u rn Min im u m S ca la r S in g le -P re cisio n
F lo a t in g -P o in t Va lu e
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 010:5D:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 010:5D:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 010:5D:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 010:5D:mod xmmreg1 r/m
VMO VAP S Mo v e Alig n e d P a cke d S in g le -P re cisio n
F lo a t in g -P o in t Va lu e s
xmmreg2 to xmmreg1 C4: rxb0_1: w_F 000:28:11 xmmreg1 xmmreg2
mem to xmmreg1 C4: rxb0_1: w_F 000:28:mod xmmreg1 r/m
xmmreglo to xmmreg1 C5: r_F 000:28:11 xmmreg1 xmmreglo
memto xmmreg1 C5: r_F 000:28:mod xmmreg1 r/m
xmmreg1 to xmmreg2 C4: rxb0_1: w_F 000:29:11 xmmreg2 xmmreg1
xmmreg1 to mem C4: rxb0_1: w_F 000:29:mod r/m xmmreg1
xmmreg1 to xmmreglo C5: r_F 000:29:11 xmmreglo xmmreg1
xmmreg1 to mem C5: r_F 000:29:mod r/m xmmreg1
ymmreg2 to ymmreg1 C4: rxb0_1: w_F 100:28:11 ymmreg1 ymmreg2
mem to ymmreg1 C4: rxb0_1: w_F 100:28:mod ymmreg1 r/m
ymmreglo to ymmreg1 C5: r_F 100:28:11 ymmreg1 ymmreglo
memto ymmreg1 C5: r_F 100:28:mod ymmreg1 r/m
ymmreg1 to ymmreg2 C4: rxb0_1: w_F 100:29:11 ymmreg2 ymmreg1
ymmreg1 to mem C4: rxb0_1: w_F 100:29:mod r/m ymmreg1
ymmreg1 to ymmreglo C5: r_F 100:29:11 ymmreglo ymmreg1
ymmreg1 to mem C5: r_F 100:29:mod r/m ymmreg1
VMO V H P S Mo v e H ig h P a cke d S in g le -P re cisio n F lo a t in g -
P o in t Va lu e s
xmmreg1 with mem to xmmreg2 C4: rxb0_1: w xmmreg1 000:16:mod xmmreg2 r/m
xmmreg1 with memto xmmreglo2 C5: r_xmmreg1 000:16:mod xmmreglo2 r/m
xmmreg1 to mem C4: rxb0_1: w_F 000:17:mod r/m xmmreg1
xmmreglo to mem C5: r_F 000:17:mod r/m xmmreglo
VMO V LH P S Mo v e P a cke d S in g le -P re cisio n F lo a t in g -P o in t
Va lu e s Lo w to H ig h
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 000:16:11 xmmreg1 xmmreg3
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 000:16:11 xmmreg1 xmmreglo3
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-107
INSTRUCTION FORMATS AND ENCODINGS
V MO V LP S Mo v e Lo w P a cke d S in g le -P re cisio n F lo a t in g -
P o in t Va lu e s
xmmreg1 with memto xmmreg2 C4: rxb0_1: w xmmreg1 000:12:mod xmmreg2 r/m
xmmreg1 with mem to xmmreglo2 C5: r_xmmreg1 000:12:mod xmmreglo2 r/m
xmmreg1 to mem C4: rxb0_1: w_F 000:13:mod r/m xmmreg1
xmmreglo to mem C5: r_F 000:13:mod r/m xmmreglo
VMO V MS K P S E x t ra ct P a cke d S in g le -P re cisio n F lo a t in g -
P o in t S ig n Ma sk
xmmreg2 to reg C4: rxb0_1: w_F 000:50:11 reg xmmreg2
xmmreglo to reg C5: r_F 000:50:11 reg xmmreglo
ymmreg2 to reg C4: rxb0_1: w_F 100:50:11 reg ymmreg2
ymmreglo to reg C5: r_F 100:50:11 reg ymmreglo
V MO V N T P S St o re P a cke d S in g le -P re cisio n F lo a t in g -P o in t
Va lu e s U sin g N o n -Te m p o ra l H in t
xmmreg1 to mem C4: rxb0_1: w_F 000:2B:mod r/m xmmreg1
xmmreglo to mem C5: r_F 000:2B:mod r/m xmmreglo
ymmreg1 to mem C4: rxb0_1: w_F 100:2B:mod r/m ymmreg1
ymmreglo to mem C5: r_F 100:2B:mod r/m ymmreglo
V MO VS S Mo v e S ca la r S in g le -P re cisio n F lo a t in g -P o in t
Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 010:10:11 xmmreg1 xmmreg3
mem to xmmreg1 C4: rxb0_1: w_F 010:10:mod xmmreg1 r/m
xmmreg2 with xmmreg3 to xmmreg1 C5: r_xmmreg2 010:10:11 xmmreg1 xmmreg3
memto xmmreg1 C5: r_F 010:10:mod xmmreg1 r/m
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 010:11:11 xmmreg1 xmmreg3
xmmreg1 to mem C4: rxb0_1: w_F 010:11:mod r/m xmmreg1
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 010:11:11 xmmreg1 xmmreglo3
xmmreglo to mem C5: r_F 010:11:mod r/m xmmreglo
V MO V U P S Mo v e U n a lig n e d P a cke d S in g le -P re cisio n
F lo a t in g -P o in t Va lu e s
xmmreg2 to xmmreg1 C4: rxb0_1: w_F 000:10:11 xmmreg1 xmmreg2
mem to xmmreg1 C4: rxb0_1: w_F 000:10:mod xmmreg1 r/m
xmmreglo to xmmreg1 C5: r_F 000:10:11 xmmreg1 xmmreglo
memto xmmreg1 C5: r_F 000:10:mod xmmreg1 r/m
ymmreg2 to ymmreg1 C4: rxb0_1: w_F 100:10:11 ymmreg1 ymmreg2
mem to ymmreg1 C4: rxb0_1: w_F 100:10:mod ymmreg1 r/m
ymmreglo to ymmreg1 C5: r_F 100:10:11 ymmreg1 ymmreglo
memto ymmreg1 C5: r_F 100:10:mod ymmreg1 r/m
xmmreg1 to xmmreg2 C4: rxb0_1: w_F 000:11:11 xmmreg2 xmmreg1
xmmreg1 to mem C4: rxb0_1: w_F 000:11:mod r/m xmmreg1
xmmreg1 to xmmreglo C5: r_F 000:11:11 xmmreglo xmmreg1
I n st ru ct io n a n d Fo rm a t E n co d in g
B-108 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
xmmreg1 to mem C5: r_F 000:11:mod r/m xmmreg1
ymmreg1 to ymmreg2 C4: rxb0_1: w_F 100:11:11 ymmreg2 ymmreg1
ymmreg1 to mem C4: rxb0_1: w_F 100:11:mod r/m ymmreg1
ymmreg1 to ymmreglo C5: r_F 100:11:11 ymmreglo ymmreg1
ymmreg1 to mem C5: r_F 100:11:mod r/m ymmreg1
VMU LP S Mu lt ip ly P a cke d S in g le -P re cisio n F lo a t in g -P o in t
Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 000:59:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 000:59:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 000:59:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 000:59:mod xmmreg1 r/m
ymmreg2 with ymmreg3 to ymmreg1 C4: rxb0_1: w ymmreg2 100:59:11 ymmreg1 ymmreg3
ymmreg2 with mem to ymmreg1 C4: rxb0_1: w ymmreg2 100:59:mod ymmreg1 r/m
ymmreglo2 with ymmreglo3 to ymmreg1 C5: r_ymmreglo2 100:59:11 ymmreg1 ymmreglo3
ymmreglo2 with mem to ymmreg1 C5: r_ymmreglo2 100:59:mod ymmreg1 r/m
V MU LS S Mu lt ip ly S ca la r S in g le -P re cisio n F lo a t in g -P o in t
Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 010:59:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 010:59:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 010:59:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 010:59:mod xmmreg1 r/m
VO R P S B it w ise Lo g ica l O R o f S in g le -P re cisio n F lo a t in g -
P o in t Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 000:56:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 000:56:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 000:56:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 000:56:mod xmmreg1 r/m
ymmreg2 with ymmreg3 to ymmreg1 C4: rxb0_1: w ymmreg2 100:56:11 ymmreg1 ymmreg3
ymmreg2 with mem to ymmreg1 C4: rxb0_1: w ymmreg2 100:56:mod ymmreg1 r/m
ymmreglo2 with ymmreglo3 to ymmreg1 C5: r_ymmreglo2 100:56:11 ymmreg1 ymmreglo3
ymmreglo2 with mem to ymmreg1 C5: r_ymmreglo2 100:56:mod ymmreg1 r/m
VR C P P S C o m p u t e R e cip ro ca ls o f P a cke d S in g le -P re cisio n
F lo a t in g -P o in t Va lu e s
xmmreg2 to xmmreg1 C4: rxb0_1: w_F 000:53:11 xmmreg1 xmmreg2
mem to xmmreg1 C4: rxb0_1: w_F 000:53:mod xmmreg1 r/m
xmmreglo to xmmreg1 C5: r_F 000:53:11 xmmreg1 xmmreglo
memto xmmreg1 C5: r_F 000:53:mod xmmreg1 r/m
ymmreg2 to ymmreg1 C4: rxb0_1: w_F 100:53:11 ymmreg1 ymmreg2
mem to ymmreg1 C4: rxb0_1: w_F 100:53:mod ymmreg1 r/m
ymmreglo to ymmreg1 C5: r_F 100:53:11 ymmreg1 ymmreglo
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-109
INSTRUCTION FORMATS AND ENCODINGS
memto ymmreg1 C5: r_F 100:53:mod ymmreg1 r/m
V R C P S S C o m p u t e R e cip ro ca l o f S ca la r S in g le -P re cisio n
F lo a t in g -P o in t Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 010:53:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_1: w xmmreg2 010:53:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 010:53:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 010:53:mod xmmreg1 r/m
VR S Q R T P S C o m p u t e R e cip ro ca ls o f S q u a re R o o t s o f
P a cke d S in g le -P re cisio n F lo a t in g -P o in t Va lu e s
xmmreg2 to xmmreg1 C4: rxb0_1: w_F 000:52:11 xmmreg1 xmmreg2
mem to xmmreg1 C4: rxb0_1: w_F 000:52:mod xmmreg1 r/m
xmmreglo to xmmreg1 C5: r_F 000:52:11 xmmreg1 xmmreglo
memto xmmreg1 C5: r_F 000:52:mod xmmreg1 r/m
ymmreg2 to ymmreg1 C4: rxb0_1: w_F 100:52:11 ymmreg1 ymmreg2
mem to ymmreg1 C4: rxb0_1: w_F 100:52:mod ymmreg1 r/m
ymmreglo to ymmreg1 C5: r_F 100:52:11 ymmreg1 ymmreglo
memto ymmreg1 C5: r_F 100:52:mod ymmreg1 r/m
V R S Q R T S S C o m p u t e R e cip ro ca l o f S q u a re R o o t o f S ca la r
S in g le -P re cisio n F lo a t in g -P o in t Va lu e
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 010:52:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_1: w xmmreg2 010:52:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 010:52:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 010:52:mod xmmreg1 r/m
VS H U F P S S h u ffle P a cke d S in g le -P re cisio n F lo a t in g -P o in t
Va lu e s
xmmreg2 with xmmreg3 to xmmreg1, imm8 C4: rxb0_1: w xmmreg2 000:C6:11 xmmreg1 xmmreg3: imm
xmmreg2 with memto xmmreg1, imm8 C4: rxb0_1: w xmmreg2 000:C6:mod xmmreg1 r/m: imm
xmmreglo2 with xmmreglo3 to xmmreg1, imm8 C5: r_xmmreglo2 000:C6:11 xmmreg1 xmmreglo3: imm
xmmreglo2 with mem to xmmreg1, imm8 C5: r_xmmreglo2 000:C6:mod xmmreg1 r/m: imm
ymmreg2 with ymmreg3 to ymmreg1, imm8 C4: rxb0_1: w ymmreg2 100:C6:11 ymmreg1 ymmreg3: imm
ymmreg2 with memto ymmreg1, imm8 C4: rxb0_1: w ymmreg2 100:C6:mod ymmreg1 r/m: imm
ymmreglo2 with ymmreglo3 to ymmreg1, imm8 C5: r_ymmreglo2 100:C6:11 ymmreg1 ymmreglo3: imm
ymmreglo2 with mem to ymmreg1, imm8 C5: r_ymmreglo2 100:C6:mod ymmreg1 r/m: imm
VS Q R T P S C o m p u t e S q u a re R o o t s o f P a cke d S in g le -
P re cisio n F lo a t in g -P o in t Va lu e s
xmmreg2 to xmmreg1 C4: rxb0_1: w_F 000:51:11 xmmreg1 xmmreg2
mem to xmmreg1 C4: rxb0_1: w_F 000:51:mod xmmreg1 r/m
xmmreglo to xmmreg1 C5: r_F 000:51:11 xmmreg1 xmmreglo
memto xmmreg1 C5: r_F 000:51:mod xmmreg1 r/m
ymmreg2 to ymmreg1 C4: rxb0_1: w_F 100:51:11 ymmreg1 ymmreg2
mem to ymmreg1 C4: rxb0_1: w_F 100:51:mod ymmreg1 r/m
I n st ru ct io n a n d Fo rm a t E n co d in g
B-110 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
ymmreglo to ymmreg1 C5: r_F 100:51:11 ymmreg1 ymmreglo
memto ymmreg1 C5: r_F 100:51:mod ymmreg1 r/m
VS Q R T S S C o m p u t e S q u a re R o o t o f S ca la r S in g le -
P re cisio n F lo a t in g -P o in t Va lu e
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 010:51:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 010:51:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 010:51:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 010:51:mod xmmreg1 r/m
VS T MXC S R S to re MXC S R R e g ist e r S t a t e
MXCSR to mem C4: rxb0_1: w_F 000:AE:mod 011 r/m
MXCSR to mem C5: r_F 000:AE:mod 011 r/m
VS U B P S S u b t ra ct P a cke d S in g le -P re cisio n F lo a t in g -P o in t
Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 000:5C:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 000:5C:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 000:5C:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 000:5C:mod xmmreg1 r/m
ymmreg2 with ymmreg3 to ymmreg1 C4: rxb0_1: w ymmreg2 100:5C:11 ymmreg1 ymmreg3
ymmreg2 with mem to ymmreg1 C4: rxb0_1: w ymmreg2 100:5C:mod ymmreg1 r/m
ymmreglo2 with ymmreglo3 to ymmreg1 C5: r_ymmreglo2 100:5C:11 ymmreg1 ymmreglo3
ymmreglo2 with mem to ymmreg1 C5: r_ymmreglo2 100:5C:mod ymmreg1 r/m
VS U B S S S u b t ra ct S ca la r S in g le -P re cisio n F lo a t in g -P o in t
Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 010:5C:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 010:5C:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 010:5C:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 010:5C:mod xmmreg1 r/m
VU C O MI S S U n o rd e re d C o m p a re S ca la r S in g le -P re cisio n
F lo a t in g -P o in t Va lu e s a n d S e t E F LAG S
xmmreg2 with xmmreg1 C4: rxb0_1: w_F 000:2E:11 xmmreg1 xmmreg2
mem with xmmreg1 C4: rxb0_1: w_F 000:2E:mod xmmreg1 r/m
xmmreglo with xmmreg1 C5: r_F 000:2E:11 xmmreg1 xmmreglo
memwith xmmreg1 C5: r_F 000:2E:mod xmmreg1 r/m
U N P C K H P S U n p a ck a n d I n t e rle a v e H ig h P a cke d S in g le -
P re cisio n F lo a t in g -P o in t Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 000:15:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 000:15mod xmmreg1 r/m
ymmreg2 with ymmreg3 to ymmreg1 C4: rxb0_1: w ymmreg2 100:15:11 ymmreg1 ymmreg3
ymmreg2 with mem to ymmreg1 C4: rxb0_1: w ymmreg2 100:15mod ymmreg1 r/m
U N P C K LP S U n p a ck a n d I n t e rle a v e Lo w P a cke d S in g le -
P re cisio n F lo a t in g -P o in t Va lu e
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-111
INSTRUCTION FORMATS AND ENCODINGS
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 000:14:11 xmmreg1 xmmreg3
xmmreg2 with mem to xmmreg1 C4: rxb0_1: w xmmreg2 000:14mod xmmreg1 r/m
ymmreg2 with ymmreg3 to ymmreg1 C4: rxb0_1: w ymmreg2 100:14:11 ymmreg1 ymmreg3
ymmreg2 with memto ymmreg1 C4: rxb0_1: w ymmreg2 100:14mod ymmreg1 r/m
V XO R P S B it w ise Lo g ica l XO R fo r S in g le -P re cisio n
F lo a t in g -P o in t Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_1: w xmmreg2 000:57:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_1: w xmmreg2 000:57:mod xmmreg1 r/m
xmmreglo2 with xmmreglo3 to xmmreg1 C5: r_xmmreglo2 000:57:11 xmmreg1 xmmreglo3
xmmreglo2 with mem to xmmreg1 C5: r_xmmreglo2 000:57:mod xmmreg1 r/m
ymmreg2 with ymmreg3 to ymmreg1 C4: rxb0_1: w ymmreg2 100:57:11 ymmreg1 ymmreg3
ymmreg2 with memto ymmreg1 C4: rxb0_1: w ymmreg2 100:57:mod ymmreg1 r/m
ymmreglo2 with ymmreglo3 to ymmreg1 C5: r_ymmreglo2 100:57:11 ymmreg1 ymmreglo3
ymmreglo2 with mem to ymmreg1 C5: r_ymmreglo2 100:57:mod ymmreg1 r/m
VB R O AD C AS T Lo a d w it h B ro a d ca st
mem to xmmreg1 C4: rxb0_2: 0_F 001:18:mod xmmreg1 r/m
mem to ymmreg1 C4: rxb0_2: 0_F 101:18:mod ymmreg1 r/m
mem to ymmreg1 C4: rxb0_2: 0_F 101:19:mod ymmreg1 r/m
mem to ymmreg1 C4: rxb0_2: 0_F 101:1A:mod ymmreg1 r/m
VE XT R AC T F 1 2 8 E x t ra ct P a cke d F lo a t in g -P o in t Va lu e s
ymmreg2 to xmmreg1, imm8 C4: rxb0_3: 0_F 001:19:11 xmmreg1 ymmreg2: imm
ymmreg2 to mem, imm8 C4: rxb0_3: 0_F 001:19:mod r/m ymmreg2: imm
V I N S E R T F 1 2 8 I n se rt P a cke d F lo a t in g -P o in t Va lu e s
xmmreg3 and merge with ymmreg2 to ymmreg1, imm8 C4: rxb0_3: 0 ymmreg2101:18:11 ymmreg1 xmmreg3: imm
memand merge with ymmreg2 to ymmreg1, imm8 C4: rxb0_3: 0 ymmreg2 101:18:mod ymmreg1 r/m: imm
VP E R MI LP D P e rm u t e D o u b le -P re cisio n F lo a t in g -P o in t
Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_2: 0 xmmreg2 001:0D:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_2: 0 xmmreg2 001:0D:mod xmmreg1 r/m
ymmreg2 with ymmreg3 to ymmreg1 C4: rxb0_2: 0 ymmreg2 101:0D:11 ymmreg1 ymmreg3
ymmreg2 with mem to ymmreg1 C4: rxb0_2: 0 ymmreg2 101:0D:mod ymmreg1 r/m
xmmreg2 to xmmreg1, imm C4: rxb0_3: 0_F 001:05:11 xmmreg1 xmmreg2: imm
mem to xmmreg1, imm C4: rxb0_3: 0_F 001:05:mod xmmreg1 r/m: imm
ymmreg2 to ymmreg1, imm C4: rxb0_3: 0_F 101:05:11 ymmreg1 ymmreg2: imm
mem to ymmreg1, imm C4: rxb0_3: 0_F 101:05:mod ymmreg1 r/m: imm
V P E R MI LP S P e rm u t e S in g le -P re cisio n F lo a t in g -P o in t
Va lu e s
xmmreg2 with xmmreg3 to xmmreg1 C4: rxb0_2: 0 xmmreg2 001:0C:11 xmmreg1 xmmreg3
xmmreg2 with memto xmmreg1 C4: rxb0_2: 0 xmmreg2 001:0C:mod xmmreg1 r/m
xmmreg2 to xmmreg1, imm C4: rxb0_3: 0_F 001:04:11 xmmreg1 xmmreg2: imm
I n st ru ct io n a n d Fo rm a t E n co d in g
B-112 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
mem to xmmreg1, imm C4: rxb0_3: 0_F 001:04:mod xmmreg1 r/m: imm
ymmreg2 with ymmreg3 to ymmreg1 C4: rxb0_2: 0 ymmreg2 101:0C:11 ymmreg1 ymmreg3
ymmreg2 with memto ymmreg1 C4: rxb0_2: 0 ymmreg2 101:0C:mod ymmreg1 r/m
ymmreg2 to ymmreg1, imm C4: rxb0_3: 0_F 101:04:11 ymmreg1 ymmreg2: imm
mem to ymmreg1, imm C4: rxb0_3: 0_F 101:04:mod ymmreg1 r/m: imm
VP E R M2 F 1 2 8 P e rm u t e F lo a t in g -P o in t Va lu e s
ymmreg2 with ymmreg3 to ymmreg1 C4: rxb0_3: 0 ymmreg2 101:06:11 ymmreg1 ymmreg3: imm
ymmreg2 with memto ymmreg1 C4: rxb0_3: 0 ymmreg2 101:06:mod ymmreg1 r/m: imm
VT E S T P D /VT E S T P S P a cke d B it Te st
xmmreg2 to xmmreg1 C4: rxb0_2: 0_F 001:0E:11 xmmreg2 xmmreg1
mem to xmmreg1 C4: rxb0_2: 0_F 001:0E:mod xmmreg2 r/m
ymmreg2 to ymmreg1 C4: rxb0_2: 0_F 101:0E:11 ymmreg2 ymmreg1
mem to ymmreg1 C4: rxb0_2: 0_F 101:0E:mod ymmreg2 r/m
xmmreg2 to xmmreg1 C4: rxb0_2: 0_F 001:0F:11 xmmreg1 xmmreg2: imm
mem to xmmreg1 C4: rxb0_2: 0_F 001:0F:mod xmmreg1 r/m: imm
ymmreg2 to ymmreg1 C4: rxb0_2: 0_F 101:0F:11 ymmreg1 ymmreg2: imm
mem to ymmreg1 C4: rxb0_2: 0_F 101:0F:mod ymmreg1 r/m: imm
N O T E S :
1. The term lo refers to the lower eight registers, 0-7
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-113
INSTRUCTION FORMATS AND ENCODINGS
B .1 7 F LO AT I N G -P O I N T I N S T R U C T I O N FO R MAT S AN D E N C O D I N G S
Table B-38 shows the five different formats used for floating-point instructions. In all cases, instructions are at
least two bytes long and begin with the bit pattern 11011.
The Mod and R/M fields of the ModR/M byte have the same interpretation as the corresponding fields of the integer
instructions. The SIB byte and disp (displacement) are optionally present in instructions that have Mod and R/M
fields. Their presence depends on the values of Mod and R/M, as for integer instructions.
Table B-39 shows the formats and encodings of the floating-point instructions.
Ta b le B -3 8 . G e n e ra l F lo a t in g -P o in t I n st ru ct io n F o rm a t s
I n st ru ct io n
F irst B y t e S e co n d B y t e O p t io n a l F ie ld s
1 11011 OPA 1 mod 1 OPB r/m s-i-b disp
2 11011 MF OPA mod OPB r/m s-i-b disp
3 11011 d P OPA 1 1 OPB R ST(i)
4 11011 0 0 1 1 1 1 OP
5 11011 0 1 1 1 1 1 OP
1511 10 9 8 7 6 5 4 3 2 1 0
MF = Memory Format
00 32-bit real
01 32-bit integer
10 64-bit real
11 16-bit integer
P = Pop
0 Do not pop stack
1 Pop stack after operation
d = Destination
0 Destination is ST(0)
1 Destination is ST(i)
R XOR d = 0 Destination OP Source
R XOR d = 1 Source OP Destination
ST(i) = Register stack element i
000 = Stack Top
001 = Second stack element



111 = Eighth stack element
Ta b le B -3 9 . F lo a t in g -P o in t I n st ru ct io n Fo rm a t s a n d E n co d in g s
I n st ru ct io n a n d Fo rm a t E n co d in g
F 2 XM1 C o m p u t e 2
S T (0 )
1 11011 001 : 1111 0000
FAB S Ab so lu t e Va lu e 11011 001 : 1110 0001
FAD D Ad d
ST(0) ST(0) + 32-bit memory 11011 000 : mod 000 r/m
ST(0) ST(0) + 64-bit memory 11011 100 : mod 000 r/m
ST(d) ST(0) + ST(i) 11011 d00 : 11 000 ST(i)
FAD D P Ad d a n d P o p
ST(0) ST(0) + ST(i) 11011 110 : 11 000 ST(i)
F B LD Lo a d B in a ry C o d e d D e cim a l 11011 111 : mod 100 r/m
F B S T P S t o re B in a ry C o d e d D e cim a l a n d P o p 11011 111 : mod 110 r/m
F C H S C h a n g e S ig n 11011 001 : 1110 0000
F C LE X C le a r E x ce p t io n s 11011 011 : 1110 0010
F C O M C o m p a re R e a l
B-114 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
32-bit memory 11011 000 : mod 010 r/m
64-bit memory 11011 100 : mod 010 r/m
ST(i) 11011 000 : 11 010 ST(i)
F C O MP C o m p a re R e a l a n d P o p
32-bit memory 11011 000 : mod 011 r/m
64-bit memory 11011 100 : mod 011 r/m
ST(i) 11011 000 : 11 011 ST(i)
F C O MP P C o m p a re R e a l a n d P o p T w ice 11011 110 : 11 011 001
F C O MI P C o m p a re R e a l, S e t E F LAG S , a n d P o p 11011 111 : 11 110 ST(i)
F C O S C o sin e o f S T (0 ) 11011 001 : 1111 1111
F D E C S T P D e cre m e n t S t a ck -To p P o in t e r 11011 001 : 1111 0110
F D I V D iv id e
ST(0) ST(0) 32-bit memory 11011 000 : mod 110 r/m
ST(0) ST(0) 64-bit memory 11011 100 : mod 110 r/m
ST(d) ST(0) ST(i) 11011 d00 : 1111 R ST(i)
F D I VP D iv id e a n d P o p
ST(0) ST(0) ST(i) 11011 110 : 1111 1 ST(i)
F D I VR R e v e rse D iv id e
ST(0) 32-bit memory ST(0) 11011 000 : mod 111 r/m
ST(0) 64-bit memory ST(0) 11011 100 : mod 111 r/m
ST(d) ST(i) ST(0) 11011 d00 : 1111 R ST(i)
F D I VR P R e v e rse D iv id e a n d P o p
ST(0) ST(i) ST(0) 11011 110 : 1111 0 ST(i)
F F R E E F re e S T (i) R e g ist e r 11011 101 : 1100 0 ST(i)
F I AD D Ad d I n t e g e r
ST(0) ST(0) + 16-bit memory 11011 110 : mod 000 r/m
ST(0) ST(0) + 32-bit memory 11011 010 : mod 000 r/m
F I C O M C o m p a re I n t e g e r
16-bit memory 11011 110 : mod 010 r/m
32-bit memory 11011 010 : mod 010 r/m
F I C O MP C o m p a re I n t e g e r a n d P o p
16-bit memory 11011 110 : mod 011 r/m
32-bit memory 11011 010 : mod 011 r/m
F I D I V D iv id e
ST(0) ST(0) 16-bit memory 11011 110 : mod 110 r/m
ST(0) ST(0) 32-bit memory 11011 010 : mod 110 r/m
F I D I VR R e v e rse D iv id e
ST(0) 16-bit memory ST(0) 11011 110 : mod 111 r/m
Ta b le B -3 9 . F lo a t in g -P o in t I n st ru ct io n Fo rm a t s a n d E n co d in g s (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-115
INSTRUCTION FORMATS AND ENCODINGS
ST(0) 32-bit memory ST(0) 11011 010 : mod 111 r/m
F I LD Lo a d I n t e g e r
16-bit memory 11011 111 : mod 000 r/m
32-bit memory 11011 011 : mod 000 r/m
64-bit memory 11011 111 : mod 101 r/m
F I MU L Mu lt ip ly
ST(0) ST(0) 16-bit memory 11011 110 : mod 001 r/m
ST(0) ST(0) 32-bit memory 11011 010 : mod 001 r/m
F I N C S T P I n cre m e n t S t a ck P o in t e r 11011 001 : 1111 0111
F I N I T I n it ia lize F lo a t in g -P o in t U n it
F I S T S t o re I n t e g e r
16-bit memory 11011 111 : mod 010 r/m
32-bit memory 11011 011 : mod 010 r/m
F I S T P S to re I n t e g e r a n d P o p
16-bit memory 11011 111 : mod 011 r/m
32-bit memory 11011 011 : mod 011 r/m
64-bit memory 11011 111 : mod 111 r/m
F I S U B S u b t ra ct
ST(0) ST(0) - 16-bit memory 11011 110 : mod 100 r/m
ST(0) ST(0) - 32-bit memory 11011 010 : mod 100 r/m
F I S U B R R e v e rse S u b t ra ct
ST(0) 16-bit memory ST(0) 11011 110 : mod 101 r/m
ST(0) 32-bit memory ST(0) 11011 010 : mod 101 r/m
F LD Lo a d R e a l
32-bit memory 11011 001 : mod 000 r/m
64-bit memory 11011 101 : mod 000 r/m
80-bit memory 11011 011 : mod 101 r/m
ST(i) 11011 001 : 11 000 ST(i)
F LD 1 Lo a d +1 .0 in to S T (0 ) 11011 001 : 1110 1000
F LD C W Lo a d C o n t ro l Wo rd 11011 001 : mod 101 r/m
F LD E N V Lo a d F P U E n v iro n m e n t 11011 001 : mod 100 r/m
F LD L2 E Lo a d lo g
2
() in t o S T (0 ) 11011 001 : 1110 1010
F LD L2 T Lo a d lo g
2
(1 0 ) in t o S T (0 ) 11011 001 : 1110 1001
F LD LG 2 Lo a d lo g
1 0
(2 ) in t o S T (0 ) 11011 001 : 1110 1100
F LD LN 2 Lo a d lo g

(2 ) in to S T (0 ) 11011 001 : 1110 1101


F LD P I Lo a d in to S T (0 ) 11011 001 : 1110 1011
F LD Z Lo a d +0 .0 in t o S T (0 ) 11011 001 : 1110 1110
F MU L Mu lt ip ly
Ta b le B -3 9 . F lo a t in g -P o in t I n st ru ct io n Fo rm a t s a n d E n co d in g s (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
B-116 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
ST(0) ST(0) 32-bit memory 11011 000 : mod 001 r/m
ST(0) ST(0) 64-bit memory 11011 100 : mod 001 r/m
ST(d) ST(0) ST(i) 11011 d00 : 1100 1 ST(i)
F MU LP Mu lt ip ly
ST(i) ST(0) ST(i) 11011 110 : 1100 1 ST(i)
F N O P N o O p e ra t io n 11011 001 : 1101 0000
F P ATAN P a rt ia l Arct a n g e n t 11011 001 : 1111 0011
F P R E M P a rt ia l R e m a in d e r 11011 001 : 1111 1000
F P R E M1 P a rt ia l R e m a in d e r (I E E E ) 11011 001 : 1111 0101
F P TAN P a rt ia l Ta n g e n t 11011 001 : 1111 0010
F R N D I N T R o u n d to I n t e g e r 11011 001 : 1111 1100
F R S T O R R e st o re F P U S t a t e 11011 101 : mod 100 r/m
F S AVE S t o re F P U S t a t e 11011 101 : mod 110 r/m
F S C ALE S ca le 11011 001 : 1111 1101
F S I N S in e 11011 001 : 1111 1110
F S I N C O S S in e a n d C o sin e 11011 001 : 1111 1011
F S Q R T S q u a re R o o t 11011 001 : 1111 1010
F S T S t o re R e a l
32-bit memory 11011 001 : mod 010 r/m
64-bit memory 11011 101 : mod 010 r/m
ST(i) 11011 101 : 11 010 ST(i)
F S T C W S to re C o n t ro l Wo rd 11011 001 : mod 111 r/m
F S T E N V S t o re F P U E n v iro n m e n t 11011 001 : mod 110 r/m
F S T P S to re R e a l a n d P o p
32-bit memory 11011 001 : mod 011 r/m
64-bit memory 11011 101 : mod 011 r/m
80-bit memory 11011 011 : mod 111 r/m
ST(i) 11011 101 : 11 011 ST(i)
F S T S W S t o re S t a t u s Wo rd in t o AX 11011 111 : 1110 0000
F S T S W S t o re S t a t u s Wo rd in t o Me m o ry 11011 101 : mod 111 r/m
F S U B S u b t ra ct
ST(0) ST(0) 32-bit memory 11011 000 : mod 100 r/m
ST(0) ST(0) 64-bit memory 11011 100 : mod 100 r/m
ST(d) ST(0) ST(i) 11011 d00 : 1110 R ST(i)
F S U B P S u b t ra ct a n d P o p
ST(0) ST(0) ST(i) 11011 110 : 1110 1 ST(i)
FSUBR Reverse Subtract
ST(0) 32-bit memory ST(0) 11011 000 : mod 101 r/m
Ta b le B -3 9 . F lo a t in g -P o in t I n st ru ct io n Fo rm a t s a n d E n co d in g s (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C B-117
INSTRUCTION FORMATS AND ENCODINGS
B .1 8 V MX I N S T R U C T I O N S
Table B-40 describes virtual-machine extensions (VMX).
ST(0) 64-bit memory ST(0) 11011 100 : mod 101 r/m
ST(d) ST(i) ST(0) 11011 d00 : 1110 R ST(i)
F S U B R P R e v e rse S u b t ra ct a n d P o p
ST(i) ST(i) ST(0) 11011 110 : 1110 0 ST(i)
F T S T Te st 11011 001 : 1110 0100
F U C O M U n o rd e re d C o m p a re R e a l 11011 101 : 1110 0 ST(i)
F U C O MP U n o rd e re d C o m p a re R e a l a n d P o p 11011 101 : 1110 1 ST(i)
F U C O MP P U n o rd e re d C o m p a re R e a l a n d P o p T w ice 11011 010 : 1110 1001
F U C O MI U n o rd e rd C o m p a re R e a l a n d S e t E F LAG S 11011 011 : 11 101 ST(i)
F U C O MI P U n o rd e rd C o m p a re R e a l, S e t E F LAG S , a n d P o p 11011 111 : 11 101 ST(i)
F XAM E x a m in e 11011 001 : 1110 0101
F XC H E x ch a n g e S T (0 ) a n d S T (i) 11011 001 : 1100 1 ST(i)
F XT R AC T E x t ra ct E x p o n e n t a n d S ig n ifica n d 11011 001 : 1111 0100
F YL2 X S T (1 ) lo g
2
(S T (0 )) 11011 001 : 1111 0001
F YL2 XP 1 S T (1 ) lo g
2
(S T (0 ) + 1 .0 ) 11011 001 : 1111 1001
F WAI T Wa it u n t il F P U R e a d y 1001 1011
Ta b le B -4 0 . E n co d in g s fo r V MX I n st ru ct io n s
I n st ru ct io n a n d Fo rm a t E n co d in g
I N VE P T I n v a lid a t e C a ch e d E P T Ma p p in g s
Descriptor m128 according to reg 01100110 00001111 00111000 10000000: mod reg r/m
I N VVP I D I n v a lid a t e C a ch e d VP I D Ma p p in g s
Descriptor m128 according to reg 01100110 00001111 00111000 10000001: mod reg r/m
VMC ALLC a ll to V M Mo n it o r
Call VMM: causes VM exit. 00001111 00000001 11000001
VMC LE AR C le a r V irt u a l-Ma ch in e C o n t ro l S t ru ct u re
mem32:VMCS_data_ptr 01100110 00001111 11000111: mod 110 r/m
mem64:VMCS_data_ptr 01100110 00001111 11000111: mod 110 r/m
VMF U N C I n v o ke VM Fu n ct io n
Invoke VM function specified in EAX 00001111 00000001 11010100
VMLAU N C H La u n ch Virt u a l Ma ch in e
Launch VM managed by Current_VMCS 00001111 00000001 11000010
V MR E S U ME R e su m e V irt u a l Ma ch in e
Resume VM managed by Current_VMCS 00001111 00000001 11000011
VMP T R LD Lo a d P o in t e r to Virt u a l-Ma ch in e C o n t ro l
S t ru ct u re
Ta b le B -3 9 . F lo a t in g -P o in t I n st ru ct io n Fo rm a t s a n d E n co d in g s (C o n t d .)
I n st ru ct io n a n d Fo rm a t E n co d in g
B-118 Vol. 2C
INSTRUCTION FORMATS AND ENCODINGS
B .1 9 S MX I N S T R U C T I O N S
Table B-38 describes Safer Mode extensions (VMX). G E T S E C le a f fu n ct io n s a re se le ct e d b y a v a lid v a lu e in E AX o n in p u t .
mem32 to Current_VMCS_ptr 00001111 11000111: mod 110 r/m
mem64 to Current_VMCS_ptr 00001111 11000111: mod 110 r/m
V MP T R S T S to re P o in t e r to Virt u a l-Ma ch in e C o n t ro l
S t ru ct u re
Current_VMCS_ptr to mem32 00001111 11000111: mod 111 r/m
Current_VMCS_ptr to mem64 00001111 11000111: mod 111 r/m
VMR E AD R e a d F ie ld fro m Virt u a l-Ma ch in e C o n t ro l
S t ru ct u re
r32 (VMCS_fieldn) to r32
r32 (VMCS_fieldn) to mem32
r64 (VMCS_fieldn) to r64
r64 (VMCS_fieldn) to mem64
00001111 01111000: 11 reg2 reg1
00001111 01111000: mod r32 r/m
00001111 01111000: 11 reg2 reg1
00001111 01111000: mod r64 r/m
V MWR I T E Writ e F ie ld to V irt u a l-Ma ch in e C o n t ro l S t ru ct u re
r32 to r32 (VMCS_fieldn)
mem32 to r32 (VMCS_fieldn)
r64 to r64 (VMCS_fieldn)
mem64 to r64 (VMCS_fieldn)
00001111 01111001: 11 reg1 reg2
00001111 01111001: mod r32 r/m
00001111 01111001: 11 reg1 reg2
00001111 01111001: mod r64 r/m
V MXO F F Le a v e V MX O p e ra t io n
Leave VMX. 00001111 00000001 11000100
V MXO N E n t e r VMX O p e ra t io n
Enter VMX. 11110011 000011111 11000111: mod 110 r/m
Ta b le B -4 1 . E n co d in g s fo r S MX I n st ru ct io n s
I n st ru ct io n a n d Fo rm a t E n co d in g
G E T S E C G E T S E C le a f fu n ct io n s a re
se le ct e d b y t h e v a lu e in E AX o n in p u t
GETSEC[CAPABILITIES]. 00001111 00110111 (EAX=0)
GETSEC[ENTERACCS]. 00001111 00110111 (EAX=2)
GETSEC[EXITAC]. 00001111 00110111 (EAX=3)
GETSEC[SENTER]. 00001111 00110111 (EAX=4)
GETSEC[SEXIT]. 00001111 00110111 (EAX=5)
GETSEC[PARAMETERS]. 00001111 00110111 (EAX=6)
GETSEC[SMCTRL]. 00001111 00110111 (EAX=7)
GETSEC[WAKEUP]. 00001111 00110111 (EAX=8)
Ta b le B -4 0 . E n co d in g s fo r V MX I n st ru ct io n s
I n st ru ct io n a n d Fo rm a t E n co d in g
Vol. 2C C-1
AP P E N D I X C
I N T E L

C /C ++ C O MP I LE R I N T R I N S I C S AN D F U N C T I O N AL E Q U I VALE N T S
The two tables in this appendix itemize the Intel C/C++ compiler intrinsics and functional equivalents for the Intel
MMX technology, SSE, SSE2, SSE3, and SSSE3 instructions.
There may be additional intrinsics that do not have an instruction equivalent. It is strongly recommended that the
reader reference the compiler documentation for the complete list of supported intrinsics. Please refer to
http://www.intel.com/support/performancetools/.
Table C-1 presents simple intrinsics and Table C-2 presents composite intrinsics. Some intrinsics are composites
because they require more than one instruction to implement them.
Intel C/C++ Compiler intrinsic names reflect the following naming conventions:
_mm_<intrin_op>_<suffix>
where:
<intrin_op> Indicates the intrinsics basic operation; for example, add for addition and sub for subtrac-
tion
<suffix> Denotes the type of data operated on by the instruction. The first one or two letters of
each suffix denotes whether the data is packed (p), extended packed (ep), or scalar (s).
The remaining letters denote the type:
s single-precision floating point
d double-precision floating point
i128 signed 128-bit integer
i64 signed 64-bit integer
u64 unsigned 64-bit integer
i32 signed 32-bit integer
u32 unsigned 32-bit integer
i16 signed 16-bit integer
u16 unsigned 16-bit integer
i8 signed 8-bit integer
u8 unsigned 8-bit integer
The variable r is generally used for the intrinsic's return value. A number appended to a variable name indicates the
element of a packed object. For example, r0 is the lowest word of r.
The packed values are represented in right-to-left order, with the lowest value being used for scalar operations.
Consider the following example operation:
double a[2] = {1.0, 2.0};
__m128d t = _mm_load_pd(a);
The result is the same as either of the following:
__m128d t = _mm_set_pd(2.0, 1.0);
__m128d t = _mm_setr_pd(1.0, 2.0);
In other words, the XMM register that holds the value t will look as follows:
0 127 64 63
2.0 1.0
C-2 Vol. 2C
INTELC/C++COMPILER INTRINSICS AND FUNCTIONAL EQUIVALENTS
The scalar element is 1.0. Due to the nature of the instruction, some intrinsics require their arguments to be
immediates (constant integer literals).
To use an intrinsic in your code, insert a line with the following syntax:
data_type intrinsic_name (parameters)
Where:
data_type Is the return data type, which can be either void, int, __m64, __m128, __m128d, or
__m128i. Only the _mm_empty intrinsic returns void.
intrinsic_name Is the name of the intrinsic, which behaves like a function that you can use in your C/C++
code instead of in-lining the actual instruction.
parameters Represents the parameters required by each intrinsic.
C .1 S I MP LE I N T R I N S I C S
N O T E
For detailed descriptions of the intrinsics in Table C-1, see the corresponding mnemonic in Chapter
3 in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 2A, or Chapter
4, Instruction Set Reference, N-Z in the Intel 64 and IA-32 Architectures Software Developers
Manual, Volume 2B.
Ta b le C -1 . S im p le I n t rin sics
Mn e m o n ic I n t rin sic
ADDPD __m128d _mm_add_pd(__m128d a, __m128d b)
ADDPS __m128 _mm_add_ps(__m128 a, __m128 b)
ADDSD __m128d _mm_add_sd(__m128d a, __m128d b)
ADDSS __m128 _mm_add_ss(__m128 a, __m128 b)
ADDSUBPD __m128d _mm_addsub_pd(__m128d a, __m128d b)
ADDSUBPS __m128 _mm_addsub_ps(__m128 a, __m128 b)
AESDEC __m128i _mm_aesdec (__m128i, __m128i)
AESDECLAST __m128i _mm_aesdeclast (__m128i, __m128i)
AESENC __m128i _mm_aesenc (__m128i, __m128i)
AESENCLAST __m128i _mm_aesenclast (__m128i, __m128i)
AESIMC __m128i _mm_aesimc (__m128i)
AESKEYGENASSIST __m128i _mm_aesimc (__m128i, const int)
ANDNPD __m128d _mm_andnot_pd(__m128d a, __m128d b)
ANDNPS __m128 _mm_andnot_ps(__m128 a, __m128 b)
ANDPD __m128d _mm_and_pd(__m128d a, __m128d b)
ANDPS __m128 _mm_and_ps(__m128 a, __m128 b)
BLENDPD __m128d _mm_blend_pd(__m128d v1, __m128d v2, const int mask)
BLENDPS __m128 _mm_blend_ps(__m128 v1, __m128 v2, const int mask)
BLENDVPD __m128d _mm_blendv_pd(__m128d v1, __m128d v2, __m128d v3)
BLENDVPS __m128 _mm_blendv_ps(__m128 v1, __m128 v2, __m128 v3)
CLFLUSH void _mm_clflush(void const *p)
CMPPD __m128d _mm_cmpeq_pd(__m128d a, __m128d b)
__m128d _mm_cmplt_pd(__m128d a, __m128d b)
Vol. 2C C-3
INTELC/C++COMPILER INTRINSICS AND FUNCTIONAL EQUIVALENTS
__m128d _mm_cmple_pd(__m128d a, __m128d b)
__m128d _mm_cmpgt_pd(__m128d a, __m128d b)
__m128d _mm_cmpge_pd(__m128d a, __m128d b)
__m128d _mm_cmpneq_pd(__m128d a, __m128d b)
__m128d _mm_cmpnlt_pd(__m128d a, __m128d b)
__m128d _mm_cmpngt_pd(__m128d a, __m128d b)
__m128d _mm_cmpnge_pd(__m128d a, __m128d b)
__m128d _mm_cmpord_pd(__m128d a, __m128d b)
__m128d _mm_cmpunord_pd(__m128d a, __m128d b)
__m128d _mm_cmpnle_pd(__m128d a, __m128d b)
CMPPS __m128 _mm_cmpeq_ps(__m128 a, __m128 b)
__m128 _mm_cmplt_ps(__m128 a, __m128 b)
__m128 _mm_cmple_ps(__m128 a, __m128 b)
__m128 _mm_cmpgt_ps(__m128 a, __m128 b)
__m128 _mm_cmpge_ps(__m128 a, __m128 b)
__m128 _mm_cmpneq_ps(__m128 a, __m128 b)
__m128 _mm_cmpnlt_ps(__m128 a, __m128 b)
__m128 _mm_cmpngt_ps(__m128 a, __m128 b)
__m128 _mm_cmpnge_ps(__m128 a, __m128 b)
__m128 _mm_cmpord_ps(__m128 a, __m128 b)
__m128 _mm_cmpunord_ps(__m128 a, __m128 b)
__m128 _mm_cmpnle_ps(__m128 a, __m128 b)
CMPSD __m128d _mm_cmpeq_sd(__m128d a, __m128d b)
__m128d _mm_cmplt_sd(__m128d a, __m128d b)
__m128d _mm_cmple_sd(__m128d a, __m128d b)
__m128d _mm_cmpgt_sd(__m128d a, __m128d b)
__m128d _mm_cmpge_sd(__m128d a, __m128d b)
__m128 _mm_cmpneq_sd(__m128d a, __m128d b)
__m128 _mm_cmpnlt_sd(__m128d a, __m128d b)
__m128d _mm_cmpnle_sd(__m128d a, __m128d b)
__m128d _mm_cmpngt_sd(__m128d a, __m128d b)
__m128d _mm_cmpnge_sd(__m128d a, __m128d b)
__m128d _mm_cmpord_sd(__m128d a, __m128d b)
__m128d _mm_cmpunord_sd(__m128d a, __m128d b)
CMPSS __m128 _mm_cmpeq_ss(__m128 a, __m128 b)
__m128 _mm_cmplt_ss(__m128 a, __m128 b)
__m128 _mm_cmple_ss(__m128 a, __m128 b)
__m128 _mm_cmpgt_ss(__m128 a, __m128 b)
__m128 _mm_cmpge_ss(__m128 a, __m128 b)
__m128 _mm_cmpneq_ss(__m128 a, __m128 b)
__m128 _mm_cmpnlt_ss(__m128 a, __m128 b)
Ta b le C -1 . S im p le I n t rin sics (C o n t d .)
Mn e m o n ic I n t rin sic
C-4 Vol. 2C
INTELC/C++COMPILER INTRINSICS AND FUNCTIONAL EQUIVALENTS
__m128 _mm_cmpnle_ss(__m128 a, __m128 b)
__m128 _mm_cmpngt_ss(__m128 a, __m128 b)
__m128 _mm_cmpnge_ss(__m128 a, __m128 b)
__m128 _mm_cmpord_ss(__m128 a, __m128 b)
__m128 _mm_cmpunord_ss(__m128 a, __m128 b)
COMISD int _mm_comieq_sd(__m128d a, __m128d b)
int _mm_comilt_sd(__m128d a, __m128d b)
int _mm_comile_sd(__m128d a, __m128d b)
int _mm_comigt_sd(__m128d a, __m128d b)
int _mm_comige_sd(__m128d a, __m128d b)
int _mm_comineq_sd(__m128d a, __m128d b)
COMISS int _mm_comieq_ss(__m128 a, __m128 b)
int _mm_comilt_ss(__m128 a, __m128 b)
int _mm_comile_ss(__m128 a, __m128 b)
int _mm_comigt_ss(__m128 a, __m128 b)
int _mm_comige_ss(__m128 a, __m128 b)
int _mm_comineq_ss(__m128 a, __m128 b)
CRC32 unsigned int _mm_crc32_u8(unsigned int crc, unsigned char data)
unsigned int _mm_crc32_u16(unsigned int crc, unsigned short data)
unsigned int _mm_crc32_u32(unsigned int crc, unsigned int data)
unsigned __int64 _mm_crc32_u64(unsinged __int64 crc, unsigned __int64 data)
CVTDQ2PD __m128d _mm_cvtepi32_pd(__m128i a)
CVTDQ2PS __m128 _mm_cvtepi32_ps(__m128i a)
CVTPD2DQ __m128i _mm_cvtpd_epi32(__m128d a)
CVTPD2PI __m64 _mm_cvtpd_pi32(__m128d a)
CVTPD2PS __m128 _mm_cvtpd_ps(__m128d a)
CVTPI2PD __m128d _mm_cvtpi32_pd(__m64 a)
CVTPI2PS __m128 _mm_cvt_pi2ps(__m128 a, __m64 b)
__m128 _mm_cvtpi32_ps(__m128 a, __m64 b)
CVTPS2DQ __m128i _mm_cvtps_epi32(__m128 a)
CVTPS2PD __m128d _mm_cvtps_pd(__m128 a)
CVTPS2PI __m64 _mm_cvt_ps2pi(__m128 a)
__m64 _mm_cvtps_pi32(__m128 a)
CVTSD2SI int _mm_cvtsd_si32(__m128d a)
CVTSD2SS __m128 _mm_cvtsd_ss(__m128 a, __m128d b)
CVTSI2SD __m128d _mm_cvtsi32_sd(__m128d a, int b)
CVTSI2SS __m128 _mm_cvt_si2ss(__m128 a, int b)
__m128 _mm_cvtsi32_ss(__m128 a, int b)
__m128 _mm_cvtsi64_ss(__m128 a, __int64 b)
CVTSS2SD __m128d _mm_cvtss_sd(__m128d a, __m128 b)
CVTSS2SI int _mm_cvt_ss2si(__m128 a)
int _mm_cvtss_si32(__m128 a)
CVTTPD2DQ __m128i _mm_cvttpd_epi32(__m128d a)
Ta b le C -1 . S im p le I n t rin sics (C o n t d .)
Mn e m o n ic I n t rin sic
Vol. 2C C-5
INTELC/C++COMPILER INTRINSICS AND FUNCTIONAL EQUIVALENTS
CVTTPD2PI __m64 _mm_cvttpd_pi32(__m128d a)
CVTTPS2DQ __m128i _mm_cvttps_epi32(__m128 a)
CVTTPS2PI __m64 _mm_cvtt_ps2pi(__m128 a)
__m64 _mm_cvttps_pi32(__m128 a)
CVTTSD2SI int _mm_cvttsd_si32(__m128d a)
CVTTSS2SI int _mm_cvtt_ss2si(__m128 a)
int _mm_cvttss_si32(__m128 a)
__m64 _mm_cvtsi32_si64(int i)
int _mm_cvtsi64_si32(__m64 m)
DIVPD __m128d _mm_div_pd(__m128d a, __m128d b)
DIVPS __m128 _mm_div_ps(__m128 a, __m128 b)
DIVSD __m128d _mm_div_sd(__m128d a, __m128d b)
DIVSS __m128 _mm_div_ss(__m128 a, __m128 b)
DPPD __m128d _mm_dp_pd(__m128d a, __m128d b, const int mask)
DPPS __m128 _mm_dp_ps(__m128 a, __m128 b, const int mask)
EMMS void _mm_empty()
EXTRACTPS int _mm_extract_ps(__m128 src, const int ndx)
HADDPD __m128d _mm_hadd_pd(__m128d a, __m128d b)
HADDPS __m128 _mm_hadd_ps(__m128 a, __m128 b)
HSUBPD __m128d _mm_hsub_pd(__m128d a, __m128d b)
HSUBPS __m128 _mm_hsub_ps(__m128 a, __m128 b)
INSERTPS __m128 _mm_insert_ps(__m128 dst, __m128 src, const int ndx)
LDDQU __m128i _mm_lddqu_si128(__m128i const *p)
LDMXCSR __mm_setcsr(unsigned int i)
LFENCE void _mm_lfence(void)
MASKMOVDQU void _mm_maskmoveu_si128(__m128i d, __m128i n, char *p)
MASKMOVQ void _mm_maskmove_si64(__m64 d, __m64 n, char *p)
MAXPD __m128d _mm_max_pd(__m128d a, __m128d b)
MAXPS __m128 _mm_max_ps(__m128 a, __m128 b)
MAXSD __m128d _mm_max_sd(__m128d a, __m128d b)
MAXSS __m128 _mm_max_ss(__m128 a, __m128 b)
MFENCE void _mm_mfence(void)
MINPD __m128d _mm_min_pd(__m128d a, __m128d b)
MINPS __m128 _mm_min_ps(__m128 a, __m128 b)
MINSD __m128d _mm_min_sd(__m128d a, __m128d b)
MINSS __m128 _mm_min_ss(__m128 a, __m128 b)
MONITOR void _mm_monitor(void const *p, unsigned extensions, unsigned hints)
MOVAPD __m128d _mm_load_pd(double * p)
void_mm_store_pd(double *p, __m128d a)
MOVAPS __m128 _mm_load_ps(float * p)
void_mm_store_ps(float *p, __m128 a)
MOVD __m128i _mm_cvtsi32_si128(int a)
Ta b le C -1 . S im p le I n t rin sics (C o n t d .)
Mn e m o n ic I n t rin sic
C-6 Vol. 2C
INTELC/C++COMPILER INTRINSICS AND FUNCTIONAL EQUIVALENTS
int _mm_cvtsi128_si32(__m128i a)
__m64 _mm_cvtsi32_si64(int a)
int _mm_cvtsi64_si32(__m64 a)
MOVDDUP __m128d _mm_movedup_pd(__m128d a)
__m128d _mm_loaddup_pd(double const * dp)
MOVDQA __m128i _mm_load_si128(__m128i * p)
void_mm_store_si128(__m128i *p, __m128i a)
MOVDQU __m128i _mm_loadu_si128(__m128i * p)
void_mm_storeu_si128(__m128i *p, __m128i a)
MOVDQ2Q __m64 _mm_movepi64_pi64(__m128i a)
MOVHLPS __m128 _mm_movehl_ps(__m128 a, __m128 b)
MOVHPD __m128d _mm_loadh_pd(__m128d a, double * p)
void _mm_storeh_pd(double * p, __m128d a)
MOVHPS __m128 _mm_loadh_pi(__m128 a, __m64 * p)
void _mm_storeh_pi(__m64 * p, __m128 a)
MOVLPD __m128d _mm_loadl_pd(__m128d a, double * p)
void _mm_storel_pd(double * p, __m128d a)
MOVLPS __m128 _mm_loadl_pi(__m128 a, __m64 *p)
void_mm_storel_pi(__m64 * p, __m128 a)
MOVLHPS __m128 _mm_movelh_ps(__m128 a, __m128 b)
MOVMSKPD int _mm_movemask_pd(__m128d a)
MOVMSKPS int _mm_movemask_ps(__m128 a)
MOVNTDQA __m128i _mm_stream_load_si128(__m128i *p)
MOVNTDQ void_mm_stream_si128(__m128i * p, __m128i a)
MOVNTPD void_mm_stream_pd(double * p, __m128d a)
MOVNTPS void_mm_stream_ps(float * p, __m128 a)
MOVNTI void_mm_stream_si32(int * p, int a)
MOVNTQ void_mm_stream_pi(__m64 * p, __m64 a)
MOVQ __m128i _mm_loadl_epi64(__m128i * p)
void_mm_storel_epi64(_m128i * p, __m128i a)
__m128i _mm_move_epi64(__m128i a)
MOVQ2DQ __m128i _mm_movpi64_epi64(__m64 a)
MOVSD __m128d _mm_load_sd(double * p)
void_mm_store_sd(double * p, __m128d a)
__m128d _mm_move_sd(__m128d a, __m128d b)
MOVSHDUP __m128 _mm_movehdup_ps(__m128 a)
MOVSLDUP __m128 _mm_moveldup_ps(__m128 a)
MOVSS __m128 _mm_load_ss(float * p)
void_mm_store_ss(float * p, __m128 a)
__m128 _mm_move_ss(__m128 a, __m128 b)
MOVUPD __m128d _mm_loadu_pd(double * p)
Ta b le C -1 . S im p le I n t rin sics (C o n t d .)
Mn e m o n ic I n t rin sic
Vol. 2C C-7
INTELC/C++COMPILER INTRINSICS AND FUNCTIONAL EQUIVALENTS
void_mm_storeu_pd(double *p, __m128d a)
MOVUPS __m128 _mm_loadu_ps(float * p)
void_mm_storeu_ps(float *p, __m128 a)
MPSADBW __m128i _mm_mpsadbw_epu8(__m128i s1, __m128i s2, const int mask)
MULPD __m128d _mm_mul_pd(__m128d a, __m128d b)
MULPS __m128 _mm_mul_ss(__m128 a, __m128 b)
MULSD __m128d _mm_mul_sd(__m128d a, __m128d b)
MULSS __m128 _mm_mul_ss(__m128 a, __m128 b)
MWAIT void _mm_mwait(unsigned extensions, unsigned hints)
ORPD __m128d _mm_or_pd(__m128d a, __m128d b)
ORPS __m128 _mm_or_ps(__m128 a, __m128 b)
PABSB __m64 _mm_abs_pi8 (__m64 a)
__m128i _mm_abs_epi8 (__m128i a)
PABSD __m64 _mm_abs_pi32 (__m64 a)
__m128i _mm_abs_epi32 (__m128i a)
PABSW __m64 _mm_abs_pi16 (__m64 a)
__m128i _mm_abs_epi16 (__m128i a)
PACKSSWB __m128i _mm_packs_epi16(__m128i m1, __m128i m2)
PACKSSWB __m64 _mm_packs_pi16(__m64 m1, __m64 m2)
PACKSSDW __m128i _mm_packs_epi32 (__m128i m1, __m128i m2)
PACKSSDW __m64 _mm_packs_pi32 (__m64 m1, __m64 m2)
PACKUSDW __m128i _mm_packus_epi32(__m128i m1, __m128i m2)
PACKUSWB __m128i _mm_packus_epi16(__m128i m1, __m128i m2)
PACKUSWB __m64 _mm_packs_pu16(__m64 m1, __m64 m2)
PADDB __m128i _mm_add_epi8(__m128i m1, __m128i m2)
PADDB __m64 _mm_add_pi8(__m64 m1, __m64 m2)
PADDW __m128i _mm_add_epi16(__m128i m1, __m128i m2)
PADDW __m64 _mm_add_pi16(__m64 m1, __m64 m2)
PADDD __m128i _mm_add_epi32(__m128i m1, __m128i m2)
PADDD __m64 _mm_add_pi32(__m64 m1, __m64 m2)
PADDQ __m128i _mm_add_epi64(__m128i m1, __m128i m2)
PADDQ __m64 _mm_add_si64(__m64 m1, __m64 m2)
PADDSB __m128i _mm_adds_epi8(__m128i m1, __m128i m2)
PADDSB __m64 _mm_adds_pi8(__m64 m1, __m64 m2)
PADDSW __m128i _mm_adds_epi16(__m128i m1, __m128i m2)
PADDSW __m64 _mm_adds_pi16(__m64 m1, __m64 m2)
PADDUSB __m128i _mm_adds_epu8(__m128i m1, __m128i m2)
PADDUSB __m64 _mm_adds_pu8(__m64 m1, __m64 m2)
PADDUSW __m128i _mm_adds_epu16(__m128i m1, __m128i m2)
PADDUSW __m64 _mm_adds_pu16(__m64 m1, __m64 m2)
Ta b le C -1 . S im p le I n t rin sics (C o n t d .)
Mn e m o n ic I n t rin sic
C-8 Vol. 2C
INTELC/C++COMPILER INTRINSICS AND FUNCTIONAL EQUIVALENTS
PALIGNR __m64 _mm_alignr_pi8 (__m64 a, __m64 b, int n)
__m128i _mm_alignr_epi8 (__m128i a, __m128i b, int n)
PAND __m128i _mm_and_si128(__m128i m1, __m128i m2)
PAND __m64 _mm_and_si64(__m64 m1, __m64 m2)
PANDN __m128i _mm_andnot_si128(__m128i m1, __m128i m2)
PANDN __m64 _mm_andnot_si64(__m64 m1, __m64 m2)
PAUSE void _mm_pause(void)
PAVGB __m128i _mm_avg_epu8(__m128i a, __m128i b)
PAVGB __m64 _mm_avg_pu8(__m64 a, __m64 b)
PAVGW __m128i _mm_avg_epu16(__m128i a, __m128i b)
PAVGW __m64 _mm_avg_pu16(__m64 a, __m64 b)
PBLENDVB __m128i _mm_blendv_epi (__m128i v1, __m128i v2, __m128i mask)
PBLENDW __m128i _mm_blend_epi16(__m128i v1, __m128i v2, const int mask)
PCLMULQDQ __m128i _mm_clmulepi64_si128 (__m128i, __m128i, const int)
PCMPEQB __m128i _mm_cmpeq_epi8(__m128i m1, __m128i m2)
PCMPEQB __m64 _mm_cmpeq_pi8(__m64 m1, __m64 m2)
PCMPEQQ __m128i _mm_cmpeq_epi64(__m128i a, __m128i b)
PCMPEQW __m128i _mm_cmpeq_epi16 (__m128i m1, __m128i m2)
PCMPEQW __m64 _mm_cmpeq_pi16 (__m64 m1, __m64 m2)
PCMPEQD __m128i _mm_cmpeq_epi32(__m128i m1, __m128i m2)
PCMPEQD __m64 _mm_cmpeq_pi32(__m64 m1, __m64 m2)
PCMPESTRI int _mm_cmpestri (__m128i a, int la, __m128i b, int lb, const int mode)
int _mm_cmpestra (__m128i a, int la, __m128i b, int lb, const int mode)
int _mm_cmpestrc (__m128i a, int la, __m128i b, int lb, const int mode)
int _mm_cmpestro (__m128i a, int la, __m128i b, int lb, const int mode)
int _mm_cmpestrs (__m128i a, int la, __m128i b, int lb, const int mode)
int _mm_cmpestrz (__m128i a, int la, __m128i b, int lb, const int mode)
PCMPESTRM __m128i _mm_cmpestrm (__m128i a, int la, __m128i b, int lb, const int mode)
int _mm_cmpestra (__m128i a, int la, __m128i b, int lb, const int mode)
int _mm_cmpestrc (__m128i a, int la, __m128i b, int lb, const int mode)
int _mm_cmpestro (__m128i a, int la, __m128i b, int lb, const int mode)
int _mm_cmpestrs (__m128i a, int la, __m128i b, int lb, const int mode)
int _mm_cmpestrz (__m128i a, int la, __m128i b, int lb, const int mode)
PCMPGTB __m128i _mm_cmpgt_epi8 (__m128i m1, __m128i m2)
PCMPGTB __m64 _mm_cmpgt_pi8 (__m64 m1, __m64 m2)
PCMPGTW __m128i _mm_cmpgt_epi16(__m128i m1, __m128i m2)
PCMPGTW __m64 _mm_cmpgt_pi16 (__m64 m1, __m64 m2)
PCMPGTD __m128i _mm_cmpgt_epi32(__m128i m1, __m128i m2)
PCMPGTD __m64 _mm_cmpgt_pi32(__m64 m1, __m64 m2)
PCMPISTRI __m128i _mm_cmpestrm (__m128i a, int la, __m128i b, int lb, const int mode)
int _mm_cmpestra (__m128i a, int la, __m128i b, int lb, const int mode)
Ta b le C -1 . S im p le I n t rin sics (C o n t d .)
Mn e m o n ic I n t rin sic
Vol. 2C C-9
INTELC/C++COMPILER INTRINSICS AND FUNCTIONAL EQUIVALENTS
int _mm_cmpestrc (__m128i a, int la, __m128i b, int lb, const int mode)
int _mm_cmpestro (__m128i a, int la, __m128i b, int lb, const int mode)
int _mm_cmpestrs (__m128i a, int la, __m128i b, int lb, const int mode)
int _mm_cmpistrz (__m128i a, __m128i b, const int mode)
PCMPISTRM __m128i _mm_cmpistrm(__m128i a, __m128i b, const int mode)
int _mm_cmpistra (__m128i a, __m128i b, const int mode)
int _mm_cmpistrc (__m128i a, __m128i b, const int mode)
int _mm_cmpistro (__m128i a, __m128i b, const int mode)
int _mm_cmpistrs (__m128i a, __m128i b, const int mode)
int _mm_cmpistrz (__m128i a, __m128i b, const int mode)
PCMPGTQ __m128i _mm_cmpgt_epi64(__m128i a, __m128i b)
PEXTRB int _mm_extract_epi8 (__m128i src, const int ndx)
PEXTRD int _mm_extract_epi32 (__m128i src, const int ndx)
PEXTRQ __int64 _mm_extract_epi64 (__m128i src, const int ndx)
PEXTRW int _mm_extract_epi16(__m128i a, int n)
PEXTRW int _mm_extract_pi16(__m64 a, int n)
int _mm_extract_epi16 (__m128i src, int ndx)
PHADDD __m64 _mm_hadd_pi32 (__m64 a, __m64 b)
__m128i _mm_hadd_epi32 (__m128i a, __m128i b)
PHADDSW __m64 _mm_hadds_pi16 (__m64 a, __m64 b)
__m128i _mm_hadds_epi16 (__m128i a, __m128i b)
PHADDW __m64 _mm_hadd_pi16 (__m64 a, __m64 b)
__m128i _mm_hadd_epi16 (__m128i a, __m128i b)
PHMINPOSUW __m128i _mm_minpos_epu16( __m128i packed_words)
PHSUBD __m64 _mm_hsub_pi32 (__m64 a, __m64 b)
__m128i _mm_hsub_epi32 (__m128i a, __m128i b)
PHSUBSW __m64 _mm_hsubs_pi16 (__m64 a, __m64 b)
__m128i _mm_hsubs_epi16 (__m128i a, __m128i b)
PHSUBW __m64 _mm_hsub_pi16 (__m64 a, __m64 b)
__m128i _mm_hsub_epi16 (__m128i a, __m128i b)
PINSRB __m128i _mm_insert_epi8(__m128i s1, int s2, const int ndx)
PINSRD __m128i _mm_insert_epi32(__m128i s2, int s, const int ndx)
PINSRQ __m128i _mm_insert_epi64(__m128i s2, __int64 s, const int ndx)
PINSRW __m128i _mm_insert_epi16(__m128i a, int d, int n)
PINSRW __m64 _mm_insert_pi16(__m64 a, int d, int n)
PMADDUBSW __m64 _mm_maddubs_pi16 (__m64 a, __m64 b)
__m128i _mm_maddubs_epi16 (__m128i a, __m128i b)
PMADDWD __m128i _mm_madd_epi16(__m128i m1 __m128i m2)
PMADDWD __m64 _mm_madd_pi16(__m64 m1, __m64 m2)
PMAXSB __m128i _mm_max_epi8( __m128i a, __m128i b)
PMAXSD __m128i _mm_max_epi32( __m128i a, __m128i b)
Ta b le C -1 . S im p le I n t rin sics (C o n t d .)
Mn e m o n ic I n t rin sic
C-10 Vol. 2C
INTELC/C++COMPILER INTRINSICS AND FUNCTIONAL EQUIVALENTS
PMAXSW __m128i _mm_max_epi16(__m128i a, __m128i b)
PMAXSW __m64 _mm_max_pi16(__m64 a, __m64 b)
PMAXUB __m128i _mm_max_epu8(__m128i a, __m128i b)
PMAXUB __m64 _mm_max_pu8(__m64 a, __m64 b)
PMAXUD __m128i _mm_max_epu32( __m128i a, __m128i b)
PMAXUW __m128i _mm_max_epu16( __m128i a, __m128i b)
PMINSB _m128i _mm_min_epi8( __m128i a, __m128i b)
PMINSD __m128i _mm_min_epi32( __m128i a, __m128i b)
PMINSW __m128i _mm_min_epi16(__m128i a, __m128i b)
PMINSW __m64 _mm_min_pi16(__m64 a, __m64 b)
PMINUB __m128i _mm_min_epu8(__m128i a, __m128i b)
PMINUB __m64 _mm_min_pu8(__m64 a, __m64 b)
PMINUD __m128i _mm_min_epu32 ( __m128i a, __m128i b)
PMINUW __m128i _mm_min_epu16 ( __m128i a, __m128i b)
PMOVMSKB int _mm_movemask_epi8(__m128i a)
PMOVMSKB int _mm_movemask_pi8(__m64 a)
PMOVSXBW __m128i _mm_ cvtepi8_epi16( __m128i a)
PMOVSXBD __m128i _mm_ cvtepi8_epi32( __m128i a)
PMOVSXBQ __m128i _mm_ cvtepi8_epi64( __m128i a)
PMOVSXWD __m128i _mm_ cvtepi16_epi32( __m128i a)
PMOVSXWQ __m128i _mm_ cvtepi16_epi64( __m128i a)
PMOVSXDQ __m128i _mm_ cvtepi32_epi64( __m128i a)
PMOVZXBW __m128i _mm_ cvtepu8_epi16( __m128i a)
PMOVZXBD __m128i _mm_ cvtepu8_epi32( __m128i a)
PMOVZXBQ __m128i _mm_ cvtepu8_epi64( __m128i a)
PMOVZXWD __m128i _mm_ cvtepu16_epi32( __m128i a)
PMOVZXWQ __m128i _mm_ cvtepu16_epi64( __m128i a)
PMOVZXDQ __m128i _mm_ cvtepu32_epi64( __m128i a)
PMULDQ __m128i _mm_mul_epi32( __m128i a, __m128i b)
PMULHRSW __m64 _mm_mulhrs_pi16 (__m64 a, __m64 b)
__m128i _mm_mulhrs_epi16 (__m128i a, __m128i b)
PMULHUW __m128i _mm_mulhi_epu16(__m128i a, __m128i b)
PMULHUW __m64 _mm_mulhi_pu16(__m64 a, __m64 b)
PMULHW __m128i _mm_mulhi_epi16(__m128i m1, __m128i m2)
PMULHW __m64 _mm_mulhi_pi16(__m64 m1, __m64 m2)
PMULLUD __m128i _mm_mullo_epi32(__m128i a, __m128i b)
PMULLW __m128i _mm_mullo_epi16(__m128i m1, __m128i m2)
PMULLW __m64 _mm_mullo_pi16(__m64 m1, __m64 m2)
PMULUDQ __m64 _mm_mul_su32(__m64 m1, __m64 m2)
__m128i _mm_mul_epu32(__m128i m1, __m128i m2)
Ta b le C -1 . S im p le I n t rin sics (C o n t d .)
Mn e m o n ic I n t rin sic
Vol. 2C C-11
INTELC/C++COMPILER INTRINSICS AND FUNCTIONAL EQUIVALENTS
POPCNT int _mm_popcnt_u32(unsigned int a)
int64_t _mm_popcnt_u64(unsigned __int64 a)
POR __m64 _mm_or_si64(__m64 m1, __m64 m2)
POR __m128i _mm_or_si128(__m128i m1, __m128i m2)
PREFETCHh void _mm_prefetch(char *a, int sel)
PSADBW __m128i _mm_sad_epu8(__m128i a, __m128i b)
PSADBW __m64 _mm_sad_pu8(__m64 a, __m64 b)
PSHUFB __m64 _mm_shuffle_pi8 (__m64 a, __m64 b)
__m128i _mm_shuffle_epi8 (__m128i a, __m128i b)
PSHUFD __m128i _mm_shuffle_epi32(__m128i a, int n)
PSHUFHW __m128i _mm_shufflehi_epi16(__m128i a, int n)
PSHUFLW __m128i _mm_shufflelo_epi16(__m128i a, int n)
PSHUFW __m64 _mm_shuffle_pi16(__m64 a, int n)
PSIGNB __m64 _mm_sign_pi8 (__m64 a, __m64 b)
__m128i _mm_sign_epi8 (__m128i a, __m128i b)
PSIGND __m64 _mm_sign_pi32 (__m64 a, __m64 b)
__m128i _mm_sign_epi32 (__m128i a, __m128i b)
PSIGNW __m64 _mm_sign_pi16 (__m64 a, __m64 b)
__m128i _mm_sign_epi16 (__m128i a, __m128i b)
PSLLW __m128i _mm_sll_epi16(__m128i m, __m128i count)
PSLLW __m128i _mm_slli_epi16(__m128i m, int count)
PSLLW __m64 _mm_sll_pi16(__m64 m, __m64 count)
__m64 _mm_slli_pi16(__m64 m, int count)
PSLLD __m128i _mm_slli_epi32(__m128i m, int count)
__m128i _mm_sll_epi32(__m128i m, __m128i count)
PSLLD __m64 _mm_slli_pi32(__m64 m, int count)
__m64 _mm_sll_pi32(__m64 m, __m64 count)
PSLLQ __m64 _mm_sll_si64(__m64 m, __m64 count)
__m64 _mm_slli_si64(__m64 m, int count)
PSLLQ __m128i _mm_sll_epi64(__m128i m, __m128i count)
__m128i _mm_slli_epi64(__m128i m, int count)
PSLLDQ __m128i _mm_slli_si128(__m128i m, int imm)
PSRAW __m128i _mm_sra_epi16(__m128i m, __m128i count)
__m128i _mm_srai_epi16(__m128i m, int count)
PSRAW __m64 _mm_sra_pi16(__m64 m, __m64 count)
__m64 _mm_srai_pi16(__m64 m, int count)
PSRAD __m128i _mm_sra_epi32 (__m128i m, __m128i count)
__m128i _mm_srai_epi32 (__m128i m, int count)
PSRAD __m64 _mm_sra_pi32 (__m64 m, __m64 count)
__m64 _mm_srai_pi32 (__m64 m, int count)
PSRLW _m128i _mm_srl_epi16 (__m128i m, __m128i count)
Ta b le C -1 . S im p le I n t rin sics (C o n t d .)
Mn e m o n ic I n t rin sic
C-12 Vol. 2C
INTELC/C++COMPILER INTRINSICS AND FUNCTIONAL EQUIVALENTS
__m128i _mm_srli_epi16 (__m128i m, int count)
__m64 _mm_srl_pi16 (__m64 m, __m64 count)
__m64 _mm_srli_pi16(__m64 m, int count)
PSRLD __m128i _mm_srl_epi32 (__m128i m, __m128i count)
__m128i _mm_srli_epi32 (__m128i m, int count)
PSRLD __m64 _mm_srl_pi32 (__m64 m, __m64 count)
__m64 _mm_srli_pi32 (__m64 m, int count)
PSRLQ __m128i _mm_srl_epi64 (__m128i m, __m128i count)
__m128i _mm_srli_epi64 (__m128i m, int count)
PSRLQ __m64 _mm_srl_si64 (__m64 m, __m64 count)
__m64 _mm_srli_si64 (__m64 m, int count)
PSRLDQ __m128i _mm_srli_si128(__m128i m, int imm)
PSUBB __m128i _mm_sub_epi8(__m128i m1, __m128i m2)
PSUBB __m64 _mm_sub_pi8(__m64 m1, __m64 m2)
PSUBW __m128i _mm_sub_epi16(__m128i m1, __m128i m2)
PSUBW __m64 _mm_sub_pi16(__m64 m1, __m64 m2)
PSUBD __m128i _mm_sub_epi32(__m128i m1, __m128i m2)
PSUBD __m64 _mm_sub_pi32(__m64 m1, __m64 m2)
PSUBQ __m128i _mm_sub_epi64(__m128i m1, __m128i m2)
PSUBQ __m64 _mm_sub_si64(__m64 m1, __m64 m2)
PSUBSB __m128i _mm_subs_epi8(__m128i m1, __m128i m2)
PSUBSB __m64 _mm_subs_pi8(__m64 m1, __m64 m2)
PSUBSW __m128i _mm_subs_epi16(__m128i m1, __m128i m2)
PSUBSW __m64 _mm_subs_pi16(__m64 m1, __m64 m2)
PSUBUSB __m128i _mm_subs_epu8(__m128i m1, __m128i m2)
PSUBUSB __m64 _mm_subs_pu8(__m64 m1, __m64 m2)
PSUBUSW __m128i _mm_subs_epu16(__m128i m1, __m128i m2)
PSUBUSW __m64 _mm_subs_pu16(__m64 m1, __m64 m2)
PTEST int _mm_testz_si128(__m128i s1, __m128i s2)
int _mm_testc_si128(__m128i s1, __m128i s2)
int _mm_testnzc_si128(__m128i s1, __m128i s2)
PUNPCKHBW __m64 _mm_unpackhi_pi8(__m64 m1, __m64 m2)
PUNPCKHBW __m128i _mm_unpackhi_epi8(__m128i m1, __m128i m2)
PUNPCKHWD __m64 _mm_unpackhi_pi16(__m64 m1,__m64 m2)
PUNPCKHWD __m128i _mm_unpackhi_epi16(__m128i m1, __m128i m2)
PUNPCKHDQ ___m64 _mm_unpackhi_pi32(__m64 m1, __m64 m2)
PUNPCKHDQ __m128i _mm_unpackhi_epi32(__m128i m1, __m128i m2)
PUNPCKHQDQ __m128i _mm_unpackhi_epi64(__m128i m1, __m128i m2)
PUNPCKLBW __m64 _mm_unpacklo_pi8 (__m64 m1, __m64 m2)
PUNPCKLBW __m128i _mm_unpacklo_epi8 (__m128i m1, __m128i m2)
PUNPCKLWD __m64 _mm_unpacklo_pi16(__m64 m1, __m64 m2)
Ta b le C -1 . S im p le I n t rin sics (C o n t d .)
Mn e m o n ic I n t rin sic
Vol. 2C C-13
INTELC/C++COMPILER INTRINSICS AND FUNCTIONAL EQUIVALENTS
PUNPCKLWD __m128i _mm_unpacklo_epi16(__m128i m1, __m128i m2)
PUNPCKLDQ __m64 _mm_unpacklo_pi32(__m64 m1, __m64 m2)
PUNPCKLDQ __m128i _mm_unpacklo_epi32(__m128i m1, __m128i m2)
PUNPCKLQDQ __m128i _mm_unpacklo_epi64(__m128i m1, __m128i m2)
PXOR __m64 _mm_xor_si64(__m64 m1, __m64 m2)
PXOR __m128i _mm_xor_si128(__m128i m1, __m128i m2)
RCPPS __m128 _mm_rcp_ps(__m128 a)
RCPSS __m128 _mm_rcp_ss(__m128 a)
ROUNDPD __m128 mm_round_pd(__m128d s1, int iRoundMode)
__m128 mm_floor_pd(__m128d s1)
__m128 mm_ceil_pd(__m128d s1)
ROUNDPS __m128 mm_round_ps(__m128 s1, int iRoundMode)
__m128 mm_floor_ps(__m128 s1)
__m128 mm_ceil_ps(__m128 s1)
ROUNDSD __m128d mm_round_sd(__m128d dst, __m128d s1, int iRoundMode)
__m128d mm_floor_sd(__m128d dst, __m128d s1)
__m128d mm_ceil_sd(__m128d dst, __m128d s1)
ROUNDSS __m128 mm_round_ss(__m128 dst, __m128 s1, int iRoundMode)
__m128 mm_floor_ss(__m128 dst, __m128 s1)
__m128 mm_ceil_ss(__m128 dst, __m128 s1)
RSQRTPS __m128 _mm_rsqrt_ps(__m128 a)
RSQRTSS __m128 _mm_rsqrt_ss(__m128 a)
SFENCE void_mm_sfence(void)
SHUFPD __m128d _mm_shuffle_pd(__m128d a, __m128d b, unsigned int imm8)
SHUFPS __m128 _mm_shuffle_ps(__m128 a, __m128 b, unsigned int imm8)
SQRTPD __m128d _mm_sqrt_pd(__m128d a)
SQRTPS __m128 _mm_sqrt_ps(__m128 a)
SQRTSD __m128d _mm_sqrt_sd(__m128d a)
SQRTSS __m128 _mm_sqrt_ss(__m128 a)
STMXCSR _mm_getcsr(void)
SUBPD __m128d _mm_sub_pd(__m128d a, __m128d b)
SUBPS __m128 _mm_sub_ps(__m128 a, __m128 b)
SUBSD __m128d _mm_sub_sd(__m128d a, __m128d b)
SUBSS __m128 _mm_sub_ss(__m128 a, __m128 b)
UCOMISD int _mm_ucomieq_sd(__m128d a, __m128d b)
int _mm_ucomilt_sd(__m128d a, __m128d b)
int _mm_ucomile_sd(__m128d a, __m128d b)
int _mm_ucomigt_sd(__m128d a, __m128d b)
int _mm_ucomige_sd(__m128d a, __m128d b)
int _mm_ucomineq_sd(__m128d a, __m128d b)
UCOMISS int _mm_ucomieq_ss(__m128 a, __m128 b)
Ta b le C -1 . S im p le I n t rin sics (C o n t d .)
Mn e m o n ic I n t rin sic
C-14 Vol. 2C
INTELC/C++COMPILER INTRINSICS AND FUNCTIONAL EQUIVALENTS
C .2 C O MP O S I T E I N T R I N S I C S
int _mm_ucomilt_ss(__m128 a, __m128 b)
int _mm_ucomile_ss(__m128 a, __m128 b)
int _mm_ucomigt_ss(__m128 a, __m128 b)
int _mm_ucomige_ss(__m128 a, __m128 b)
int _mm_ucomineq_ss(__m128 a, __m128 b)
UNPCKHPD __m128d _mm_unpackhi_pd(__m128d a, __m128d b)
UNPCKHPS __m128 _mm_unpackhi_ps(__m128 a, __m128 b)
UNPCKLPD __m128d _mm_unpacklo_pd(__m128d a, __m128d b)
UNPCKLPS __m128 _mm_unpacklo_ps(__m128 a, __m128 b)
XORPD __m128d _mm_xor_pd(__m128d a, __m128d b)
XORPS __m128 _mm_xor_ps(__m128 a, __m128 b)
Ta b le C -2 . C o m p o sit e I n t rin sics
Mn e m o n ic I n t rin sic
(composite) __m128i _mm_set_epi64(__m64 q1, __m64 q0)
(composite) __m128i _mm_set_epi32(int i3, int i2, int i1, int i0)
(composite) __m128i _mm_set_epi16(short w7,short w6, short w5, short w4, short w3, short w2,
short w1,short w0)
(composite) __m128i _mm_set_epi8(char w15,char w14, char w13, char w12, char w11, char w10,
char w9, char w8, char w7,char w6, char w5, char w4, char w3, char w2,char w1, char w0)
(composite) __m128i _mm_set1_epi64(__m64 q)
(composite) __m128i _mm_set1_epi32(int a)
(composite) __m128i _mm_set1_epi16(short a)
(composite) __m128i _mm_set1_epi8(char a)
(composite) __m128i _mm_setr_epi64(__m64 q1, __m64 q0)
(composite) __m128i _mm_setr_epi32(int i3, int i2, int i1, int i0)
(composite) __m128i _mm_setr_epi16(short w7,short w6, short w5, short w4, short w3, short w2, short w,
short w0)
(composite) __m128i _mm_setr_epi8(char w15,char w14, char w13, char w12, char w11, char w10,
char w9, char w8,char w7, char w6,char w5, char w4, char w3, char w2,char w1,char w0)
(composite) __m128i _mm_setzero_si128()
(composite) __m128 _mm_set_ps1(float w)
__m128 _mm_set1_ps(float w)
(composite) __m128cmm_set1_pd(double w)
(composite) __m128d _mm_set_sd(double w)
(composite) __m128d _mm_set_pd(double z, double y)
(composite) __m128 _mm_set_ps(float z, float y, float x, float w)
(composite) __m128d _mm_setr_pd(double z, double y)
(composite) __m128 _mm_setr_ps(float z, float y, float x, float w)
(composite) __m128d _mm_setzero_pd(void)
(composite) __m128 _mm_setzero_ps(void)
Ta b le C -1 . S im p le I n t rin sics (C o n t d .)
Mn e m o n ic I n t rin sic
Vol. 2C C-15
INTELC/C++COMPILER INTRINSICS AND FUNCTIONAL EQUIVALENTS
MOVSD + shuffle __m128d _mm_load_pd(double * p)
__m128d _mm_load1_pd(double *p)
MOVSS + shuffle __m128 _mm_load_ps1(float * p)
__m128 _mm_load1_ps(float *p)
MOVAPD + shuffle __m128d _mm_loadr_pd(double * p)
MOVAPS + shuffle __m128 _mm_loadr_ps(float * p)
MOVSD + shuffle void _mm_store1_pd(double *p, __m128d a)
MOVSS + shuffle void _mm_store_ps1(float * p, __m128 a)
void _mm_store1_ps(float *p, __m128 a)
MOVAPD + shuffle _mm_storer_pd(double * p, __m128d a)
MOVAPS + shuffle _mm_storer_ps(float * p, __m128 a)
Ta b le C -2 . C o m p o sit e I n t rin sics (C o n t d .)
Mn e m o n ic I n t rin sic
C-16 Vol. 2C
INTELC/C++COMPILER INTRINSICS AND FUNCTIONAL EQUIVALENTS
I n t e l 6 4 a n d I A-3 2 Arch it e ct u re s
S o f t w a re D e v e lo p e rs Ma n u a l
Volume 3 (3A, 3B & 3C):
System Programming Guide
NOTE: The Intel 64 and IA-32 Architectures Software Developer's Manual consists of three volumes:
Basic Architecture, Order Number 253665; Instruction Set Reference A-Z, Order Number 325383;
System Programming Guide, Order Number 325384. Refer to all three volumes when evaluating your
design needs.
Order Number: 325384-047US
June 2013
INFORMATION IN THIS DOCUMENT IS PROVIDED IN CONNECTION WITH INTEL PRODUCTS. NO LICENSE, EXPRESS OR IMPLIED, BY ESTOPPEL
OR OTHERWISE, TO ANY INTELLECTUAL PROPERTY RIGHTS IS GRANTED BY THIS DOCUMENT. EXCEPT AS PROVIDED IN INTEL'S TERMS AND
CONDITIONS OF SALE FOR SUCH PRODUCTS, INTEL ASSUMES NO LIABILITY WHATSOEVER AND INTEL DISCLAIMS ANY EXPRESS OR IMPLIED
WARRANTY, RELATING TO SALE AND/OR USE OF INTEL PRODUCTS INCLUDING LIABILITY OR WARRANTIES RELATING TO FITNESS FOR A PARTIC-
ULAR PURPOSE, MERCHANTABILITY, OR INFRINGEMENT OF ANY PATENT, COPYRIGHT OR OTHER INTELLECTUAL PROPERTY RIGHT.
A "Mission Critical Application" is any application in which failure of the Intel Product could result, directly or indirectly, in personal injury or death.
SHOULD YOU PURCHASE OR USE INTEL'S PRODUCTS FOR ANY SUCH MISSION CRITICAL APPLICATION, YOU SHALL INDEMNIFY AND HOLD INTEL
AND ITS SUBSIDIARIES, SUBCONTRACTORS AND AFFILIATES, AND THE DIRECTORS, OFFICERS, AND EMPLOYEES OF EACH, HARMLESS AGAINST
ALL CLAIMS COSTS, DAMAGES, AND EXPENSES AND REASONABLE ATTORNEYS' FEES ARISING OUT OF, DIRECTLY OR INDIRECTLY, ANY CLAIM OF
PRODUCT LIABILITY, PERSONAL INJURY, OR DEATH ARISING IN ANY WAY OUT OF SUCH MISSION CRITICAL APPLICATION, WHETHER OR NOT
INTEL OR ITS SUBCONTRACTOR WAS NEGLIGENT IN THE DESIGN, MANUFACTURE, OR WARNING OF THE INTEL PRODUCT OR ANY OF ITS PARTS.
Intel may make changes to specifications and product descriptions at any time, without notice. Designers must not rely on the absence or char-
acteristics of any features or instructions marked "reserved" or "undefined". Intel reserves these for future definition and shall have no responsi-
bility whatsoever for conflicts or incompatibilities arising from future changes to them. The information here is subject to change without notice.
Do not finalize a design with this information.
The products described in this document may contain design defects or errors known as errata which may cause the product to deviate from
published specifications. Current characterized errata are available on request.
Intel

AES-NI requires a computer system with an AES-NI enabled processor, as well as non-Intel software to execute the instructions in the
correct sequence. AES-NI is available on select Intel

processors. For availability, consult your reseller or system manufacturer. For more in-
formation, see http://software.intel.com/en-us/articles/intel-advanced-encryption-standard-instructions-aes-ni/.
Intel

Hyper-Threading Technology (Intel

HT Technology) is available on select Intel

Core processors. Requires an Intel

HT Technology-
enabled system. Consult your PC manufacturer. Performance will vary depending on the specific hardware and software used. For more infor-
mation including details on which processors support HT Technology, visit http://www.intel.com/info/hyperthreading.
Intel

Virtualization Technology requires a computer system with an enabled Intel

processor, BIOS, and virtual machine monitor (VMM). Func-


tionality, performance or other benefits will vary depending on hardware and software configurations. Software applications may not be com-
patible with all operating systems. Consult your PC manufacturer. For more information, visit http://www.intel.com/go/virtualization.
Intel

64 architecture Requires a system with a 64-bit enabled processor, chipset, BIOS and software. Performance will vary depending on the
specific hardware and software you use. Consult your PC manufacturer for more information. For more information, visit http://www.in-
tel.com/info/em64t.
Enabling Execute Disable Bit functionality requires a PC with a processor with Execute Disable Bit capability and a supporting operating system.
Check with your PC manufacturer on whether your system delivers Execute Disable Bit functionality.
Intel, the Intel logo, Pentium, Xeon, Intel NetBurst, Intel Core, Intel Core Solo, Intel Core Duo, Intel Core 2 Duo, Intel Core 2 Extreme, Intel
Pentium D, Itanium, Intel SpeedStep, MMX, Intel Atom, and VTune are trademarks of Intel Corporation in the U.S. and/or other countries.
*Other names and brands may be claimed as the property of others.
Contact your local Intel sales office or your distributor to obtain the latest specifications and before placing your product order.
Copies of documents which have an order number and are referenced in this document, or other Intel literature, may be obtained by calling 1-
800-548-4725, or go to: http://www.intel.com/design/literature.htm
Copyright 1997-2013 Intel Corporation. All rights reserved.
Vol. 3A iii
CONTENTS
PAGE
C H AP T E R 1
AB O U T T H I S MAN U AL
1.1 INTEL

64 AND IA-32 PROCESSORS COVERED IN THIS MANUAL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-1


1.2 OVERVIEW OF THE SYSTEM PROGRAMMING GUIDE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-3
1.3 NOTATIONAL CONVENTIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-5
1.3.1 Bit and Byte Order. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-5
1.3.2 Reserved Bits and Software Compatibility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-5
1.3.3 Instruction Operands. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-6
1.3.4 Hexadecimal and Binary Numbers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-6
1.3.5 Segmented Addressing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-6
1.3.6 Syntax for CPUID, CR, and MSR Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-7
1.3.7 Exceptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-8
1.4 RELATED LITERATURE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-8
C H AP T E R 2
S YS T E M AR C H I T E C T U R E O VE R VI E W
2.1 OVERVIEW OF THE SYSTEM-LEVEL ARCHITECTURE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-1
2.1.1 Global and Local Descriptor Tables. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-3
2.1.1.1 Global and Local Descriptor Tables in IA-32e Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-4
2.1.2 System Segments, Segment Descriptors, and Gates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-4
2.1.2.1 Gates in IA-32e Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-4
2.1.3 Task-State Segments and Task Gates. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-5
2.1.3.1 Task-State Segments in IA-32e Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-5
2.1.4 Interrupt and Exception Handling. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-5
2.1.4.1 Interrupt and Exception Handling IA-32e Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-5
2.1.5 Memory Management. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-6
2.1.5.1 Memory Management in IA-32e Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-6
2.1.6 System Registers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-6
2.1.6.1 System Registers in IA-32e Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-7
2.1.7 Other SystemResources. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-7
2.2 MODES OF OPERATION. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-7
2.2.1 Extended Feature Enable Register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-9
2.3 SYSTEM FLAGS AND FIELDS IN THE EFLAGS REGISTER. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-9
2.3.1 System Flags and Fields in IA-32e Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-11
2.4 MEMORY-MANAGEMENT REGISTERS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-11
2.4.1 Global Descriptor Table Register (GDTR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-12
2.4.2 Local Descriptor Table Register (LDTR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-12
2.4.3 IDTR Interrupt Descriptor Table Register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-12
2.4.4 Task Register (TR). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-13
2.5 CONTROL REGISTERS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-13
2.5.1 CPUID Qualification of Control Register Flags. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-18
2.6 EXTENDED CONTROL REGISTERS (INCLUDING XCR0) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-19
2.7 SYSTEM INSTRUCTION SUMMARY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-19
2.7.1 Loading and Storing System Registers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-21
2.7.2 Verifying of Access Privileges . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-21
2.7.3 Loading and Storing Debug Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-22
2.7.4 Invalidating Caches and TLBs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-22
2.7.5 Controlling the Processor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-23
2.7.6 Reading Performance-Monitoring and Time-Stamp Counters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-23
2.7.6.1 Reading Counters in 64-Bit Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-24
2.7.7 Reading and Writing Model-Specific Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-24
2.7.7.1 Reading and Writing Model-Specific Registers in 64-Bit Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-24
2.7.8 Enabling Processor Extended States. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-24
CONTENTS
iv Vol. 3A
PAGE
C H AP T E R 3
P R O T E C T E D -MO D E ME MO R Y MAN AG E ME N T
3.1 MEMORY MANAGEMENT OVERVIEW . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-1
3.2 USING SEGMENTS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-2
3.2.1 Basic Flat Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-3
3.2.2 Protected Flat Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-3
3.2.3 Multi-Segment Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-4
3.2.4 Segmentation in IA-32e Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-5
3.2.5 Paging and Segmentation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-5
3.3 PHYSICAL ADDRESS SPACE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-6
3.3.1 Intel64 Processors and Physical Address Space. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-6
3.4 LOGICAL AND LINEAR ADDRESSES. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-6
3.4.1 Logical Address Translation in IA-32e Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-7
3.4.2 Segment Selectors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-7
3.4.3 Segment Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-8
3.4.4 Segment Loading Instructions in IA-32e Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-9
3.4.5 Segment Descriptors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-9
3.4.5.1 Code- and Data-Segment Descriptor Types. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-12
3.5 SYSTEM DESCRIPTOR TYPES. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-13
3.5.1 Segment Descriptor Tables. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-14
3.5.2 Segment Descriptor Tables in IA-32e Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-16
C H AP T E R 4
P AG I N G
4.1 PAGING MODES AND CONTROL BITS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-1
4.1.1 Three Paging Modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-1
4.1.2 Paging-Mode Enabling. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-3
4.1.3 Paging-Mode Modifiers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-4
4.1.4 Enumeration of Paging Features by CPUID . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-4
4.2 HIERARCHICAL PAGING STRUCTURES: AN OVERVIEW. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-5
4.3 32-BIT PAGING . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-6
4.4 PAE PAGING. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-13
4.4.1 PDPTE Registers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-13
4.4.2 Linear-Address Translation with PAE Paging . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-14
4.5 IA-32E PAGING . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-19
4.6 ACCESS RIGHTS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-28
4.7 PAGE-FAULT EXCEPTIONS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-30
4.8 ACCESSED AND DIRTY FLAGS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-31
4.9 PAGING AND MEMORY TYPING . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-31
4.9.1 Paging and Memory Typing When the PAT is Not Supported (Pentium Pro and Pentium II Processors). . . . . . . . . . . . . .4-31
4.9.2 Paging and Memory Typing When the PAT is Supported (Pentium III and More Recent Processor Families). . . . . . . . . .4-32
4.9.3 Caching Paging-Related Information about Memory Typing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-32
4.10 CACHING TRANSLATION INFORMATION. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-33
4.10.1 Process-Context Identifiers (PCIDs). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-33
4.10.2 Translation Lookaside Buffers (TLBs) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-33
4.10.2.1 Page Numbers, Page Frames, and Page Offsets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-34
4.10.2.2 Caching Translations in TLBs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-34
4.10.2.3 Details of TLB Use. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-35
4.10.2.4 Global Pages . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-35
4.10.3 Paging-Structure Caches. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-35
4.10.3.1 Caches for Paging Structures. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-35
4.10.3.2 Using the Paging-Structure Caches to Translate Linear Addresses. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-37
4.10.3.3 Multiple Cached Entries for a Single Paging-Structure Entry. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-38
4.10.4 Invalidation of TLBs and Paging-Structure Caches . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-38
4.10.4.1 Operations that Invalidate TLBs and Paging-Structure Caches. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-38
4.10.4.2 Recommended Invalidation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-40
4.10.4.3 Optional Invalidation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-41
4.10.4.4 Delayed Invalidation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-42
4.10.5 Propagation of Paging-Structure Changes to Multiple Processors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-43
4.11 INTERACTIONS WITH VIRTUAL-MACHINE EXTENSIONS (VMX). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-44
4.11.1 VMX Transitions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-44
4.11.2 VMX Support for Address Translation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-44
4.12 USING PAGING FOR VIRTUAL MEMORY. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-44
Vol. 3A v
C O N T E N T S
PAGE
4.13 MAPPING SEGMENTS TO PAGES. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-45
C H AP T E R 5
P R O T E C T I O N
5.1 ENABLING AND DISABLING SEGMENT AND PAGE PROTECTION. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-1
5.2 FIELDS AND FLAGS USED FOR SEGMENT-LEVEL AND PAGE-LEVEL PROTECTION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-2
5.2.1 Code Segment Descriptor in 64-bit Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-3
5.3 LIMIT CHECKING . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-4
5.3.1 Limit Checking in 64-bit Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-5
5.4 TYPE CHECKING . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-5
5.4.1 Null Segment Selector Checking. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-6
5.4.1.1 NULL Segment Checking in 64-bit Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-6
5.5 PRIVILEGE LEVELS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-6
5.6 PRIVILEGE LEVEL CHECKING WHEN ACCESSING DATA SEGMENTS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-8
5.6.1 Accessing Data in Code Segments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-9
5.7 PRIVILEGE LEVEL CHECKING WHEN LOADING THE SS REGISTER. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-10
5.8 PRIVILEGE LEVEL CHECKING WHEN TRANSFERRING PROGRAM CONTROL BETWEEN CODE SEGMENTS . . . . . . . . . . . . . . . . 5-10
5.8.1 Direct Calls or Jumps to Code Segments. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-10
5.8.1.1 Accessing Nonconforming Code Segments. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-11
5.8.1.2 Accessing Conforming Code Segments. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-12
5.8.2 Gate Descriptors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-13
5.8.3 Call Gates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-13
5.8.3.1 IA-32e Mode Call Gates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-14
5.8.4 Accessing a Code Segment Through a Call Gate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-15
5.8.5 Stack Switching . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-17
5.8.5.1 Stack Switching in 64-bit Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-19
5.8.6 Returning froma Called Procedure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-20
5.8.7 Performing Fast Calls to SystemProcedures with the SYSENTER and SYSEXIT Instructions . . . . . . . . . . . . . . . . . . . . . . . 5-20
5.8.7.1 SYSENTER and SYSEXIT Instructions in IA-32e Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-21
5.8.8 Fast SystemCalls in 64-Bit Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-22
5.9 PRIVILEGED INSTRUCTIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-23
5.10 POINTER VALIDATION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-24
5.10.1 Checking Access Rights (LAR Instruction) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-24
5.10.2 Checking Read/Write Rights (VERR and VERW Instructions). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-25
5.10.3 Checking That the Pointer Offset Is Within Limits (LSL Instruction) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-25
5.10.4 Checking Caller Access Privileges (ARPL Instruction). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-26
5.10.5 Checking Alignment. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-27
5.11 PAGE-LEVEL PROTECTION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-27
5.11.1 Page-Protection Flags . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-28
5.11.2 Restricting Addressable Domain. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-28
5.11.3 Page Type . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-28
5.11.4 Combining Protection of Both Levels of Page Tables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-28
5.11.5 Overrides to Page Protection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-29
5.12 COMBINING PAGE AND SEGMENT PROTECTION. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-29
5.13 PAGE-LEVEL PROTECTION AND EXECUTE-DISABLE BIT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-30
5.13.1 Detecting and Enabling the Execute-Disable Capability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-30
5.13.2 Execute-Disable Page Protection. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-30
5.13.3 Reserved Bit Checking. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-31
5.13.4 Exception Handling. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-32
C H AP T E R 6
I N T E R R U P T AN D E XC E P T I O N H AN D LI N G
6.1 INTERRUPT AND EXCEPTION OVERVIEW. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-1
6.2 EXCEPTION AND INTERRUPT VECTORS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-1
6.3 SOURCES OF INTERRUPTS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-2
6.3.1 External Interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-2
6.3.2 Maskable Hardware Interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-3
6.3.3 Software-Generated Interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-4
6.4 SOURCES OF EXCEPTIONS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-4
6.4.1 Program-Error Exceptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-4
6.4.2 Software-Generated Exceptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-4
6.4.3 Machine-Check Exceptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-4
CONTENTS
vi Vol. 3A
PAGE
6.5 EXCEPTION CLASSIFICATIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-4
6.6 PROGRAM OR TASK RESTART. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-5
6.7 NONMASKABLE INTERRUPT (NMI) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-6
6.7.1 Handling Multiple NMIs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-6
6.8 ENABLING AND DISABLING INTERRUPTS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-6
6.8.1 Masking Maskable Hardware Interrupts. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-6
6.8.2 Masking Instruction Breakpoints. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-7
6.8.3 Masking Exceptions and Interrupts When Switching Stacks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-7
6.9 PRIORITY AMONG SIMULTANEOUS EXCEPTIONS AND INTERRUPTS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-8
6.10 INTERRUPT DESCRIPTOR TABLE (IDT) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-9
6.11 IDT DESCRIPTORS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-10
6.12 EXCEPTION AND INTERRUPT HANDLING. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-11
6.12.1 Exception- or Interrupt-Handler Procedures. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6-11
6.12.1.1 Protection of Exception- and Interrupt-Handler Procedures. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6-13
6.12.1.2 Flag Usage By Exception- or Interrupt-Handler Procedure. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6-14
6.12.2 Interrupt Tasks. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6-14
6.13 ERROR CODE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-15
6.14 EXCEPTION AND INTERRUPT HANDLING IN 64-BIT MODE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-16
6.14.1 64-Bit Mode IDT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6-16
6.14.2 64-Bit Mode Stack Frame . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6-17
6.14.3 IRET in IA-32e Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6-18
6.14.4 Stack Switching in IA-32e Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6-18
6.14.5 Interrupt Stack Table . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6-19
6.15 EXCEPTION AND INTERRUPT REFERENCE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-19
Interrupt 0Divide Error Exception (#DE). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-20
Interrupt 1Debug Exception (#DB). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-21
Interrupt 2NMI Interrupt . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-22
Interrupt 3Breakpoint Exception (#BP). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-23
Interrupt 4Overflow Exception (#OF) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-24
Interrupt 5BOUND Range Exceeded Exception (#BR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-25
Interrupt 6Invalid Opcode Exception (#UD). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-26
Interrupt 7Device Not Available Exception (#NM). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-27
Interrupt 8Double Fault Exception (#DF) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-28
Interrupt 9Coprocessor Segment Overrun. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-30
Interrupt 10Invalid TSS Exception (#TS) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-31
Interrupt 11Segment Not Present (#NP). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-34
Interrupt 12Stack Fault Exception (#SS) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-36
Interrupt 13General Protection Exception (#GP). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-37
Interrupt 14Page-Fault Exception (#PF). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-40
Interrupt 16x87 FPU Floating-Point Error (#MF). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-43
Interrupt 17Alignment Check Exception (#AC). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-45
Interrupt 18Machine-Check Exception (#MC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-47
Interrupt 19SIMD Floating-Point Exception (#XM) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-48
Interrupt 20Virtualization Exception (#VE). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-50
Interrupts 32 to 255User Defined Interrupts. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-51
C H AP T E R 7
TAS K MAN AG E ME N T
7.1 TASK MANAGEMENT OVERVIEW. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-1
7.1.1 Task Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-1
7.1.2 Task State . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-2
7.1.3 Executing a Task . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-2
7.2 TASK MANAGEMENT DATA STRUCTURES. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-3
7.2.1 Task-State Segment (TSS) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-3
7.2.2 TSS Descriptor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-5
7.2.3 TSS Descriptor in 64-bit mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-6
7.2.4 Task Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-7
7.2.5 Task-Gate Descriptor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-8
7.3 TASK SWITCHING. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-9
7.4 TASK LINKING . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-12
7.4.1 Use of Busy Flag To Prevent Recursive Task Switching. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .7-13
Vol. 3A vii
C O N T E N T S
PAGE
7.4.2 Modifying Task Linkages. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-13
7.5 TASK ADDRESS SPACE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-14
7.5.1 Mapping Tasks to the Linear and Physical Address Spaces . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-14
7.5.2 Task Logical Address Space. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-15
7.6 16-BIT TASK-STATE SEGMENT (TSS) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-15
7.7 TASK MANAGEMENT IN 64-BIT MODE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-16
C H AP T E R 8
MU LT I P LE -P R O C E S S O R MAN AG E ME N T
8.1 LOCKED ATOMIC OPERATIONS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-1
8.1.1 Guaranteed Atomic Operations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-2
8.1.2 Bus Locking . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-3
8.1.2.1 Automatic Locking. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-3
8.1.2.2 Software Controlled Bus Locking. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-3
8.1.3 Handling Self- and Cross-Modifying Code. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-4
8.1.4 Effects of a LOCK Operation on Internal Processor Caches. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-5
8.2 MEMORY ORDERING . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-5
8.2.1 Memory Ordering in the Intel

Pentium

and Intel486

Processors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-6
8.2.2 Memory Ordering in P6 and More Recent Processor Families. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-6
8.2.3 Examples Illustrating the Memory-Ordering Principles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-7
8.2.3.1 Assumptions, Terminology, and Notation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-8
8.2.3.2 Neither Loads Nor Stores Are Reordered with Like Operations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-8
8.2.3.3 Stores Are Not Reordered With Earlier Loads . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-9
8.2.3.4 Loads May Be Reordered with Earlier Stores to Different Locations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-9
8.2.3.5 Intra-Processor Forwarding Is Allowed. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-10
8.2.3.6 Stores Are Transitively Visible . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-10
8.2.3.7 Stores Are Seen in a Consistent Order by Other Processors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-11
8.2.3.8 Locked Instructions Have a Total Order. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-11
8.2.3.9 Loads and Stores Are Not Reordered with Locked Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-11
8.2.4 Fast-String Operation and Out-of-Order Stores. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-12
8.2.4.1 Memory-Ordering Model for String Operations on Write-Back (WB) Memory. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-12
8.2.4.2 Examples Illustrating Memory-Ordering Principles for String Operations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-13
8.2.5 Strengthening or Weakening the Memory-Ordering Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-15
8.3 SERIALIZING INSTRUCTIONS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-16
8.4 MULTIPLE-PROCESSOR (MP) INITIALIZATION. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-18
8.4.1 BSP and AP Processors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-18
8.4.2 MP Initialization Protocol Requirements and Restrictions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-18
8.4.3 MP Initialization Protocol Algorithm for Intel XeonProcessors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-19
8.4.4 MP Initialization Example. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-20
8.4.4.1 Typical BSP Initialization Sequence. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-20
8.4.4.2 Typical AP Initialization Sequence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-22
8.4.5 Identifying Logical Processors in an MP System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-22
8.5 INTEL

HYPER-THREADING TECHNOLOGY AND INTEL

MULTI-CORE TECHNOLOGY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-24


8.6 DETECTING HARDWARE MULTI-THREADING SUPPORT AND TOPOLOGY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-24
8.6.1 Initializing Processors SupportingHyper-ThreadingTechnology. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-25
8.6.2 Initializing Multi-Core Processors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-25
8.6.3 Executing Multiple Threads on an Intel

64 or IA-32 Processor Supporting Hardware Multi-Threading . . . . . . . . . . . . 8-25


8.6.4 Handling Interrupts on an IA-32 Processor Supporting Hardware Multi-Threading. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-25
8.7 INTEL

HYPER-THREADING TECHNOLOGY ARCHITECTURE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-26


8.7.1 State of the Logical Processors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-27
8.7.2 APIC Functionality. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-28
8.7.3 Memory Type Range Registers (MTRR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-28
8.7.4 Page Attribute Table (PAT) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-28
8.7.5 Machine Check Architecture. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-28
8.7.6 Debug Registers and Extensions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-29
8.7.7 Performance Monitoring Counters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-29
8.7.8 IA32_MISC_ENABLE MSR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-29
8.7.9 Memory Ordering. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-29
8.7.10 Serializing Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-29
8.7.11 Microcode Update Resources. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-29
8.7.12 Self Modifying Code . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-30
8.7.13 Implementation-Specific Intel HT Technology Facilities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-30
8.7.13.1 Processor Caches. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-30
8.7.13.2 Processor Translation Lookaside Buffers (TLBs). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-30
CONTENTS
viii Vol. 3A
PAGE
8.7.13.3 Thermal Monitor. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-31
8.7.13.4 External Signal Compatibility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-31
8.8 MULTI-CORE ARCHITECTURE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-31
8.8.1 Logical Processor Support. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-32
8.8.2 Memory Type Range Registers (MTRR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-32
8.8.3 Performance Monitoring Counters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-32
8.8.4 IA32_MISC_ENABLE MSR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-32
8.8.5 Microcode Update Resources. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-32
8.9 PROGRAMMING CONSIDERATIONS FOR HARDWARE MULTI-THREADING CAPABLE PROCESSORS. . . . . . . . . . . . . . . . . . . . . 8-33
8.9.1 Hierarchical Mapping of Shared Resources . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-33
8.9.2 Hierarchical Mapping of CPUID Extended Topology Leaf. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-34
8.9.3 Hierarchical ID of Logical Processors in an MP System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-35
8.9.3.1 Hierarchical ID of Logical Processors with x2APIC ID. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-37
8.9.4 Algorithm for Three-Level Mappings of APIC_ID . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-37
8.9.5 Identifying Topological Relationships in a MP System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-42
8.10 MANAGEMENT OF IDLE AND BLOCKED CONDITIONS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-46
8.10.1 HLT Instruction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-46
8.10.2 PAUSE Instruction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-46
8.10.3 Detecting Support MONITOR/MWAIT Instruction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-46
8.10.4 MONITOR/MWAIT Instruction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-47
8.10.5 Monitor/Mwait Address Range Determination. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-48
8.10.6 Required Operating System Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-48
8.10.6.1 Use the PAUSE Instruction in Spin-Wait Loops. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-49
8.10.6.2 Potential Usage of MONITOR/MWAIT in C0 Idle Loops. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-49
8.10.6.3 Halt Idle Logical Processors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-50
8.10.6.4 Potential Usage of MONITOR/MWAIT in C1 Idle Loops. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-51
8.10.6.5 Guidelines for Scheduling Threads on Logical Processors Sharing Execution Resources. . . . . . . . . . . . . . . . . . . . . . . . .8-51
8.10.6.6 Eliminate Execution-Based Timing Loops. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-52
8.10.6.7 Place Locks and Semaphores in Aligned, 128-Byte Blocks of Memory. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-52
8.11 MP INITIALIZATION FOR P6 FAMILY PROCESSORS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-52
8.11.1 Overview of the MP Initialization Process For P6 Family Processors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-52
8.11.2 MP Initialization Protocol Algorithm. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-53
8.11.2.1 Error Detection and Handling During the MP Initialization Protocol. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-54
C H AP T E R 9
P R O C E S S O R MAN AG E ME N T AN D I N I T I ALI Z AT I O N
9.1 INITIALIZATION OVERVIEW. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-1
9.1.1 Processor State After Reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-2
9.1.2 Processor Built-In Self-Test (BIST). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-2
9.1.3 Model and Stepping Information. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-4
9.1.4 First Instruction Executed. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-5
9.2 X87 FPU INITIALIZATION. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-5
9.2.1 Configuring the x87 FPU Environment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-5
9.2.2 Setting the Processor for x87 FPU Software Emulation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-6
9.3 CACHE ENABLING. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-7
9.4 MODEL-SPECIFIC REGISTERS (MSRS) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-7
9.5 MEMORY TYPE RANGE REGISTERS (MTRRS). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-7
9.6 INITIALIZING SSE/SSE2/SSE3/SSSE3 EXTENSIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-8
9.7 SOFTWARE INITIALIZATION FOR REAL-ADDRESS MODE OPERATION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-8
9.7.1 Real-Address Mode IDT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-8
9.7.2 NMI Interrupt Handling. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-8
9.8 SOFTWARE INITIALIZATION FOR PROTECTED-MODE OPERATION. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-9
9.8.1 Protected-Mode System Data Structures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-9
9.8.2 Initializing Protected-Mode Exceptions and Interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .9-10
9.8.3 Initializing Paging. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .9-10
9.8.4 Initializing Multitasking. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .9-10
9.8.5 Initializing IA-32e Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .9-10
9.8.5.1 IA-32e Mode System Data Structures. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .9-11
9.8.5.2 IA-32e Mode Interrupts and Exceptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .9-11
9.8.5.3 64-bit Mode and Compatibility Mode Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .9-11
9.8.5.4 Switching Out of IA-32e Mode Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .9-12
9.9 MODE SWITCHING. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-12
9.9.1 Switching to Protected Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .9-12
9.9.2 Switching Back to Real-Address Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .9-13
Vol. 3A ix
C O N T E N T S
PAGE
9.10 INITIALIZATION AND MODE SWITCHING EXAMPLE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-14
9.10.1 Assembler Usage. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-16
9.10.2 STARTUP.ASM Listing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-16
9.10.3 MAIN.ASM Source Code . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-25
9.10.4 Supporting Files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-25
9.11 MICROCODE UPDATE FACILITIES. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-27
9.11.1 Microcode Update . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-28
9.11.2 Optional Extended Signature Table. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-31
9.11.3 Processor Identification. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-32
9.11.4 Platform Identification. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-32
9.11.5 Microcode Update Checksum. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-33
9.11.6 Microcode Update Loader . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-34
9.11.6.1 Hard Resets in Update Loading. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-35
9.11.6.2 Update in a Multiprocessor System. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-35
9.11.6.3 Update in a System Supporting Intel Hyper-Threading Technology. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-35
9.11.6.4 Update in a System Supporting Dual-Core Technology. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-35
9.11.6.5 Update Loader Enhancements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-35
9.11.7 Update Signature and Verification. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-36
9.11.7.1 Determining the Signature. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-36
9.11.7.2 Authenticating the Update. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-37
9.11.8 Pentium 4, Intel Xeon, and P6 Family Processor Microcode Update Specifications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-37
9.11.8.1 Responsibilities of the BIOS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-38
9.11.8.2 Responsibilities of the Calling Program . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-39
9.11.8.3 Microcode Update Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-42
9.11.8.4 INT 15H-based Interface. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-42
9.11.8.5 Function 00HPresence Test. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-42
9.11.8.6 Function 01HWrite Microcode Update Data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-43
9.11.8.7 Function 02HMicrocode Update Control. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-46
9.11.8.8 Function 03HRead Microcode Update Data. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-47
9.11.8.9 Return Codes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-48
C H AP T E R 1 0
AD VAN C E D P R O G R AMMAB LE I N T E R R U P T C O N T R O LLE R (AP I C )
10.1 LOCAL AND I/O APIC OVERVIEW. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-1
10.2 SYSTEM BUS VS. APIC BUS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-4
10.3 THE INTEL

82489DX EXTERNAL APIC, THEAPIC, THE XAPIC, AND THE X2APIC. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-4
10.4 LOCAL APIC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-4
10.4.1 The Local APIC Block Diagram. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-4
10.4.2 Presence of the Local APIC. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-7
10.4.3 Enabling or Disabling the Local APIC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-8
10.4.4 Local APIC Status and Location. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-8
10.4.5 Relocating the Local APIC Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-9
10.4.6 Local APIC ID . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-9
10.4.7 Local APIC State. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-10
10.4.7.1 Local APIC State After Power-Up or Reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-10
10.4.7.2 Local APIC State After It Has Been Software Disabled. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-11
10.4.7.3 Local APIC State After an INIT Reset (Wait-for-SIPI State). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-11
10.4.7.4 Local APIC State After It Receives an INIT-Deassert IPI . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-11
10.4.8 Local APIC Version Register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-11
10.5 HANDLING LOCAL INTERRUPTS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-12
10.5.1 Local Vector Table. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-12
10.5.2 Valid Interrupt Vectors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-14
10.5.3 Error Handling. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-15
10.5.4 APIC Timer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-16
10.5.4.1 TSC-Deadline Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-17
10.5.5 Local Interrupt Acceptance. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-18
10.6 ISSUING INTERPROCESSOR INTERRUPTS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-19
10.6.1 Interrupt Command Register (ICR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-19
10.6.2 Determining IPI Destination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-23
10.6.2.1 Physical Destination Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-23
10.6.2.2 Logical Destination Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-23
10.6.2.3 Broadcast/Self Delivery Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-25
10.6.2.4 Lowest Priority Delivery Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-25
10.6.3 IPI Delivery and Acceptance. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-26
CONTENTS
x Vol. 3A
PAGE
10.7 SYSTEM AND APIC BUS ARBITRATION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-26
10.8 HANDLING INTERRUPTS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-26
10.8.1 Interrupt Handling with the Pentium 4 and Intel Xeon Processors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-27
10.8.2 Interrupt Handling with the P6 Family and Pentium Processors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-27
10.8.3 Interrupt, Task, and Processor Priority. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-28
10.8.3.1 Task and Processor Priorities. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-29
10.8.4 Interrupt Acceptance for Fixed Interrupts. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-30
10.8.5 Signaling Interrupt Servicing Completion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-31
10.8.6 Task Priority in IA-32e Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-31
10.8.6.1 Interaction of Task Priorities between CR8 and APIC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-32
10.9 SPURIOUS INTERRUPT. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-32
10.10 APIC BUS MESSAGE PASSING MECHANISM AND PROTOCOL (P6 FAMILY, PENTIUM PROCESSORS) . . . . . . . . . . . . . . . . . . . 10-33
10.10.1 Bus Message Formats. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-34
10.11 MESSAGE SIGNALLED INTERRUPTS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-34
10.11.1 Message Address Register Format. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-34
10.11.2 Message Data Register Format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-35
10.12 EXTENDED XAPIC (X2APIC). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-36
10.12.1 Detecting and Enabling x2APIC Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-37
10.12.1.1 Instructions to Access APIC Registers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-37
10.12.1.2 x2APIC Register Address Space . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-38
10.12.1.3 Reserved Bit Checking . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-40
10.12.2 x2APIC Register Availability. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-40
10.12.3 MSR Access in x2APIC Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-40
10.12.4 VM-Exit Controls for MSRs and x2APIC Registers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-41
10.12.5 x2APIC State Transitions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-41
10.12.5.1 x2APIC States. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-41
x2APIC After Reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-42
x2APIC Transitions Fromx2APIC Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-42
x2APIC Transitions FromDisabled Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-43
State Changes From xAPIC Mode to x2APIC Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-43
10.12.6 Routing of Device Interrupts in x2APIC Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-43
10.12.7 Initialization by SystemSoftware. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-43
10.12.8 CPUID Extensions And Topology Enumeration. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-43
10.12.8.1 Consistency of APIC IDs and CPUID . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-44
10.12.9 ICR Operation in x2APIC Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-44
10.12.10 Determining IPI Destination in x2APIC Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-45
10.12.10.1 Logical Destination Mode in x2APIC Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-45
10.12.10.2 Deriving Logical x2APIC ID from the Local x2APIC ID. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-46
10.12.11 SELF IPI Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-47
10.13 APIC BUS MESSAGE FORMATS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-47
10.13.1 Bus Message Formats. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-47
10.13.2 EOI Message. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-47
10.13.2.1 Short Message . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-48
10.13.2.2 Non-focused Lowest Priority Message. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-49
10.13.2.3 APIC Bus Status Cycles. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-50
C H AP T E R 1 1
ME MO R Y C AC H E C O N T R O L
11.1 INTERNAL CACHES, TLBS, AND BUFFERS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-1
11.2 CACHING TERMINOLOGY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-5
11.3 METHODS OF CACHING AVAILABLE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-6
11.3.1 Buffering of Write Combining Memory Locations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-7
11.3.2 Choosing a Memory Type . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-8
11.3.3 Code Fetches in Uncacheable Memory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-9
11.4 CACHE CONTROL PROTOCOL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-9
11.5 CACHE CONTROL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-10
11.5.1 Cache Control Registers and Bits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-10
11.5.2 Precedence of Cache Controls. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-13
11.5.2.1 Selecting Memory Types for Pentium Pro and Pentium II Processors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-14
11.5.2.2 Selecting Memory Types for Pentium III and More Recent Processor Families . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-15
11.5.2.3 Writing Values Across Pages with Different Memory Types. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-16
11.5.3 Preventing Caching . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-16
11.5.4 Disabling and Enabling the L3 Cache . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-17
Vol. 3A xi
C O N T E N T S
PAGE
11.5.5 Cache Management Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-17
11.5.6 L1 Data Cache Context Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-18
11.5.6.1 Adaptive Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-18
11.5.6.2 Shared Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-18
11.6 SELF-MODIFYING CODE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-18
11.7 IMPLICIT CACHING (PENTIUM 4, INTEL XEON, AND P6 FAMILY PROCESSORS). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-19
11.8 EXPLICIT CACHING. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-19
11.9 INVALIDATING THE TRANSLATION LOOKASIDE BUFFERS (TLBS) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-19
11.10 STORE BUFFER. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-20
11.11 MEMORY TYPE RANGE REGISTERS (MTRRS). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-20
11.11.1 MTRR Feature Identification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-21
11.11.2 Setting Memory Ranges with MTRRs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-22
11.11.2.1 IA32_MTRR_DEF_TYPE MSR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-22
11.11.2.2 Fixed Range MTRRs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-23
11.11.2.3 Variable Range MTRRs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-23
11.11.2.4 System-Management Range Register Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-25
11.11.3 Example Base and Mask Calculations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-26
11.11.3.1 Base and Mask Calculations for Greater-Than 36-bit Physical Address Support. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-27
11.11.4 Range Size and Alignment Requirement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-28
11.11.4.1 MTRR Precedences. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-28
11.11.5 MTRR Initialization. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-29
11.11.6 Remapping Memory Types. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-29
11.11.7 MTRR Maintenance Programming Interface. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-29
11.11.7.1 MemTypeGet() Function . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-29
11.11.7.2 MemTypeSet() Function . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-31
11.11.8 MTRR Considerations in MP Systems . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-32
11.11.9 Large Page Size Considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-33
11.12 PAGE ATTRIBUTE TABLE (PAT). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-33
11.12.1 Detecting Support for the PAT Feature. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-34
11.12.2 IA32_PAT MSR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-34
11.12.3 Selecting a Memory Type from the PAT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-35
11.12.4 Programming the PAT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-35
11.12.5 PAT Compatibility with Earlier IA-32 Processors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-36
C H AP T E R 1 2
I N T E L

MMX

TE C H N O LO G Y S YS T E M P R O G R AMMI N G
12.1 EMULATION OF THE MMX INSTRUCTION SET. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-1
12.2 THE MMX STATE AND MMX REGISTER ALIASING . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-1
12.2.1 Effect of MMX, x87 FPU, FXSAVE, and FXRSTOR Instructions on the x87 FPU Tag Word. . . . . . . . . . . . . . . . . . . . . . . . . . 12-3
12.3 SAVING AND RESTORING THE MMX STATE AND REGISTERS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-3
12.4 SAVING MMX STATE ON TASK OR CONTEXT SWITCHES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-4
12.5 EXCEPTIONS THAT CAN OCCUR WHEN EXECUTING MMX INSTRUCTIONS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-4
12.5.1 Effect of MMX Instructions on Pending x87 Floating-Point Exceptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-5
12.6 DEBUGGING MMX CODE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-5
C H AP T E R 1 3
S YS T E M P R O G R AMMI N G FO R I N S T R U C T I O N S E T E XT E N S I O N S AN D P R O C E S S O R E XT E N D E D
S T AT E S
13.1 PROVIDING OPERATING SYSTEM SUPPORT FOR
SSE/SSE2/SSE3/SSSE3/SSE4 EXTENSIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-1
13.1.1 Adding Support to an Operating System for SSE/SSE2/SSE3/SSSE3/SSE4 Extensions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-1
13.1.2 Checking for SSE/SSE2/SSE3/SSSE3/SSE4 Extension Support. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-2
13.1.3 Checking for Support for the FXSAVE and FXRSTOR Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-2
13.1.4 Initialization of the SSE/SSE2/SSE3/SSSE3/SSE4 Extensions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-2
13.1.5 Providing Non-Numeric Exception Handlers for Exceptions Generated by the SSE/SSE2/SSE3/SSSE3/SSE4
Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-4
13.1.6 Providing an Handler for the SIMD Floating-Point Exception (#XM) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-5
13.1.6.1 Numeric Error flag and IGNNE#. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-5
13.2 EMULATION OF SSE/SSE2/SSE3/SSSE3/SSE4 EXTENSIONS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-5
13.3 SAVING AND RESTORING THE SSE/SSE2/SSE3/SSSE3/SSE4 STATE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-5
13.4 SAVING THE SSE/SSE2/SSE3/SSSE3/SSE4 STATE ON TASK OR CONTEXT SWITCHES. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-6
13.5 DESIGNING OS FACILITIES FOR AUTOMATICALLY SAVING X87 FPU, MMX, AND SSE/SSE2/SSE3/SSSE3/SSE4 STATE
CONTENTS
xii Vol. 3A
PAGE
ON TASK OR CONTEXT SWITCHES. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-6
13.5.1 Using the TS Flag to Control the Saving of the x87 FPU, MMX, SSE, SSE2, SSE3 SSSE3 and SSE4 State. . . . . . . . . . . . .13-7
13.6 XSAVE/XRSTOR AND PROCESSOR EXTENDED STATE MANAGEMENT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-8
13.6.1 XSAVE Header . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .13-9
13.7 INTEROPERABILITY OF XSAVE/XRSTOR AND FXSAVE/FXRSTOR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-10
13.8 DETECTION, ENUMERATION, ENABLING PROCESSOR EXTENDED STATE SUPPORT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-11
13.8.1 Application Programming Model and Processor Extended States . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .13-12
13.9 INTEL ADVANCED VECTOR EXTENSIONS (INTEL AVX) AND YMM STATE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-13
13.10 YMM STATE MANAGEMENT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-13
13.10.1 Detection of YMM State Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .13-13
13.10.2 Enabling of YMM State. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .13-13
13.10.3 Enabling of SIMD Floating-Exception Support. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .13-14
13.10.4 The Layout of XSAVE Area. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .13-14
13.10.5 XSAVE/XRSTOR Interaction with YMM State and MXCSR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .13-15
13.10.6 Processor Extended State Save Optimization and XSAVEOPT. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .13-16
13.10.6.1 XSAVEOPT Usage Guidelines. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .13-17
C H AP T E R 1 4
P O WE R AN D T H E R MAL MAN AG E ME N T
14.1 ENHANCED INTEL SPEEDSTEP

TECHNOLOGY. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-1
14.1.1 Software Interface For Initiating Performance State Transitions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-1
14.2 P-STATE HARDWARE COORDINATION. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-1
14.3 SYSTEM SOFTWARE CONSIDERATIONS AND OPPORTUNISTIC PROCESSOR PERFORMANCE OPERATION. . . . . . . . . . . . . . 14-3
14.3.1 Intel Dynamic Acceleration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-3
14.3.2 SystemSoftware Interfaces for Opportunistic Processor Performance Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-3
14.3.2.1 Discover Hardware Support and Enabling of Opportunistic Processor Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-4
14.3.2.2 OS Control of Opportunistic Processor Performance Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-4
14.3.2.3 Required Changes to OS Power Management P-state Policy. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-4
14.3.2.4 Application Awareness of Opportunistic Processor Operation (Optional) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-5
14.3.3 Intel Turbo Boost Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-6
14.3.4 Performance and Energy Bias Hint support. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-6
14.4 MWAIT EXTENSIONS FOR ADVANCED POWER MANAGEMENT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-7
14.5 THERMAL MONITORING AND PROTECTION. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-7
14.5.1 Catastrophic Shutdown Detector . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-8
14.5.2 Thermal Monitor. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-8
14.5.2.1 Thermal Monitor 1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-8
14.5.2.2 Thermal Monitor 2. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-9
14.5.2.3 Two Methods for Enabling TM2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-9
14.5.2.4 Performance State Transitions and Thermal Monitoring . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-10
14.5.2.5 Thermal Status Information. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-10
14.5.2.6 Adaptive Thermal Monitor. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-11
14.5.3 Software Controlled Clock Modulation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-11
14.5.3.1 Extension of Software Controlled Clock Modulation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-13
14.5.4 Detection of Thermal Monitor and Software Controlled Clock Modulation Facilities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-13
14.5.4.1 Detection of Software Controlled Clock Modulation Extension. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-13
14.5.5 On Die Digital Thermal Sensors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-13
14.5.5.1 Digital Thermal Sensor Enumeration. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-13
14.5.5.2 Reading the Digital Sensor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-13
14.5.6 Power Limit Notification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-16
14.6 PACKAGE LEVEL THERMAL MANAGEMENT. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-16
14.6.1 Support for Passive and Active cooling. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-19
14.7 PLATFORM SPECIFIC POWER MANAGEMENT SUPPORT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-19
14.7.1 RAPL Interfaces . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-20
14.7.2 RAPL Domains and Platform Specificity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-20
14.7.3 Package RAPL Domain . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-21
14.7.4 PP0/PP1 RAPL Domains . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-23
14.7.5 DRAM RAPL Domain . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-25
C H AP T E R 1 5
MAC H I N E -C H E C K AR C H I T E C T U R E
15.1 MACHINE-CHECK ARCHITECTURE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-1
15.2 COMPATIBILITY WITH PENTIUMPROCESSOR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-1
Vol. 3A xiii
C O N T E N T S
PAGE
15.3 MACHINE-CHECK MSRS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-2
15.3.1 Machine-Check Global Control MSRs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-2
15.3.1.1 IA32_MCG_CAP MSR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-2
15.3.1.2 IA32_MCG_STATUS MSR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-3
15.3.1.3 IA32_MCG_CTL MSR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-4
15.3.2 Error-Reporting Register Banks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-4
15.3.2.1 IA32_MCi_CTL MSRs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-4
15.3.2.2 IA32_MCi_STATUS MSRS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-4
15.3.2.3 IA32_MCi_ADDR MSRs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-7
15.3.2.4 IA32_MCi_MISC MSRs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-8
15.3.2.5 IA32_MCi_CTL2 MSRs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-8
15.3.2.6 IA32_MCG Extended Machine Check State MSRs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-9
15.3.3 Mapping of the PentiumProcessor Machine-Check Errors to the Machine-Check Architecture. . . . . . . . . . . . . . . . . . . . .15-11
15.4 ENHANCED CACHE ERROR REPORTING . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-11
15.5 CORRECTED MACHINE CHECK ERROR INTERRUPT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-11
15.5.1 CMCI Local APIC Interface. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-12
15.5.2 SystemSoftware Recommendation for Managing CMCI and Machine Check Resources . . . . . . . . . . . . . . . . . . . . . . . . . . .15-12
15.5.2.1 CMCI Initialization. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-12
15.5.2.2 CMCI Threshold Management. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-13
15.5.2.3 CMCI Interrupt Handler . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-13
15.6 RECOVERY OF UNCORRECTED RECOVERABLE (UCR) ERRORS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-14
15.6.1 Detection of Software Error Recovery Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-14
15.6.2 UCR Error Reporting and Logging. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-14
15.6.3 UCR Error Classification. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-15
15.6.4 UCR Error Overwrite Rules. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-16
15.7 MACHINE-CHECK AVAILABILITY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-17
15.8 MACHINE-CHECK INITIALIZATION. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-17
15.9 INTERPRETING THE MCA ERROR CODES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-18
15.9.1 Simple Error Codes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-18
15.9.2 Compound Error Codes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-19
15.9.2.1 Correction Report Filtering (F) Bit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-19
15.9.2.2 Transaction Type (TT) Sub-Field. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-19
15.9.2.3 Level (LL) Sub-Field. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-20
15.9.2.4 Request (RRRR) Sub-Field. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-20
15.9.2.5 Bus and Interconnect Errors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-20
15.9.2.6 Memory Controller Errors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-21
15.9.3 Architecturally Defined UCR Errors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-21
15.9.3.1 Architecturally Defined SRAO Errors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-21
15.9.3.2 Architecturally Defined SRAR Errors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-22
15.9.4 Multiple MCA Errors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-24
15.9.5 Machine-Check Error Codes Interpretation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-24
15.10 GUIDELINES FOR WRITING MACHINE-CHECK SOFTWARE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-25
15.10.1 Machine-Check Exception Handler . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-25
15.10.2 PentiumProcessor Machine-Check Exception Handling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-26
15.10.3 Logging Correctable Machine-Check Errors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-26
15.10.4 Machine-Check Software Handler Guidelines for Error Recovery . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-28
15.10.4.1 Machine-Check Exception Handler for Error Recovery . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-28
15.10.4.2 Corrected Machine-Check Handler for Error Recovery . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-32
C H AP T E R 1 6
I N T E R P R E T I N G MAC H I N E -C H E C K E R R O R C O D E S
16.1 INCREMENTAL DECODING INFORMATION: PROCESSOR FAMILY 06H MACHINE ERROR CODES FOR MACHINE CHECK. . . . 16-1
16.2 INCREMENTAL DECODING INFORMATION: INTEL CORE 2 PROCESSOR FAMILY MACHINE ERROR CODES FOR MACHINE
CHECK . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-3
16.2.1 Model-Specific Machine Check Error Codes for Intel Xeon Processor 7400 Series. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-5
16.2.1.1 Processor Machine Check Status Register Incremental MCA Error Code Definition. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-6
16.2.2 Intel Xeon Processor 7400 Model Specific Error Code Field . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-6
16.2.2.1 Processor Model Specific Error Code Field Type B: Bus and Interconnect Error. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-6
16.2.2.2 Processor Model Specific Error Code Field Type C: Cache Bus Controller Error . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-7
16.3 INCREMENTAL DECODING INFORMATION: PROCESSOR FAMILY WITH CPUID DISPLAYFAMILY_DISPLAYMODEL
SIGNATURE 06_1AH, MACHINE ERROR CODES FOR MACHINE CHECK. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-7
16.3.1 Intel QPI Machine Check Errors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-8
16.3.2 Internal Machine Check Errors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-8
16.3.3 Memory Controller Errors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-9
CONTENTS
xiv Vol. 3A
PAGE
16.4 INCREMENTAL DECODING INFORMATION: PROCESSOR FAMILY WITH CPUID DISPLAYFAMILY_DISPLAYMODEL
SIGNATURE 06_2DH, MACHINE ERROR CODES FOR MACHINE CHECK . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-10
16.4.1 Internal Machine Check Errors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .16-10
16.4.2 Intel QPI Machine Check Errors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .16-11
16.4.3 Integrated Memory Controller Machine Check Errors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .16-11
16.5 INCREMENTAL DECODING INFORMATION: PROCESSOR FAMILY WITH CPUID DISPLAYFAMILY_DISPLAYMODEL
SIGNATURE 06_3EH, MACHINE ERROR CODES FOR MACHINE CHECK . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-13
16.5.1 Internal Machine Check Errors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .16-13
16.5.2 Integrated Memory Controller Machine Check Errors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .16-14
16.6 INCREMENTAL DECODING INFORMATION: PROCESSOR FAMILY 0FH MACHINE ERROR CODES FOR MACHINE CHECK . . 16-16
16.6.1 Model-Specific Machine Check Error Codes for Intel Xeon Processor MP 7100 Series . . . . . . . . . . . . . . . . . . . . . . . . . . . . .16-16
16.6.1.1 Processor Machine Check Status Register MCA Error Code Definition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .16-17
16.6.2 Other_Info Field (all MCA Error Types) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .16-18
16.6.3 Processor Model Specific Error Code Field. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .16-19
16.6.3.1 MCAError Type A: L3 Error . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .16-19
16.6.3.2 Processor Model Specific Error Code Field Type B: Bus and Interconnect Error . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .16-19
16.6.3.3 Processor Model Specific Error Code Field Type C: Cache Bus Controller Error. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .16-20
C H AP T E R 1 7
D E B U G G I N G , B R AN C H P R O F I LI N G , AN D T I ME -S T AMP C O U N T E R
17.1 OVERVIEW OF DEBUG SUPPORT FACILITIES. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-1
17.2 DEBUG REGISTERS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-2
17.2.1 Debug Address Registers (DR0-DR3). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-3
17.2.2 Debug Registers DR4 and DR5 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-3
17.2.3 Debug Status Register (DR6). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-3
17.2.4 Debug Control Register (DR7) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-3
17.2.5 Breakpoint Field Recognition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-5
17.2.6 Debug Registers and Intel

64 Processors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-6
17.3 DEBUG EXCEPTIONS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-7
17.3.1 Debug Exception (#DB)Interrupt Vector 1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-7
17.3.1.1 Instruction-Breakpoint Exception Condition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-7
17.3.1.2 Data Memory and I/O Breakpoint Exception Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-8
17.3.1.3 General-Detect Exception Condition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-9
17.3.1.4 Single-Step Exception Condition. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-9
17.3.1.5 Task-Switch Exception Condition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-9
17.3.2 Breakpoint Exception (#BP)Interrupt Vector 3. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-9
17.4 LAST BRANCH, INTERRUPT, AND EXCEPTION RECORDING OVERVIEW . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-9
17.4.1 IA32_DEBUGCTL MSR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-10
17.4.2 Monitoring Branches, Exceptions, and Interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-11
17.4.3 Single-Stepping on Branches . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-12
17.4.4 Branch Trace Messages. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-12
17.4.4.1 Branch Trace Message Visibility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-12
17.4.5 Branch Trace Store (BTS) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-12
17.4.6 CPL-Qualified Branch Trace Mechanism . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-13
17.4.7 Freezing LBR and Performance Counters on PMI . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-13
17.4.8 LBR Stack . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-13
17.4.8.1 LBR Stack and Intel64 Processors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-14
17.4.8.2 LBR Stack and IA-32 Processors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-15
17.4.8.3 Last Exception Records and Intel 64 Architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-15
17.4.9 BTS and DS Save Area . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-15
17.4.9.1 DS Save Area and IA-32e Mode Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-17
17.4.9.2 Setting Up the DS Save Area. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-19
17.4.9.3 Setting Up the BTS Buffer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-20
17.4.9.4 Setting Up CPL-Qualified BTS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-21
17.4.9.5 Writing the DS Interrupt Service Routine. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-21
17.5 LAST BRANCH, INTERRUPT, AND EXCEPTION RECORDING (INTELCORE2 DUO AND INTELATOMPROCESSOR
FAMILY). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-22
17.5.1 LBR Stack . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-22
17.6 LAST BRANCH, INTERRUPT, AND EXCEPTION RECORDING FOR PROCESSORS BASED ON INTEL
MICROARCHITECTURE CODE NAME NEHALEM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-23
17.6.1 LBR Stack. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-24
17.6.2 Filtering of Last Branch Records. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-25
17.7 LAST BRANCH, INTERRUPT, AND EXCEPTION RECORDING FOR PROCESSORS BASED ON INTEL
MICROARCHITECTURE CODE NAME SANDY BRIDGE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-25
Vol. 3A xv
C O N T E N T S
PAGE
17.8 LAST BRANCH, CALL STACK, INTERRUPT, AND EXCEPTION RECORDING FOR PROCESSORS BASED ON INTEL
MICROARCHITECTURE CODE NAME HASWELL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-26
17.8.1 LBR Stack Enhancement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-27
17.9 LAST BRANCH, INTERRUPT, AND EXCEPTION RECORDING (PROCESSORS BASED ON INTEL NETBURST
MICROARCHITECTURE). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-27
17.9.1 MSR_DEBUGCTLA MSR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-28
17.9.2 LBR Stack for Processors Based on Intel NetBurstMicroarchitecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-29
17.9.3 Last Exception Records. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-30
17.10 LAST BRANCH, INTERRUPT, AND EXCEPTION RECORDING (INTELCORESOLO AND INTELCOREDUO PROCESSORS) 17-30
17.11 LAST BRANCH, INTERRUPT, AND EXCEPTION RECORDING (PENTIUM M PROCESSORS). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-32
17.12 LAST BRANCH, INTERRUPT, AND EXCEPTION RECORDING (P6 FAMILY PROCESSORS) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-33
17.12.1 DEBUGCTLMSR Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-33
17.12.2 Last Branch and Last Exception MSRs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-34
17.12.3 Monitoring Branches, Exceptions, and Interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-34
17.13 TIME-STAMP COUNTER . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-35
17.13.1 Invariant TSC. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-36
17.13.2 IA32_TSC_AUX Register and RDTSCP Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-36
17.13.3 Time-Stamp Counter Adjustment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-36
17.14 CACHE QUALITY-OF-SERVICE (QOS) MONITORING . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-37
17.14.1 Overview of Cache QoS Monitoring. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-37
17.14.2 Enumeration and Detection Support of Cache QoS Monitoring. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-37
C H AP T E R 1 8
P E R F O R MAN C E MO N I T O R I N G
18.1 PERFORMANCE MONITORING OVERVIEW . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-1
18.2 ARCHITECTURAL PERFORMANCE MONITORING . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-2
18.2.1 Architectural Performance Monitoring Version 1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-2
18.2.1.1 Architectural Performance Monitoring Version 1 Facilities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-3
18.2.2 Additional Architectural Performance Monitoring Extensions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-4
18.2.2.1 Architectural Performance Monitoring Version 2 Facilities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-5
18.2.2.2 Architectural Performance Monitoring Version 3 Facilities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-7
18.2.2.3 Full-Width Writes to Performance Counter Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-9
18.2.3 Pre-defined Architectural Performance Events. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-9
18.3 PERFORMANCE MONITORING (INTELCORESOLO AND INTELCOREDUO PROCESSORS). . . . . . . . . . . . . . . . . . . . . . . . . 18-11
18.4 PERFORMANCE MONITORING (PROCESSORS BASED ON INTEL

CORE

MICROARCHITECTURE) . . . . . . . . . . . . . . . . . . . 18-12
18.4.1 Fixed-function Performance Counters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-13
18.4.2 Global Counter Control Facilities. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-14
18.4.3 At-Retirement Events . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-15
18.4.4 Precise Event Based Sampling (PEBS). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-16
18.4.4.1 Setting up the PEBS Buffer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-16
18.4.4.2 PEBS Record Format. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-17
18.4.4.3 Writing a PEBS Interrupt Service Routine . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-17
18.4.4.4 Re-configuring PEBS Facilities. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-18
18.5 PERFORMANCE MONITORING (PROCESSORS BASED ON INTEL

ATOM

MICROARCHITECTURE). . . . . . . . . . . . . . . . . . . 18-18
18.6 PERFORMANCE MONITORING FOR PROCESSORS BASED ON INTEL

MICROARCHITECTURE CODE NAME NEHALEM. . 18-19
18.6.1 Enhancements of Performance Monitoring in the Processor Core . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-19
18.6.1.1 Precise Event Based Sampling (PEBS). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-20
18.6.1.2 Load Latency Performance Monitoring Facility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-23
18.6.1.3 Off-core Response Performance Monitoring in the Processor Core . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-25
18.6.2 Performance Monitoring Facility in the Uncore . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-26
18.6.2.1 Uncore Performance Monitoring Management Facility. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-27
18.6.2.2 Uncore Performance Event Configuration Facility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-29
18.6.2.3 Uncore Address/Opcode Match MSR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-30
18.6.3 Intel

Xeon

Processor 7500 Series Performance Monitoring Facility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-31


18.7 PERFORMANCE MONITORING FOR PROCESSORS BASED ON INTEL

MICROARCHITECTURE CODE NAME WESTMERE 18-33
18.7.1 Intel

Xeon

Processor E7 Family Performance Monitoring Facility. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-33


18.8 PERFORMANCE MONITORING FOR PROCESSORS BASED ON INTEL

MICROARCHITECTURE CODE NAME SANDY
BRIDGE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-33
18.8.1 Global Counter Control Facilities In Intel

Microarchitecture Code Name Sandy Bridge . . . . . . . . . . . . . . . . . . . . . . . . . . .18-34


18.8.2 Counter Coalescence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-36
18.8.3 Full Width Writes to Performance Counters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-36
18.8.4 PEBS Support in Intel

Microarchitecture Code Name Sandy Bridge . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-36


18.8.4.1 PEBS Record Format. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-38
18.8.4.2 Load Latency Performance Monitoring Facility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-39
CONTENTS
xvi Vol. 3A
PAGE
18.8.4.3 Precise Store Facility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-40
18.8.4.4 Precise Distribution of Instructions Retired (PDIR). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-40
18.8.5 Off-core Response Performance Monitoring. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-41
18.8.6 Uncore Performance Monitoring Facilities In Intel

Core

i7-2xxx, Intel

Core

i5-2xxx, Intel

Core


i3-2xxx Processor Series. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-44
18.8.6.1 Uncore Performance Monitoring Events. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-45
18.8.7 Intel

Xeon

Processor E5 Family Performance Monitoring Facility. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-45


18.8.8 Intel

Xeon

Processor E5 Family Uncore Performance Monitoring Facility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-46


18.9 3RD GENERATION INTEL

CORE

PROCESSOR PERFORMANCE MONITORING FACILITY. . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-46


18.10 4TH GENERATION INTEL

CORE

PROCESSOR PERFORMANCE MONITORING FACILITY. . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-47


18.10.1 Precise Event Based Sampling (PEBS) Facility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-47
18.10.2 PEBS Data Format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-48
18.10.3 PEBS Data Address Profiling. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-49
18.10.3.1 EventingIP Record. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-50
18.10.4 Off-core Response Performance Monitoring. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-50
18.10.5 Performance Monitoring and Intel

TSX. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-51
18.10.5.1 Intel TSX and PEBS Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-52
18.10.6 Uncore Performance Monitoring Facilities in Next Generation Intel

Core

Processors. . . . . . . . . . . . . . . . . . . . . . . . . .18-53
18.11 PERFORMANCE MONITORING (PROCESSORS BASED ON INTEL NETBURST

MICROARCHITECTURE) . . . . . . . . . . . . . . . . 18-53
18.11.1 ESCR MSRs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-56
18.11.2 Performance Counters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-57
18.11.3 CCCR MSRs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-58
18.11.4 Debug Store (DS) Mechanism. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-60
18.11.5 Programming the Performance Counters for Non-Retirement Events. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-60
18.11.5.1 Selecting Events to Count. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-61
18.11.5.2 Filtering Events. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-62
18.11.5.3 Starting Event Counting. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-63
18.11.5.4 Reading a Performance Counters Count . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-63
18.11.5.5 Halting Event Counting . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-64
18.11.5.6 Cascading Counters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-64
18.11.5.7 EXTENDED CASCADING . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-64
18.11.5.8 Generating an Interrupt on Overflow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-65
18.11.5.9 Counter Usage Guideline. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-66
18.11.6 At-Retirement Counting. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-66
18.11.6.1 Using At-Retirement Counting. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-67
18.11.6.2 Tagging Mechanism for Front_end_event . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-67
18.11.6.3 Tagging Mechanism For Execution_event . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-68
18.11.6.4 Tagging Mechanism for Replay_event . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-68
18.11.7 Precise Event-Based Sampling (PEBS). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-68
18.11.7.1 Detection of the Availability of the PEBS Facilities. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-69
18.11.7.2 Setting Up the DS Save Area. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-69
18.11.7.3 Setting Up the PEBS Buffer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-69
18.11.7.4 Writing a PEBS Interrupt Service Routine . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-69
18.11.7.5 Other DS Mechanism Implications. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-69
18.11.8 Operating System Implications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-70
18.12 PERFORMANCE MONITORING AND INTEL HYPER-THREADING TECHNOLOGY IN PROCESSORS BASED ON INTEL
NETBURST

MICROARCHITECTURE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-70
18.12.1 ESCR MSRs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-70
18.12.2 CCCR MSRs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-71
18.12.3 IA32_PEBS_ENABLE MSR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-73
18.12.4 Performance Monitoring Events . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-73
18.13 COUNTING CLOCKS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-74
18.13.1 Non-Halted Clockticks. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-75
18.13.2 Non-Sleep Clockticks. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-75
18.13.3 Incrementing the Time-Stamp Counter. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-76
18.13.4 Non-Halted Reference Clockticks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-76
18.13.5 Cycle Counting and Opportunistic Processor Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-76
18.14 PERFORMANCE MONITORING, BRANCH PROFILING AND SYSTEM EVENTS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-76
18.15 PERFORMANCE MONITORING AND DUAL-CORE TECHNOLOGY. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-77
18.16 PERFORMANCE MONITORING ON 64-BIT INTEL XEON PROCESSOR MP WITH UP TO 8-MBYTE L3 CACHE. . . . . . . . . . . . . 18-77
18.17 PERFORMANCE MONITORING ON L3 AND CACHING BUS CONTROLLER SUB-SYSTEMS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-80
18.17.1 Overview of Performance Monitoring with L3/Caching Bus Controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-82
18.17.2 GBSQ Event Interface. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-82
18.17.3 GSNPQ Event Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-84
18.17.4 FSB Event Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-85
Vol. 3A xvii
C O N T E N T S
PAGE
18.17.4.1 FSB Sub-Event Mask Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-85
18.17.5 Common Event Control Interface. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-86
18.18 PERFORMANCE MONITORING (P6 FAMILY PROCESSOR). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-86
18.18.1 PerfEvtSel0 and PerfEvtSel1 MSRs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-87
18.18.2 PerfCtr0 and PerfCtr1 MSRs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-88
18.18.3 Starting and Stopping the Performance-Monitoring Counters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-88
18.18.4 Event and Time-Stamp Monitoring Software. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-88
18.18.5 Monitoring Counter Overflow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-89
18.19 PERFORMANCE MONITORING (PENTIUM PROCESSORS) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-89
18.19.1 Control and Event Select Register (CESR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-90
18.19.2 Use of the Performance-Monitoring Pins. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-90
18.19.3 Events Counted . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-91
C H AP T E R 1 9
P E R F O R MAN C E -MO N I T O R I N G E VE N T S
19.1 ARCHITECTURAL PERFORMANCE-MONITORING EVENTS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-1
19.2 PERFORMANCE MONITORING EVENTS FOR THE 4TH GENERATION INTEL

CORE

PROCESSORS. . . . . . . . . . . . . . . . . . . . 19-2
19.3 PERFORMANCE MONITORING EVENTS FOR 3RD GENERATION INTEL

CORE

PROCESSORS . . . . . . . . . . . . . . . . . . . . . . 19-13
19.4 PERFORMANCE MONITORING EVENTS FOR 2ND GENERATION INTEL

CORE

I7-2XXX, INTEL

CORE


I5-2XXX, INTEL

CORE

I3-2XXX PROCESSOR SERIES. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-22


19.5 PERFORMANCE MONITORING EVENTS FOR INTEL

CORE

I7 PROCESSOR FAMILY AND INTEL

XEON


PROCESSOR FAMILY. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-37
19.6 PERFORMANCE MONITORING EVENTS FOR PROCESSORS BASED ON INTEL

MICROARCHITECTURE CODE NAME


WESTMERE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-66
19.7 PERFORMANCE MONITORING EVENTS FOR INTEL

XEON

PROCESSOR 5200, 5400 SERIES AND INTEL

CORE

2

EXTREME PROCESSORS QX 9000 SERIES. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-98
19.8 PERFORMANCE MONITORING EVENTS FOR INTEL

XEON

PROCESSOR 3000, 3200, 5100, 5300 SERIES AND


INTEL

CORE

2

DUO PROCESSORS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-98
19.9 PERFORMANCE MONITORING EVENTS FOR INTEL

ATOM

PROCESSORS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-124
19.10 PERFORMANCE MONITORING EVENTS FOR INTEL

CORE

SOLO AND INTEL

CORE

DUO PROCESSORS . . . . . . . 19-138
19.11 PENTIUM4 AND INTELXEONPROCESSOR PERFORMANCE-MONITORING EVENTS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-143
19.12 PERFORMANCE MONITORING EVENTS FOR INTEL

PENTIUM

M PROCESSORS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-172
19.13 P6 FAMILY PROCESSOR PERFORMANCE-MONITORING EVENTS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-174
19.14 PENTIUM PROCESSOR PERFORMANCE-MONITORING EVENTS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-183
C H AP T E R 2 0
8 0 8 6 E MU LAT I O N
20.1 REAL-ADDRESS MODE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20-1
20.1.1 Address Translation in Real-Address Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20-2
20.1.2 Registers Supported in Real-Address Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20-3
20.1.3 Instructions Supported in Real-Address Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20-3
20.1.4 Interrupt and Exception Handling. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20-4
20.2 VIRTUAL-8086 MODE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20-5
20.2.1 Enabling Virtual-8086 Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20-6
20.2.2 Structure of a Virtual-8086 Task. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20-7
20.2.3 Paging of Virtual-8086 Tasks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20-7
20.2.4 Protection within a Virtual-8086 Task. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20-8
20.2.5 Entering Virtual-8086 Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20-8
20.2.6 Leaving Virtual-8086 Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20-9
20.2.7 Sensitive Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .20-10
20.2.8 Virtual-8086 Mode I/O. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .20-10
20.2.8.1 I/O-Port-Mapped I/O . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .20-11
20.2.8.2 Memory-Mapped I/O. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .20-11
20.2.8.3 Special I/O Buffers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .20-11
20.3 INTERRUPT AND EXCEPTION HANDLING IN VIRTUAL-8086 MODE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20-11
20.3.1 Class 1Hardware Interrupt and Exception Handling in Virtual-8086 Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .20-12
20.3.1.1 Handling an Interrupt or Exception Through a Protected-Mode Trap or Interrupt Gate. . . . . . . . . . . . . . . . . . . . . . . . .20-12
20.3.1.2 Handling an Interrupt or Exception With an 8086 Program Interrupt or Exception Handler . . . . . . . . . . . . . . . . . . . .20-14
20.3.1.3 Handling an Interrupt or Exception Through a Task Gate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .20-14
20.3.2 Class 2Maskable Hardware Interrupt Handling in Virtual-8086 Mode Using the Virtual Interrupt Mechanism. . . . .20-15
20.3.3 Class 3Software Interrupt Handling in Virtual-8086 Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .20-16
20.3.3.1 Method 1: Software Interrupt Handling. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .20-18
CONTENTS
xviii Vol. 3A
PAGE
20.3.3.2 Methods 2 and 3: Software Interrupt Handling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .20-18
20.3.3.3 Method 4: Software Interrupt Handling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .20-19
20.3.3.4 Method 5: Software Interrupt Handling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .20-19
20.3.3.5 Method 6: Software Interrupt Handling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .20-19
20.4 PROTECTED-MODE VIRTUAL INTERRUPTS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20-20
C H AP T E R 2 1
MI XI N G 1 6 -B I T AN D 3 2 -B I T C O D E
21.1 DEFINING 16-BIT AND 32-BIT PROGRAM MODULES. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-1
21.2 MIXING 16-BIT AND 32-BIT OPERATIONS WITHIN A CODE SEGMENT. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-2
21.3 SHARING DATA AMONG MIXED-SIZE CODE SEGMENTS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-3
21.4 TRANSFERRING CONTROL AMONG MIXED-SIZE CODE SEGMENTS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-3
21.4.1 Code-Segment Pointer Size . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .21-4
21.4.2 Stack Management for Control Transfer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .21-4
21.4.2.1 Controlling the Operand-Size Attribute For a Call . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .21-5
21.4.2.2 Passing Parameters With a Gate. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .21-6
21.4.3 Interrupt Control Transfers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .21-6
21.4.4 Parameter Translation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .21-6
21.4.5 Writing Interface Procedures. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .21-6
C H AP T E R 2 2
AR C H I T E C T U R E C O MP AT I B I LI T Y
22.1 PROCESSOR FAMILIES AND CATEGORIES. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-1
22.2 RESERVED BITS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-1
22.3 ENABLING NEW FUNCTIONS AND MODES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-2
22.4 DETECTING THE PRESENCE OF NEW FEATURES THROUGH SOFTWARE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-2
22.5 INTEL MMX TECHNOLOGY. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-2
22.6 STREAMING SIMD EXTENSIONS (SSE) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-3
22.7 STREAMING SIMD EXTENSIONS 2 (SSE2). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-3
22.8 STREAMING SIMD EXTENSIONS 3 (SSE3). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-3
22.9 ADDITIONAL STREAMING SIMD EXTENSIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-3
22.10 INTEL HYPER-THREADING TECHNOLOGY. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-3
22.11 MULTI-CORE TECHNOLOGY. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-4
22.12 SPECIFIC FEATURES OF DUAL-CORE PROCESSOR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-4
22.13 NEW INSTRUCTIONS IN THE PENTIUM AND LATER IA-32 PROCESSORS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-4
22.13.1 Instructions Added Prior to the Pentium Processor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-4
22.14 OBSOLETE INSTRUCTIONS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-5
22.15 UNDEFINED OPCODES. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-5
22.16 NEW FLAGS IN THE EFLAGS REGISTER. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-5
22.16.1 Using EFLAGS Flags to Distinguish Between 32-Bit IA-32 Processors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-6
22.17 STACK OPERATIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-6
22.17.1 PUSH SP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-6
22.17.2 EFLAGS Pushed on the Stack. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-6
22.18 X87 FPU . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-6
22.18.1 Control Register CR0 Flags. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-7
22.18.2 x87 FPU Status Word. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-7
22.18.2.1 Condition Code Flags (C0 through C3). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-7
22.18.2.2 Stack Fault Flag . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-8
22.18.3 x87 FPU Control Word . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-8
22.18.4 x87 FPU Tag Word. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-8
22.18.5 Data Types. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-8
22.18.5.1 NaNs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-8
22.18.5.2 Pseudo-zero, Pseudo-NaN, Pseudo-infinity, and Unnormal Formats. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-9
22.18.6 Floating-Point Exceptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-9
22.18.6.1 Denormal Operand Exception (#D). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-9
22.18.6.2 Numeric Overflow Exception (#O) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-9
22.18.6.3 Numeric Underflow Exception (#U) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-10
22.18.6.4 Exception Precedence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-10
22.18.6.5 CS and EIP For FPU Exceptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-10
22.18.6.6 FPU Error Signals. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-10
22.18.6.7 Assertion of the FERR# Pin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-10
22.18.6.8 Invalid Operation Exception On Denormals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-11
Vol. 3A xix
C O N T E N T S
PAGE
22.18.6.9 Alignment Check Exceptions (#AC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-11
22.18.6.10 Segment Not Present Exception During FLDENV . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-11
22.18.6.11 Device Not Available Exception (#NM). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-11
22.18.6.12 Coprocessor Segment Overrun Exception. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-11
22.18.6.13 General Protection Exception (#GP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-11
22.18.6.14 Floating-Point Error Exception (#MF) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-11
22.18.7 Changes to Floating-Point Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-12
22.18.7.1 FDIV, FPREM, and FSQRT Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-12
22.18.7.2 FSCALE Instruction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-12
22.18.7.3 FPREM1 Instruction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-12
22.18.7.4 FPREM Instruction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-12
22.18.7.5 FUCOM, FUCOMP, and FUCOMPP Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-12
22.18.7.6 FPTAN Instruction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-12
22.18.7.7 Stack Overflow. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-12
22.18.7.8 FSIN, FCOS, and FSINCOS Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-13
22.18.7.9 FPATAN Instruction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-13
22.18.7.10 F2XM1 Instruction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-13
22.18.7.11 FLD Instruction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-13
22.18.7.12 FXTRACT Instruction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-13
22.18.7.13 Load Constant Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-13
22.18.7.14 FSETPM Instruction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-14
22.18.7.15 FXAM Instruction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-14
22.18.7.16 FSAVE and FSTENV Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-14
22.18.8 Transcendental Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-14
22.18.9 Obsolete Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-14
22.18.10 WAIT/FWAIT Prefix Differences. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-14
22.18.11 Operands Split Across Segments and/or Pages. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-14
22.18.12 FPU Instruction Synchronization. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-15
22.19 SERIALIZING INSTRUCTIONS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-15
22.20 FPU AND MATH COPROCESSOR INITIALIZATION. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-15
22.20.1 Intel

387 and Intel

287 Math Coprocessor Initialization. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-15


22.20.2 Intel486 SX Processor and Intel 487 SX Math Coprocessor Initialization. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-15
22.21 CONTROL REGISTERS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-16
22.22 MEMORY MANAGEMENT FACILITIES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-18
22.22.1 New Memory Management Control Flags . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-18
22.22.1.1 Physical Memory Addressing Extension. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-18
22.22.1.2 Global Pages . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-18
22.22.1.3 Larger Page Sizes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-18
22.22.2 CD and NW Cache Control Flags . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-18
22.22.3 Descriptor Types and Contents. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-18
22.22.4 Changes in Segment Descriptor Loads . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-19
22.23 DEBUG FACILITIES. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-19
22.23.1 Differences in Debug Register DR6. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-19
22.23.2 Differences in Debug Register DR7. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-19
22.23.3 Debug Registers DR4 and DR5 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-19
22.24 RECOGNITION OF BREAKPOINTS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-19
22.25 EXCEPTIONS AND/OR EXCEPTION CONDITIONS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-20
22.25.1 Machine-Check Architecture. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-21
22.25.2 Priority of Exceptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-21
22.25.3 Exception Conditions of Legacy SIMD Instructions Operating on MMX Registers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-21
22.26 INTERRUPTS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-26
22.26.1 Interrupt Propagation Delay. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-26
22.26.2 NMI Interrupts. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-26
22.26.3 IDT Limit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-26
22.27 ADVANCED PROGRAMMABLE INTERRUPT CONTROLLER (APIC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-26
22.27.1 Software Visible Differences Between the Local APIC and the 82489DX. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-27
22.27.2 New Features Incorporated in the Local APIC for the P6 Family

and Pentium Processors . . . . . . . . . . . . . . . . . . . . . . . . .22-27
22.27.3 New Features Incorporated in the Local APIC of the Pentium4 and Intel Xeon Processors. . . . . . . . . . . . . . . . . . . . . . . .22-27
22.28 TASK SWITCHING AND TSS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-27
22.28.1 P6 Family and Pentium Processor TSS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-28
22.28.2 TSS Selector Writes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-28
22.28.3 Order of Reads/Writes to the TSS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-28
22.28.4 Using A 16-Bit TSS with 32-Bit Constructs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-28
22.28.5 Differences in I/O Map Base Addresses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-28
22.29 CACHE MANAGEMENT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-29
CONTENTS
xx Vol. 3A
PAGE
22.29.1 Self-Modifying Code with Cache Enabled . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-29
22.29.2 Disabling the L3 Cache. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-30
22.30 PAGING . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-30
22.30.1 Large Pages. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-30
22.30.2 PCD and PWT Flags . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-30
22.30.3 Enabling and Disabling Paging . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-31
22.31 STACK OPERATIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-31
22.31.1 Selector Pushes and Pops. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-31
22.31.2 Error Code Pushes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-31
22.31.3 Fault Handling Effects on the Stack. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-32
22.31.4 Interlevel RET/IRET From a 16-Bit Interrupt or Call Gate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-32
22.32 MIXING 16- AND 32-BIT SEGMENTS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-32
22.33 SEGMENT AND ADDRESS WRAPAROUND. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-32
22.33.1 Segment Wraparound. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-33
22.34 STORE BUFFERS AND MEMORY ORDERING . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-33
22.35 BUS LOCKING . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-34
22.36 BUS HOLD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-34
22.37 MODEL-SPECIFIC EXTENSIONS TO THE IA-32 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-34
22.37.1 Model-Specific Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-35
22.37.2 RDMSR and WRMSR Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-35
22.37.3 Memory Type Range Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-35
22.37.4 Machine-Check Exception and Architecture. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-35
22.37.5 Performance-Monitoring Counters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-36
22.38 TWO WAYS TO RUN INTEL 286 PROCESSOR TASKS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-36
C H AP T E R 2 3
I N T R O D U C T I O N T O VI R T U AL-MAC H I N E E XT E N S I O N S
23.1 OVERVIEW . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23-1
23.2 VIRTUAL MACHINE ARCHITECTURE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23-1
23.3 INTRODUCTION TO VMX OPERATION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23-1
23.4 LIFE CYCLE OF VMM SOFTWARE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23-2
23.5 VIRTUAL-MACHINE CONTROL STRUCTURE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23-2
23.6 DISCOVERING SUPPORT FOR VMX. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23-2
23.7 ENABLING AND ENTERING VMX OPERATION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23-3
23.8 RESTRICTIONS ON VMX OPERATION. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23-3
C H AP T E R 2 4
VI R T U AL-MAC H I N E C O N T R O L S T R U C T U R E S
24.1 OVERVIEW . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24-1
24.2 FORMAT OF THE VMCS REGION. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24-2
24.3 ORGANIZATION OF VMCS DATA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24-3
24.4 GUEST-STATE AREA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24-4
24.4.1 Guest Register State. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-4
24.4.2 Guest Non-Register State. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-5
24.5 HOST-STATE AREA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24-7
24.6 VM-EXECUTION CONTROL FIELDS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24-8
24.6.1 Pin-Based VM-Execution Controls. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-8
24.6.2 Processor-Based VM-Execution Controls. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-9
24.6.3 Exception Bitmap. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-11
24.6.4 I/O-Bitmap Addresses. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-11
24.6.5 Time-Stamp Counter Offset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-11
24.6.6 Guest/Host Masks and Read Shadows for CR0 and CR4. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-11
24.6.7 CR3-Target Controls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-12
24.6.8 Controls for APIC Virtualization. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-12
24.6.9 MSR-Bitmap Address. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-13
24.6.10 Executive-VMCS Pointer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-13
24.6.11 Extended-Page-Table Pointer (EPTP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-14
24.6.12 Virtual-Processor Identifier (VPID). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-14
24.6.13 Controls for PAUSE-Loop Exiting . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-14
24.6.14 VM-Function Controls. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-14
24.6.15 VMCS Shadowing Bitmap Addresses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-15
24.6.16 Controls for Virtualization Exceptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-15
Vol. 3A xxi
C O N T E N T S
PAGE
24.7 VM-EXIT CONTROL FIELDS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24-15
24.7.1 VM-Exit Controls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-15
24.7.2 VM-Exit Controls for MSRs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-16
24.8 VM-ENTRY CONTROL FIELDS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24-17
24.8.1 VM-Entry Controls. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-17
24.8.2 VM-Entry Controls for MSRs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-18
24.8.3 VM-Entry Controls for Event Injection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-18
24.9 VM-EXIT INFORMATION FIELDS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24-19
24.9.1 Basic VM-Exit Information. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-19
24.9.2 Information for VMExits Due to Vectored Events . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-20
24.9.3 Information for VMExits That Occur During Event Delivery. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-21
24.9.4 Information for VMExits Due to Instruction Execution. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-21
24.9.5 VM-Instruction Error Field. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-22
24.10 VMCS TYPES: ORDINARY AND SHADOW . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24-22
24.11 SOFTWARE USE OF THE VMCS AND RELATED STRUCTURES. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24-22
24.11.1 Software Use of Virtual-Machine Control Structures. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-22
24.11.2 VMREAD, VMWRITE, and Encodings of VMCS Fields. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-23
24.11.3 Initializing a VMCS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-25
24.11.4 Software Access to Related Structures. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-25
24.11.5 VMXON Region. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-26
C H AP T E R 2 5
VMX N O N -R O O T O P E R AT I O N
25.1 INSTRUCTIONS THAT CAUSE VMEXITS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25-1
25.1.1 Relative Priority of Faults and VMExits. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25-1
25.1.2 Instructions That Cause VMExits Unconditionally . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25-2
25.1.3 Instructions That Cause VMExits Conditionally. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25-2
25.2 OTHER CAUSES OF VMEXITS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25-5
25.3 CHANGES TO INSTRUCTION BEHAVIOR IN VMX NON-ROOT OPERATION. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25-6
25.4 OTHER CHANGES IN VMX NON-ROOT OPERATION. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25-9
25.4.1 Event Blocking . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25-9
25.4.2 Treatment of Task Switches . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .25-10
25.5 FEATURES SPECIFIC TO VMX NON-ROOT OPERATION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25-11
25.5.1 VMX-Preemption Timer. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .25-11
25.5.2 Monitor Trap Flag . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .25-11
25.5.3 Translation of Guest-Physical Addresses Using EPT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .25-12
25.5.4 APIC Virtualization. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .25-12
25.5.5 VMFunctions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .25-12
25.5.5.1 Enabling VMFunctions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .25-12
25.5.5.2 General Operation of the VMFUNC Instruction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .25-13
25.5.5.3 EPTP Switching . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .25-13
25.5.6 Virtualization Exceptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .25-14
25.5.6.1 Convertible EPT Violations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .25-15
25.5.6.2 Virtualization-Exception Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .25-15
25.5.6.3 Delivery of Virtualization Exceptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .25-16
25.6 UNRESTRICTED GUESTS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25-16
C H AP T E R 2 6
VM E N T R I E S
26.1 BASIC VM-ENTRY CHECKS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26-2
26.2 CHECKS ON VMX CONTROLS AND HOST-STATE AREA. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26-2
26.2.1 Checks on VMX Controls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26-2
26.2.1.1 VM-Execution Control Fields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26-2
26.2.1.2 VM-Exit Control Fields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26-5
26.2.1.3 VM-Entry Control Fields. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26-5
26.2.2 Checks on Host Control Registers and MSRs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26-6
26.2.3 Checks on Host Segment and Descriptor-Table Registers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26-7
26.2.4 Checks Related to Address-Space Size. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26-7
26.3 CHECKING AND LOADING GUEST STATE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26-7
26.3.1 Checks on the Guest State Area. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26-8
26.3.1.1 Checks on Guest Control Registers, Debug Registers, and MSRs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26-8
26.3.1.2 Checks on Guest Segment Registers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26-9
CONTENTS
xxii Vol. 3A
PAGE
26.3.1.3 Checks on Guest Descriptor-Table Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26-11
26.3.1.4 Checks on Guest RIP and RFLAGS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26-11
26.3.1.5 Checks on Guest Non-Register State. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26-12
26.3.1.6 Checks on Guest Page-Directory-Pointer-Table Entries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26-13
26.3.2 Loading Guest State . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26-14
26.3.2.1 Loading Guest Control Registers, Debug Registers, and MSRs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26-14
26.3.2.2 Loading Guest Segment Registers and Descriptor-Table Registers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26-15
26.3.2.3 Loading Guest RIP, RSP, and RFLAGS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26-16
26.3.2.4 Loading Page-Directory-Pointer-Table Entries. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26-16
26.3.2.5 Updating Non-Register State. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26-16
26.3.3 Clearing Address-Range Monitoring. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26-17
26.4 LOADING MSRS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26-17
26.5 EVENT INJECTION. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26-17
26.5.1 Vectored-Event Injection. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26-18
26.5.1.1 Details of Vectored-Event Injection. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26-18
26.5.1.2 VMExits During Event Injection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26-19
26.5.1.3 Event Injection for VM Entries to Real-Address Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26-20
26.5.2 Injection of Pending MTF VM Exits. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26-20
26.6 SPECIAL FEATURES OF VMENTRY. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26-20
26.6.1 Interruptibility State. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26-21
26.6.2 Activity State . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26-21
26.6.3 Delivery of Pending Debug Exceptions after VMEntry. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26-22
26.6.4 VMX-Preemption Timer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26-23
26.6.5 Interrupt-Window Exiting and Virtual-Interrupt Delivery . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26-23
26.6.6 NMI-Window Exiting . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26-23
26.6.7 VM Exits Induced by the TPR Threshold. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26-23
26.6.8 Pending MTF VMExits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26-24
26.6.9 VMEntries and Advanced Debugging Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26-24
26.7 VM-ENTRY FAILURES DURING OR AFTER LOADING GUEST STATE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26-24
26.8 MACHINE-CHECK EVENTS DURING VMENTRY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26-25
C H AP T E R 2 7
VM E XI T S
27.1 ARCHITECTURAL STATE BEFORE A VMEXIT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27-1
27.2 RECORDING VM-EXIT INFORMATION AND UPDATING VM-ENTRY CONTROL FIELDS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27-3
27.2.1 Basic VM-Exit Information. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .27-4
27.2.2 Information for VMExits Due to Vectored Events . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .27-10
27.2.3 Information for VMExits During Event Delivery . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .27-11
27.2.4 Information for VMExits Due to Instruction Execution. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .27-12
27.3 SAVING GUEST STATE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27-20
27.3.1 Saving Control Registers, Debug Registers, and MSRs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .27-20
27.3.2 Saving Segment Registers and Descriptor-Table Registers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .27-21
27.3.3 Saving RIP, RSP, and RFLAGS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .27-21
27.3.4 Saving Non-Register State . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .27-23
27.4 SAVING MSRS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27-24
27.5 LOADING HOST STATE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27-24
27.5.1 Loading Host Control Registers, Debug Registers, MSRs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .27-25
27.5.2 Loading Host Segment and Descriptor-Table Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .27-26
27.5.3 Loading Host RIP, RSP, and RFLAGS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .27-27
27.5.4 Checking and Loading Host Page-Directory-Pointer-Table Entries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .27-27
27.5.5 Updating Non-Register State. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .27-28
27.5.6 Clearing Address-Range Monitoring. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .27-28
27.6 LOADING MSRS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27-28
27.7 VMXABORTS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27-29
27.8 MACHINE-CHECK EVENTS DURING VMEXIT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27-29
C H AP T E R 2 8
VMX S U P P O R T F O R AD D R E S S T R AN S LAT I O N
28.1 VIRTUAL PROCESSOR IDENTIFIERS (VPIDS) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28-1
28.2 THE EXTENDED PAGE TABLE MECHANISM (EPT). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28-1
28.2.1 EPT Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .28-1
28.2.2 EPT Translation Mechanism . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .28-3
Vol. 3A xxiii
C O N T E N T S
PAGE
28.2.3 EPT-Induced VMExits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28-7
28.2.3.1 EPT Misconfigurations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28-7
28.2.3.2 EPT Violations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28-8
28.2.3.3 Prioritization of EPT-Induced VMExits. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .28-10
28.2.4 Accessed and Dirty Flags for EPT. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .28-11
28.2.5 EPT and Memory Typing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .28-11
28.2.5.1 Memory Type Used for Accessing EPT Paging Structures. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .28-11
28.2.5.2 Memory Type Used for Translated Guest-Physical Addresses. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .28-12
28.3 CACHING TRANSLATION INFORMATION. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28-12
28.3.1 Information That May Be Cached. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .28-13
28.3.2 Creating and Using Cached Translation Information. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .28-13
28.3.3 Invalidating Cached Translation Information. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .28-14
28.3.3.1 Operations that Invalidate Cached Mappings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .28-14
28.3.3.2 Operations that Need Not Invalidate Cached Mappings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .28-16
28.3.3.3 Guidelines for Use of the INVVPID Instruction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .28-16
28.3.3.4 Guidelines for Use of the INVEPT Instruction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .28-17
C H AP T E R 2 9
AP I C VI R T U ALI Z AT I O N AN D VI R T U AL I N T E R R U P T S
29.1 VIRTUAL APIC STATE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29-1
29.1.1 Virtualized APIC Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29-1
29.1.2 TPR Virtualization. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29-2
29.1.3 PPR Virtualization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29-2
29.1.4 EOI Virtualization. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29-3
29.1.5 Self-IPI Virtualization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29-3
29.2 EVALUATION AND DELIVERY OF VIRTUAL INTERRUPTS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29-3
29.2.1 Evaluation of Pending Virtual Interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29-3
29.2.2 Virtual-Interrupt Delivery . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29-4
29.3 VIRTUALIZING CR8-BASED TPR ACCESSES. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29-4
29.4 VIRTUALIZING MEMORY-MAPPED APIC ACCESSES. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29-5
29.4.1 Priority of APIC-Access VMExits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29-6
29.4.2 Virtualizing Reads from the APIC-Access Page . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29-6
29.4.3 Virtualizing Writes to the APIC-Access Page. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29-7
29.4.3.1 Determining Whether a Write Access is Virtualized. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29-7
29.4.3.2 APIC-Write Emulation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29-8
29.4.3.3 APIC-Write VMExits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29-9
29.4.4 Instruction-Specific Considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29-9
29.4.5 Issues Pertaining to Page Size and TLB Management . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .29-10
29.4.6 APIC Accesses Not Directly Resulting From Linear Addresses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .29-10
29.4.6.1 Guest-Physical Accesses to the APIC-Access Page. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .29-11
29.4.6.2 Physical Accesses to the APIC-Access Page. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .29-11
29.5 VIRTUALIZING MSR-BASED APIC ACCESSES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29-12
29.6 POSTED-INTERRUPT PROCESSING. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29-13
C H AP T E R 3 0
V MX I N S T R U C T I O N R E F E R E N C E
30.1 OVERVIEW . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30-1
30.2 CONVENTIONS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30-2
30.3 VMX INSTRUCTIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30-2
INVEPT Invalidate Translations Derived fromEPT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30-3
INVVPID Invalidate Translations Based on VPID . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30-6
VMCALLCall to VM Monitor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30-9
VMCLEARClear Virtual-Machine Control Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .30-11
VMFUNCInvoke VMfunction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .30-13
VMLAUNCH/VMRESUMELaunch/Resume Virtual Machine . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .30-14
VMPTRLDLoad Pointer to Virtual-Machine Control Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .30-17
VMPTRSTStore Pointer to Virtual-Machine Control Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .30-19
VMREADRead Field from Virtual-Machine Control Structure. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .30-21
VMRESUMEResume Virtual Machine . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .30-23
VMWRITEWrite Field to Virtual-Machine Control Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .30-24
VMXOFFLeave VMX Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .30-27
CONTENTS
xxiv Vol. 3A
PAGE
VMXONEnter VMX Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .30-29
30.4 VM INSTRUCTION ERROR NUMBERS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30-31
C H AP T E R 3 1
VI R T U AL-MAC H I N E MO N I T O R P R O G R AMMI N G C O N S I D E R AT I O N S
31.1 VMX SYSTEM PROGRAMMING OVERVIEW . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31-1
31.2 SUPPORTING PROCESSOR OPERATING MODES IN GUEST ENVIRONMENTS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31-1
31.2.1 Using Unrestricted Guest Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31-1
31.3 MANAGING VMCS REGIONS AND POINTERS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31-2
31.4 USING VMX INSTRUCTIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31-2
31.5 VMM SETUP & TEAR DOWN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31-4
31.5.1 Algorithms for Determining VMX Capabilities. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31-5
31.6 PREPARATION AND LAUNCHING A VIRTUAL MACHINE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31-6
31.7 HANDLING OF VM EXITS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31-7
31.7.1 Handling VMExits Due to Exceptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31-8
31.7.1.1 Reflecting Exceptions to Guest Software . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31-8
31.7.1.2 Resuming Guest Software after Handling an Exception. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31-9
31.8 MULTI-PROCESSOR CONSIDERATIONS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31-10
31.8.1 Initialization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31-11
31.8.2 Moving a VMCS Between Processors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31-11
31.8.3 Paired Index-Data Registers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31-11
31.8.4 External Data Structures. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31-11
31.8.5 CPUID Emulation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31-12
31.9 32-BIT AND 64-BIT GUEST ENVIRONMENTS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31-12
31.9.1 Operating Modes of Guest Environments. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31-12
31.9.2 Handling Widths of VMCS Fields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31-12
31.9.2.1 Natural-Width VMCS Fields. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31-13
31.9.2.2 64-Bit VMCS Fields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31-13
31.9.3 IA-32e Mode Hosts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31-13
31.9.4 IA-32e Mode Guests . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31-13
31.9.5 32-Bit Guests . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31-14
31.10 HANDLING MODEL SPECIFIC REGISTERS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31-14
31.10.1 Using VM-Execution Controls. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31-14
31.10.2 Using VM-Exit Controls for MSRs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31-15
31.10.3 Using VM-Entry Controls for MSRs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31-15
31.10.4 Handling Special-Case MSRs and Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31-15
31.10.4.1 Handling IA32_EFER MSR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31-16
31.10.4.2 Handling the SYSENTER and SYSEXIT Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31-16
31.10.4.3 Handling the SYSCALL and SYSRET Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31-16
31.10.4.4 Handling the SWAPGS Instruction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31-16
31.10.4.5 Implementation Specific Behavior on Writing to Certain MSRs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31-16
31.10.5 Handling Accesses to Reserved MSR Addresses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31-17
31.11 HANDLING ACCESSES TO CONTROL REGISTERS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31-17
31.12 PERFORMANCE CONSIDERATIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31-17
31.13 USE OF THE VMX-PREEMPTION TIMER. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31-17
C H AP T E R 3 2
VI R T U ALI Z AT I O N O F S YS T E M R E S O U R C E S
32.1 OVERVIEW . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32-1
32.2 VIRTUALIZATION SUPPORT FOR DEBUGGING FACILITIES. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32-1
32.2.1 Debug Exceptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .32-1
32.3 MEMORY VIRTUALIZATION. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32-2
32.3.1 Processor Operating Modes & Memory Virtualization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .32-2
32.3.2 Guest & Host Physical Address Spaces. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .32-2
32.3.3 Virtualizing Virtual Memory by Brute Force . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .32-3
32.3.4 Alternate Approach to Memory Virtualization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .32-3
32.3.5 Details of Virtual TLB Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .32-4
32.3.5.1 Initialization of Virtual TLB. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .32-5
32.3.5.2 Response to Page Faults. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .32-5
32.3.5.3 Response to Uses of INVLPG. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .32-7
32.3.5.4 Response to CR3 Writes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .32-8
32.4 MICROCODE UPDATE FACILITY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32-8
Vol. 3A xxv
C O N T E N T S
PAGE
32.4.1 Early Load of Microcode Updates. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32-8
32.4.2 Late Load of Microcode Updates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32-8
C H AP T E R 3 3
H AN D LI N G B O U N D AR Y C O N D I T I O N S I N A VI R T U AL MAC H I N E MO N I T O R
33.1 OVERVIEW . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33-1
33.2 INTERRUPT HANDLING IN VMX OPERATION. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33-1
33.3 EXTERNAL INTERRUPT VIRTUALIZATION. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33-2
33.3.1 Virtualization of Interrupt Vector Space . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33-3
33.3.2 Control of PlatformInterrupts. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33-4
33.3.2.1 PIC Virtualization. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33-4
33.3.2.2 xAPIC Virtualization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33-5
33.3.2.3 Local APIC Virtualization. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33-5
33.3.2.4 I/O APIC Virtualization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33-6
33.3.2.5 Virtualization of Message Signaled Interrupts. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33-6
33.3.3 Examples of Handling of External Interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33-6
33.3.3.1 Guest Setup. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33-6
33.3.3.2 Processor Treatment of External Interrupt . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33-6
33.3.3.3 Processing of External Interrupts by VMM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33-7
33.3.3.4 Generation of Virtual Interrupt Events by VMM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33-7
33.4 ERROR HANDLING BY VMM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33-8
33.4.1 VM-Exit Failures. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33-8
33.4.2 Machine-Check Considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33-8
33.4.3 MCA Error Handling Guidelines for VMM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33-9
33.4.3.1 VMM Error Handling Strategies. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .33-10
33.4.3.2 Basic VMM MCA error recovery handling. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .33-10
33.4.3.3 Implementation Considerations for the Basic Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .33-10
33.4.3.4 MCA Virtualization. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .33-10
33.4.3.5 Implementation Considerations for the MCA Virtualization Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .33-11
33.5 HANDLING ACTIVITY STATES BY VMM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33-11
C H AP T E R 3 4
S YS T E M MAN AG E ME N T MO D E
34.1 SYSTEM MANAGEMENT MODE OVERVIEW. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34-1
34.1.1 SystemManagement Mode and VMX Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34-1
34.2 SYSTEM MANAGEMENT INTERRUPT (SMI). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34-2
34.3 SWITCHING BETWEEN SMM AND THE OTHER PROCESSOR OPERATING MODES. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34-2
34.3.1 Entering SMM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34-2
34.3.2 Exiting From SMM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34-3
34.4 SMRAM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34-3
34.4.1 SMRAM State Save Map. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34-4
34.4.1.1 SMRAM State Save Map and Intel 64 Architecture. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34-6
34.4.2 SMRAM Caching . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34-8
34.4.2.1 SystemManagement Range Registers (SMRR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34-9
34.5 SMI HANDLER EXECUTION ENVIRONMENT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34-9
34.5.1 Initial SMM Execution Environment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34-9
34.5.2 SMI Handler Operating Mode Switching . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-10
34.6 EXCEPTIONS AND INTERRUPTS WITHIN SMM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34-10
34.7 MANAGING SYNCHRONOUS AND ASYNCHRONOUS SYSTEM MANAGEMENT INTERRUPTS. . . . . . . . . . . . . . . . . . . . . . . . . . . 34-11
34.7.1 I/O State Implementation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-12
34.8 NMI HANDLING WHILE IN SMM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34-13
34.9 SMM REVISION IDENTIFIER. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34-13
34.10 AUTO HALT RESTART . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34-13
34.10.1 Executing the HLT Instruction in SMM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-14
34.11 SMBASE RELOCATION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34-14
34.12 I/O INSTRUCTION RESTART . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34-15
34.12.1 Back-to-Back SMI Interrupts When I/O Instruction Restart Is Being Used. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-16
34.13 SMM MULTIPLE-PROCESSOR CONSIDERATIONS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34-16
34.14 DEFAULT TREATMENT OF SMIS AND SMM WITH VMX OPERATION AND SMX OPERATION . . . . . . . . . . . . . . . . . . . . . . . . . . 34-16
34.14.1 Default Treatment of SMI Delivery . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-16
34.14.2 Default Treatment of RSM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-17
34.14.3 Protection of CR4.VMXE in SMM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-18
CONTENTS
xxvi Vol. 3A
PAGE
34.14.4 VMXOFF and SMI Unblocking . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-18
34.15 DUAL-MONITOR TREATMENT OF SMIs AND SMM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34-19
34.15.1 Dual-Monitor Treatment Overview. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-19
34.15.2 SMM VMExits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-19
34.15.2.1 Architectural State Before a VMExit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-20
34.15.2.2 Updating the Current-VMCS and Executive-VMCS Pointers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-20
34.15.2.3 Recording VM-Exit Information. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-20
34.15.2.4 Saving Guest State . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-21
34.15.2.5 Updating Non-Register State. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-21
34.15.3 Operation of the SMM-Transfer Monitor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-21
34.15.4 VMEntries that Return from SMM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-22
34.15.4.1 Checks on the Executive-VMCS Pointer Field. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-22
34.15.4.2 Checks on VM-Execution Control Fields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-22
34.15.4.3 Checks on VM-Entry Control Fields. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-22
34.15.4.4 Checks on the Guest State Area. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-23
34.15.4.5 Loading Guest State . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-23
34.15.4.6 VMX-Preemption Timer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-23
34.15.4.7 Updating the Current-VMCS and SMM-Transfer VMCS Pointers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-23
34.15.4.8 VMExits Induced by VMEntry. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-24
34.15.4.9 SMI Blocking. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-24
34.15.4.10 Failures of VMEntries That Return from SMM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-24
34.15.5 Enabling the Dual-Monitor Treatment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-24
34.15.6 Activating the Dual-Monitor Treatment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-26
34.15.6.1 Initial Checks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-26
34.15.6.2 MSEG Checking . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-26
34.15.6.3 Updating the Current-VMCS and Executive-VMCS Pointers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-27
34.15.6.4 Saving Guest State . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-27
34.15.6.5 Saving MSRs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-27
34.15.6.6 Loading Host State . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-27
34.15.6.7 Loading MSRs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-29
34.15.7 Deactivating the Dual-Monitor Treatment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-29
34.16 SMI AND PROCESSOR EXTENDED STATE MANAGEMENT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34-29
34.17 MODEL-SPECIFIC SYSTEM MANAGEMENT ENHANCEMENT. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34-29
34.17.1 SMM Handler Code Access Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-29
34.17.2 SMI Delivery Delay Reporting. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-30
34.17.3 Blocked SMI Reporting . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-30
C H AP T E R 3 5
MO D E L-S P E C I F I C R E G I S T E R S (MS R S )
35.1 ARCHITECTURAL MSRS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35-2
35.2 MSRS IN THE INTEL

CORE

2 PROCESSOR FAMILY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35-29
35.3 MSRS IN THE INTEL

ATOM

PROCESSOR FAMILY. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35-43
35.4 MSRS IN THE INTEL

MICROARCHITECTURE CODE NAME NEHALEM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35-54


35.4.1 Additional MSRs in the Intel

Xeon

Processor 5500 and 3400 Series. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .35-70


35.4.2 Additional MSRs in the Intel

Xeon

Processor 7500 Series. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .35-72


35.5 MSRS IN THE INTEL

XEON

PROCESSOR 5600 SERIES (BASED ON INTEL

MICROARCHITECTURE CODE NAME


WESTMERE) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35-87
35.6 MSRS IN THE INTEL

XEON

PROCESSOR E7 FAMILY (BASED ON INTEL

MICROARCHITECTURE CODE NAME


WESTMERE) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35-88
35.7 MSRS IN INTEL

PROCESSOR FAMILY BASED ON INTEL

MICROARCHITECTURE CODE NAME SANDY BRIDGE. . . . . . . 35-89


35.7.1 MSRs In 2nd Generation Intel

CoreProcessor Family (Based on Intel

Microarchitecture Code Name Sandy


Bridge). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35-105
35.7.2 MSRs In Intel

Xeon

Processor E5 Family (Based on Intel

Microarchitecture Code Name Sandy Bridge). . . . . 35-108


35.8 MSRS IN THE 3RD GENERATION INTELCORE

PROCESSOR FAMILY (BASED ON INTELMICROARCHITECTURE CODE


NAME IVY BRIDGE). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35-111
35.8.1 MSRs In Intel

Xeon

Processor E5 Family v2 (Based on Intel

Microarchitecture Code Name Ivy Bridge). . . . . 35-113


35.8.2 Additional MSRs Supported by Next Generation IntelXeon Processor E7 family. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35-116
35.9 MSRS IN THE 4TH GENERATION INTEL

CORE

PROCESSORS (BASED ON INTEL

MICROARCHITECTURE CODE
NAME HASWELL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35-117
35.9.1 Additional MSRs Supported by 4th Generation IntelCoreProcessors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35-120
35.9.2 MSRs In 4th Generation Intel

CoreProcessor Family (Based on Intel

Microarchitecture Code Name Haswell)35-121


35.10 MSRS IN FUTURE GENERATION INTEL

XEON

PROCESSORS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35-125
35.11 MSRS IN THE PENTIUM

4 AND INTEL

XEON

PROCESSORS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35-126
35.11.1 MSRs Unique to IntelXeonProcessor MP with L3 Cache. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35-150
Vol. 3A xxvii
C O N T E N T S
PAGE
35.12 MSRS IN INTEL

CORE

SOLO AND INTEL

CORE

DUO PROCESSORS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35-152
35.13 MSRS IN THE PENTIUM M PROCESSOR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35-161
35.14 MSRS IN THE P6 FAMILY PROCESSORS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35-168
35.15 MSRS IN PENTIUM PROCESSORS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35-176
AP P E N D I X A
VMX C AP AB I LI T Y R E P O R T I N G FAC I LI T Y
A.1 BASIC VMX INFORMATION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-1
A.2 RESERVED CONTROLS AND DEFAULT SETTINGS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-2
A.3 VM-EXECUTION CONTROLS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-2
A.3.1 Pin-Based VM-Execution Controls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-3
A.3.2 Primary Processor-Based VM-Execution Controls. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-3
A.3.3 Secondary Processor-Based VM-Execution Controls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-4
A.4 VM-EXIT CONTROLS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-4
A.5 VM-ENTRY CONTROLS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-5
A.6 MISCELLANEOUS DATA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-5
A.7 VMX-FIXED BITS IN CR0. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-6
A.8 VMX-FIXED BITS IN CR4. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-6
A.9 VMCS ENUMERATION. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-7
A.10 VPID AND EPT CAPABILITIES. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-7
A.11 VM FUNCTIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-8
AP P E N D I X B
F I E LD E N C O D I N G I N VMC S
B.1 16-BIT FIELDS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-1
B.1.1 16-Bit Control Fields. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-1
B.1.2 16-Bit Guest-State Fields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-1
B.1.3 16-Bit Host-State Fields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-2
B.2 64-BIT FIELDS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-2
B.2.1 64-Bit Control Fields. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-2
B.2.2 64-Bit Read-Only Data Field. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-4
B.2.3 64-Bit Guest-State Fields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-4
B.2.4 64-Bit Host-State Fields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-5
B.3 32-BIT FIELDS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-5
B.3.1 32-Bit Control Fields. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-5
B.3.2 32-Bit Read-Only Data Fields. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-6
B.3.3 32-Bit Guest-State Fields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-6
B.3.4 32-Bit Host-State Field . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-7
B.4 NATURAL-WIDTH FIELDS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-7
B.4.1 Natural-Width Control Fields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-8
B.4.2 Natural-Width Read-Only Data Fields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-8
B.4.3 Natural-Width Guest-State Fields. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-8
B.4.4 Natural-Width Host-State Fields. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-9
AP P E N D I X C
VMX B AS I C E XI T R E AS O N S
CONTENTS
xxviii Vol. 3A
PAGE
F I G U R E S
Figure 1-1. Bit and Byte Order. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1-6
Figure 1-2. Syntax for CPUID, CR, and MSR Data Presentation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1-7
Figure 2-1. IA-32 System-Level Registers and Data Structures. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-2
Figure 2-2. System-Level Registers and Data Structures in IA-32e Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-3
Figure 2-3. Transitions Among the Processors Operating Modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-8
Figure 2-4. IA32_EFER MSR Layout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-9
Figure 2-5. SystemFlags in the EFLAGS Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-10
Figure 2-6. Memory Management Registers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-12
Figure 2-7. Control Registers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-14
Figure 2-8. XCR0 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-19
Figure 2-9. WBINVD Invalidation of Shared and Non-Shared Cache Hierarchy. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-23
Figure 3-1. Segmentation and Paging. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-2
Figure 3-2. Flat Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-3
Figure 3-3. Protected Flat Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-4
Figure 3-4. Multi-Segment Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-5
Figure 3-5. Logical Address to Linear Address Translation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-7
Figure 3-6. Segment Selector. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-7
Figure 3-7. Segment Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-8
Figure 3-8. Segment Descriptor. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-10
Figure 3-9. Segment Descriptor When Segment-Present Flag Is Clear. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-11
Figure 3-10. Global and Local Descriptor Tables. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-15
Figure 3-11. Pseudo-Descriptor Formats . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-16
Figure 4-1. Enabling and Changing Paging Modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-3
Figure 4-2. Linear-Address Translation to a 4-KByte Page using 32-Bit Paging. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-8
Figure 4-4. Formats of CR3 and Paging-Structure Entries with 32-Bit Paging . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-9
Figure 4-3. Linear-Address Translation to a 4-MByte Page using 32-Bit Paging. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-9
Figure 4-5. Linear-Address Translation to a 4-KByte Page using PAE Paging . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-15
Figure 4-6. Linear-Address Translation to a 2-MByte Page using PAE Paging. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-16
Figure 4-7. Formats of CR3 and Paging-Structure Entries with PAE Paging. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-18
Figure 4-8. Linear-Address Translation to a 4-KByte Page using IA-32e Paging . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-20
Figure 4-9. Linear-Address Translation to a 2-MByte Page using IA-32e Paging . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-21
Figure 4-10. Linear-Address Translation to a 1-GByte Page using IA-32e Paging . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-21
Figure 4-11. Formats of CR3 and Paging-Structure Entries with IA-32e Paging. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-28
Figure 4-12. Page-Fault Error Code . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-30
Figure 4-13. Memory Management Convention That Assigns a Page Tableto Each Segment. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-45
Figure 5-1. Descriptor Fields Used for Protection. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-3
Figure 5-2. Descriptor Fields with Flags used in IA-32e Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-4
Figure 5-3. Protection Rings. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-7
Figure 5-4. Privilege Check for Data Access . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-8
Figure 5-5. Examples of Accessing Data Segments FromVarious Privilege Levels . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5-9
Figure 5-6. Privilege Check for Control Transfer Without Using a Gate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-11
Figure 5-7. Examples of Accessing Conforming and Nonconforming Code Segments From Various Privilege Levels. . . . . . . . . . 5-12
Figure 5-8. Call-Gate Descriptor. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-13
Figure 5-9. Call-Gate Descriptor in IA-32e Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-14
Figure 5-10. Call-Gate Mechanism. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-15
Figure 5-11. Privilege Check for Control Transfer with Call Gate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-16
Figure 5-12. Example of Accessing Call Gates At Various Privilege Levels . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-17
Figure 5-13. Stack Switching During an Interprivilege-Level Call . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-19
Figure 5-14. MSRs Used by SYSCALL and SYSRET. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-23
Figure 5-15. Use of RPL to Weaken Privilege Level of Called Procedure. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-26
Figure 6-1. Relationship of the IDTR and IDT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-10
Figure 6-2. IDT Gate Descriptors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-11
Figure 6-3. Interrupt Procedure Call. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-12
Figure 6-4. Stack Usage on Transfers to Interrupt and Exception-Handling Routines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-13
Figure 6-5. Interrupt Task Switch. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-15
Figure 6-6. Error Code . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-16
Figure 6-7. 64-Bit IDT Gate Descriptors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-17
Figure 6-8. IA-32e Mode Stack Usage After Privilege Level Change. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-19
Figure 6-9. Page-Fault Error Code . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-41
Figure 7-1. Structure of a Task . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .7-2
Figure 7-2. 32-Bit Task-State Segment (TSS). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .7-4
Figure 7-3. TSS Descriptor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .7-6
Vol. 3A xxix
C O N T E N T S
PAGE
Figure 7-4. Format of TSS and LDT Descriptors in 64-bit Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .7-7
Figure 7-5. Task Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .7-8
Figure 7-6. Task-Gate Descriptor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .7-8
Figure 7-7. Task Gates Referencing the Same Task. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .7-9
Figure 7-8. Nested Tasks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-12
Figure 7-9. Overlapping Linear-to-Physical Mappings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-15
Figure 7-10. 16-Bit TSS Format. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-16
Figure 7-11. 64-Bit TSS Format. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-17
Figure 8-1. Example of Write Ordering in Multiple-Processor Systems . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8-7
Figure 8-2. Interpretation of APIC ID in Early MP Systems. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-23
Figure 8-3. Local APICs and I/O APIC in MP System Supporting Intel HT Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-26
Figure 8-4. IA-32 Processor with Two Logical Processors Supporting Intel HT Technology. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-27
Figure 8-5. Generalized Four level Interpretation of the APIC ID. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-34
Figure 8-6. Conceptual Five-level Topology and 32-bit APIC ID Composition. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-34
Figure 8-7. Topological Relationships between Hierarchical IDs in a Hypothetical MP Platform . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-36
Figure 8-1. MP System With Multiple Pentium III Processors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-54
Figure 9-1. Contents of CR0 Register after Reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .9-4
Figure 9-2. Version Information in the EDX Register after Reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .9-5
Figure 9-3. Processor State After Reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-15
Figure 9-4. Constructing Temporary GDT and Switching to Protected Mode (Lines 162-172 of List File). . . . . . . . . . . . . . . . . . . . 9-23
Figure 9-5. Moving the GDT, IDT, and TSS from ROM to RAM (Lines 196-261 of List File) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-24
Figure 9-6. Task Switching (Lines 282-296 of List File) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-25
Figure 9-7. Applying Microcode Updates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-28
Figure 9-8. Microcode Update Write Operation Flow [1]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-45
Figure 9-9. Microcode Update Write Operation Flow [2]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-46
Figure 10-1. Relationship of Local APIC and I/O APIC In Single-Processor Systems . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-2
Figure 10-2. Local APICs and I/O APIC When Intel Xeon Processors Are Used in Multiple-Processor Systems . . . . . . . . . . . . . . . . . 10-3
Figure 10-3. Local APICs and I/O APIC When P6 Family Processors Are Used in Multiple-Processor Systems. . . . . . . . . . . . . . . . . . 10-3
Figure 10-4. Local APIC Structure. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-5
Figure 10-5. IA32_APIC_BASE MSR (APIC_BASE_MSR in P6 Family). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-9
Figure 10-6. Local APIC ID Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-10
Figure 10-7. Local APIC Version Register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-12
Figure 10-8. Local Vector Table (LVT). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-13
Figure 10-9. Error Status Register (ESR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-15
Figure 10-10. Divide Configuration Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-16
Figure 10-11. Initial Count and Current Count Registers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-17
Figure 10-12. Interrupt Command Register (ICR). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-20
Figure 10-13. Logical Destination Register (LDR). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-24
Figure 10-14. Destination Format Register (DFR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-24
Figure 10-15. Arbitration Priority Register (APR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-25
Figure 10-16. Interrupt Acceptance Flow Chart for the Local APIC (Pentium 4 and Intel Xeon Processors). . . . . . . . . . . . . . . . . . . . 10-27
Figure 10-17. Interrupt Acceptance Flow Chart for the Local APIC (P6 Family and Pentium Processors). . . . . . . . . . . . . . . . . . . . . . 10-28
Figure 10-18. Task-Priority Register (TPR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-29
Figure 10-19. Processor-Priority Register (PPR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-29
Figure 10-20. IRR, ISR and TMR Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-30
Figure 10-21. EOI Register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-31
Figure 10-22. CR8 Register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-32
Figure 10-23. Spurious-Interrupt Vector Register (SVR). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-33
Figure 10-24. Layout of the MSI Message Address Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-34
Figure 10-25. Layout of the MSI Message Data Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-36
Figure 10-26. IA32_APIC_BASE MSR Supporting x2APIC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-37
Figure 10-27. Local x2APIC State Transitions with IA32_APIC_BASE, INIT, and Reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-42
Figure 10-28. Interrupt Command Register (ICR) in x2APIC Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-45
Figure 10-29. Logical Destination Register in x2APIC Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-46
Figure 10-30. SELF IPI register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-47
Figure 11-1. Cache Structure of the Pentium 4 and Intel Xeon Processors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-1
Figure 11-2. Cache Structure of the Intel Core i7 Processors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-2
Figure 11-3. Cache-Control Registers and Bits Available in Intel 64 and IA-32 Processors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-11
Figure 11-4. Mapping Physical Memory With MTRRs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-21
Figure 11-5. IA32_MTRRCAP Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-22
Figure 11-6. IA32_MTRR_DEF_TYPE MSR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-23
Figure 11-7. IA32_MTRR_PHYSBASEn and IA32_MTRR_PHYSMASKn Variable-Range Register Pair . . . . . . . . . . . . . . . . . . . . . . . . 11-25
Figure 11-8. IA32_SMRR_PHYSBASE and IA32_SMRR_PHYSMASK SMRR Pair. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-26
Figure 11-9. IA32_PAT MSR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-34
Figure 12-1. Mapping of MMX Registers to Floating-Point Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-2
CONTENTS
xxx Vol. 3A
PAGE
Figure 12-2. Mapping of MMX Registers to x87 FPU Data Register Stack. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-5
Figure 13-1. Example of Saving the x87 FPU, MMX, SSE, SSE2, SSE3, and SSSE3 State During an Operating-System
Controlled Task Switch. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-7
Figure 13-2. Future Layout of XSAVE/XRSTOR Area and XSTATE_BV with Five Sets of Processor State Extensions . . . . . . . . . 13-9
Figure 13-3. OS Enabling of Processor Extended State Support. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .13-11
Figure 13-4. Application Detection of New Instruction Extensions and Processor Extended State . . . . . . . . . . . . . . . . . . . . . . . . . .13-12
Figure 14-1. IA32_MPERF MSR and IA32_APERF MSR for P-state Coordination. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-2
Figure 14-2. IA32_PERF_CTL Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-4
Figure 14-3. Periodic Query of Activity Ratio of Opportunistic Processor Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-5
Figure 14-4. IA32_ENERGY_PERF_BIAS Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-6
Figure 14-5. Processor Modulation Through Stop-Clock Mechanism . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-8
Figure 14-6. MSR_THERM2_CTL Register On Processors with CPUID Family/Model/Stepping Signature Encoded as 0x69n or
0x6Dn . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-9
Figure 14-7. MSR_THERM2_CTL Register for Supporting TM2. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-10
Figure 14-8. IA32_THERM_STATUS MSR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-10
Figure 14-9. IA32_THERM_INTERRUPT MSR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-11
Figure 14-10. IA32_CLOCK_MODULATION MSR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-12
Figure 14-11. IA32_CLOCK_MODULATION MSR with Clock Modulation Extension . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-13
Figure 14-12. IA32_THERM_STATUS Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-14
Figure 14-13. IA32_THERM_INTERRUPT Register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-15
Figure 14-14. IA32_PACKAGE_THERM_STATUS Register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-17
Figure 14-15. IA32_PACKAGE_THERM_INTERRUPT Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-18
Figure 14-16. MSR_RAPL_POWER_UNIT Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-20
Figure 14-17. MSR_PKG_POWER_LIMIT Register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-21
Figure 14-18. MSR_PKG_ENERGY_STATUS MSR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-22
Figure 14-19. MSR_PKG_POWER_INFO Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-22
Figure 14-20. MSR_PKG_PERF_STATUS MSR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-23
Figure 14-21. MSR_PP0_POWER_LIMIT/MSR_PP1_POWER_LIMIT Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-23
Figure 14-22. MSR_PP0_ENERGY_STATUS/MSR_PP1_ENERGY_STATUS MSR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-24
Figure 14-23. MSR_PP0_POLICY/MSR_PP1_POLICY Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-24
Figure 14-24. MSR_PP0_PERF_STATUS MSR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-25
Figure 14-25. MSR_DRAM_POWER_LIMIT Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-25
Figure 14-26. MSR_DRAM_ENERGY_STATUS MSR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-26
Figure 14-27. MSR_DRAM_POWER_INFO Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-26
Figure 14-28. MSR_DRAM_PERF_STATUS MSR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-26
Figure 15-1. Machine-Check MSRs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-2
Figure 15-2. IA32_MCG_CAP Register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-2
Figure 15-3. IA32_MCG_STATUS Register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-3
Figure 15-4. IA32_MCi_CTL Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-4
Figure 15-5. IA32_MCi_STATUS Register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-5
Figure 15-6. IA32_MCi_ADDR MSR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-7
Figure 15-7. UCR Support in IA32_MCi_MISC Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-8
Figure 15-8. IA32_MCi_CTL2 Register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-9
Figure 15-9. CMCI Behavior . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-12
Figure 17-1. Debug Registers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-2
Figure 17-2. DR6/DR7 Layout on Processors Supporting Intel64 Architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-6
Figure 17-3. IA32_DEBUGCTL MSR for Processors based on Intel Core

microarchitecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-11
Figure 17-4. 64-bit Address Layout of LBR MSR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-14
Figure 17-5. DS Save Area. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-16
Figure 17-6. 32-bit Branch Trace Record Format. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-17
Figure 17-7. PEBS Record Format. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-17
Figure 17-8. IA-32e Mode DS Save Area. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-18
Figure 17-9. 64-bit Branch Trace Record Format. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-18
Figure 17-10. 64-bit PEBS Record Format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-19
Figure 17-11. IA32_DEBUGCTL MSR for Processors based on Intel microarchitecture code name Nehalem . . . . . . . . . . . . . . . . . . .17-24
Figure 17-12. MSR_DEBUGCTLA MSR for Pentium4 and Intel Xeon Processors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-28
Figure 17-13. LBR MSR Branch Record Layout for the Pentium 4 and Intel Xeon Processor Family. . . . . . . . . . . . . . . . . . . . . . . . . . .17-30
Figure 17-14. IA32_DEBUGCTL MSR for Intel Core Solo and Intel Core

Duo Processors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-31
Figure 17-15. LBR Branch Record Layout for the Intel Core Solo and Intel

Core Duo Processor. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-31
Figure 17-16. MSR_DEBUGCTLB MSR for Pentium M Processors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-32
Figure 17-17. LBR Branch Record Layout for the Pentium M Processor. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-33
Figure 17-18. DEBUGCTLMSR Register (P6 Family Processors). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-34
Figure 17-19. CPUID.(EAX=0FH, ECX=0H) QoS Resource Type Enumeration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-38
Figure 17-20. L3 Cache QoS Monitoring Capability Enumeration (CPUID.(EAX=0FH, ECX=1H) ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-38
Figure 17-21. IA32_PQR_ASSOC, IA32_QM_EVTSEL and IA32_QM_CTR MSRs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-39
Vol. 3A xxxi
C O N T E N T S
PAGE
Figure 18-1. Layout of IA32_PERFEVTSELx MSRs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-3
Figure 18-2. Layout of IA32_FIXED_CTR_CTRL MSR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-5
Figure 18-3. Layout of IA32_PERF_GLOBAL_CTRL MSR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-6
Figure 18-4. Layout of IA32_PERF_GLOBAL_STATUS MSR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-6
Figure 18-5. Layout of IA32_PERF_GLOBAL_OVF_CTRL MSR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-7
Figure 18-6. Layout of IA32_PERFEVTSELx MSRs Supporting Architectural Performance Monitoring Version 3. . . . . . . . . . . . . . 18-7
Figure 18-7. Layout of IA32_FIXED_CTR_CTRL MSR Supporting Architectural Performance Monitoring Version 3. . . . . . . . . . . . 18-8
Figure 18-8. Layout of Global Performance Monitoring Control MSR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-8
Figure 18-9. Global Performance Monitoring Overflow Status and Control MSRs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-9
Figure 18-10. Layout of MSR_PERF_FIXED_CTR_CTRL MSR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-14
Figure 18-11. Layout of MSR_PERF_GLOBAL_CTRL MSR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-14
Figure 18-12. Layout of MSR_PERF_GLOBAL_STATUS MSR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-15
Figure 18-13. Layout of MSR_PERF_GLOBAL_OVF_CTRL MSR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-15
Figure 18-14. IA32_PERF_GLOBAL_STATUS MSR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-19
Figure 18-15. Layout of IA32_PEBS_ENABLE MSR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-20
Figure 18-16. PEBS Programming Environment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-22
Figure 18-17. Layout of MSR_PEBS_LD_LAT MSR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-25
Figure 18-18. Layout of MSR_OFFCORE_RSP_0 and MSR_OFFCORE_RSP_1 to Configure Off-core Response Events . . . . . . . . . 18-25
Figure 18-19. Layout of MSR_UNCORE_PERF_GLOBAL_CTRL MSR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-27
Figure 18-20. Layout of MSR_UNCORE_PERF_GLOBAL_STATUS MSR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-28
Figure 18-21. Layout of MSR_UNCORE_PERF_GLOBAL_OVF_CTRL MSR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-28
Figure 18-22. Layout of MSR_UNCORE_PERFEVTSELx MSRs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-29
Figure 18-23. Layout of MSR_UNCORE_FIXED_CTR_CTRL MSR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-29
Figure 18-24. Layout of MSR_UNCORE_ADDR_OPCODE_MATCH MSR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-30
Figure 18-25. Distributed Units of the Uncore of IntelXeonProcessor 7500 Series. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-31
Figure 18-26. IA32_PERF_GLOBAL_CTRL MSR in IntelMicroarchitecture Code Name Sandy Bridge . . . . . . . . . . . . . . . . . . . . . . . . . 18-34
Figure 18-27. IA32_PERF_GLOBAL_STATUS MSR in IntelMicroarchitecture Code Name Sandy Bridge . . . . . . . . . . . . . . . . . . . . . . 18-35
Figure 18-28. IA32_PERF_GLOBAL_OVF_CTRL MSR in Intel microarchitecture code name Sandy Bridge . . . . . . . . . . . . . . . . . . . . . 18-36
Figure 18-29. Layout of IA32_PEBS_ENABLE MSR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-37
Figure 18-30. Request_Type Fields for MSR_OFFCORE_RSP_x . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-41
Figure 18-31. Response_Supplier and Snoop Info Fields for MSR_OFFCORE_RSP_x. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-42
Figure 18-32. Layout of Uncore PERFEVTSEL MSR for a C-Box Unit or the ARB Unit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-44
Figure 18-33. Layout of MSR_UNC_PERF_GLOBAL_CTRL MSR for Uncore. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-45
Figure 18-34. Layout of IA32_PERFEVTSELx MSRs Supporting Intel TSX. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-51
Figure 18-35. Event Selection Control Register (ESCR) for Pentium 4 and Intel Xeon Processors without Intel HT Technology
Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-57
Figure 18-36. Performance Counter (Pentium4 and Intel Xeon Processors) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-58
Figure 18-37. Counter Configuration Control Register (CCCR). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-59
Figure 18-38. Effects of Edge Filtering. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-63
Figure 18-39. Event Selection Control Register (ESCR) for the Pentium 4 Processor, Intel Xeon Processor and Intel Xeon
Processor MP Supporting Hyper-Threading Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-71
Figure 18-40. Counter Configuration Control Register (CCCR). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-72
Figure 18-41. Layout of IA32_PERF_CAPABILITIES MSR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-77
Figure 18-42. Block Diagram of 64-bit Intel Xeon Processor MP with 8-MByte L3. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-78
Figure 18-43. MSR_IFSB_IBUSQx, Addresses: 107CCH and 107CDH. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-78
Figure 18-44. MSR_IFSB_ISNPQx, Addresses: 107CEH and 107CFH. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-79
Figure 18-45. MSR_EFSB_DRDYx, Addresses: 107D0H and 107D1H. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-79
Figure 18-46. MSR_IFSB_CTL6, Address: 107D2H; MSR_IFSB_CNTR7, Address: 107D3H. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-80
Figure 18-47. Block Diagram of Intel Xeon Processor 7400 Series . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-81
Figure 18-48. Block Diagram of Intel Xeon Processor 7100 Series . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-81
Figure 18-49. MSR_EMON_L3_CTR_CTL0/1, Addresses: 107CCH/107CDH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-83
Figure 18-50. MSR_EMON_L3_CTR_CTL2/3, Addresses: 107CEH/107CFH. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-85
Figure 18-51. MSR_EMON_L3_CTR_CTL4/5/6/7, Addresses: 107D0H-107D3H. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-85
Figure 18-52. PerfEvtSel0 and PerfEvtSel1 MSRs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-87
Figure 18-53. CESR MSR (Pentium Processor Only). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-90
Figure 20-1. Real-Address Mode Address Translation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20-3
Figure 20-2. Interrupt Vector Table in Real-Address Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20-5
Figure 20-3. Entering and Leaving Virtual-8086 Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20-9
Figure 20-4. Privilege Level 0 Stack After Interrupt or Exception in Virtual-8086 Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20-13
Figure 20-5. Software Interrupt Redirection Bit Map in TSS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20-18
Figure 21-1. Stack after Far 16- and 32-Bit Calls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-5
Figure 22-1. I/O Map Base Address Differences. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-29
Figure 23-1. Interaction of a Virtual-Machine Monitor and Guests. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23-2
Figure 24-1. States of VMCS X . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24-2
Figure 28-1. Formats of EPTP and EPT Paging-Structure Entries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28-9
CONTENTS
xxxii Vol. 3A
PAGE
Figure 30-1. INVEPT Descriptor. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30-3
Figure 30-2. INVVPID Descriptor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30-6
Figure 31-1. VMX Transitions and States of VMCS in a Logical Processor. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31-3
Figure 32-1. Virtual TLB Scheme. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32-5
Figure 33-1. Host External Interrupts and Guest Virtual Interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33-4
Figure 34-1. SMRAM Usage. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34-4
Figure 34-2. SMM Revision Identifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-13
Figure 34-3. Auto HALT Restart Field . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-14
Figure 34-4. SMBASE Relocation Field. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-15
Figure 34-5. I/O Instruction Restart Field. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-15
Vol. 3A xxxiii
C O N T E N T S
PAGE
T AB LE S
Table 2-1. IA32_EFER MSR Information. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-9
Table 2-2. Action Taken By x87 FPU Instructions for Different Combinations of EM, MP, and TS . . . . . . . . . . . . . . . . . . . . . . . . . . 2-16
Table 2-3. Summary of System Instructions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-20
Table 3-1. Code- and Data-Segment Types. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-12
Table 3-2. System-Segment and Gate-Descriptor Types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-14
Table 4-1. Properties of Different Paging Modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-2
Table 4-2. Paging Structures in the Different Paging Modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4-7
Table 4-3. Use of CR3 with 32-Bit Paging . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-10
Table 4-4. Format of a 32-Bit Page-Directory Entry that Maps a 4-MByte Page . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-11
Table 4-6. Format of a 32-Bit Page-Table Entry that Maps a 4-KByte Page . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-12
Table 4-5. Format of a 32-Bit Page-Directory Entry that References a Page Table . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-12
Table 4-7. Use of CR3 with PAE Paging . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-13
Table 4-8. Format of a PAE Page-Directory-Pointer-Table Entry (PDPTE) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-14
Table 4-9. Format of a PAE Page-Directory Entry that Maps a 2-MByte Page. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-16
Table 4-10. Format of a PAE Page-Directory Entry that References a Page Table . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-17
Table 4-11. Format of a PAE Page-Table Entry that Maps a 4-KByte Page. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-17
Table 4-12. Use of CR3 with IA-32e Paging and CR4.PCIDE=0 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-19
Table 4-13. Use of CR3 with IA-32e Paging and CR4.PCIDE=1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-19
Table 4-14. Format of an IA-32e PML4 Entry (PML4E) that References a Page-Directory-Pointer Table. . . . . . . . . . . . . . . . . . . . . 4-23
Table 4-15. Format of an IA-32e Page-Directory-Pointer-Table Entry (PDPTE) that Maps a 1-GByte Page. . . . . . . . . . . . . . . . . . . 4-24
Table 4-16. Format of an IA-32e Page-Directory-Pointer-Table Entry (PDPTE) that References a Page Directory. . . . . . . . . . . . 4-25
Table 4-17. Format of an IA-32e Page-Directory Entry that Maps a 2-MByte Page. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-25
Table 4-18. Format of an IA-32e Page-Directory Entry that References a Page Table. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-26
Table 4-19. Format of an IA-32e Page-Table Entry that Maps a 4-KByte Page. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-27
Table 5-1. Privilege Check Rules for Call Gates. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-16
Table 5-2. 64-Bit-Mode Stack Layout After CALLF with CPL Change. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-19
Table 5-3. Combined Page-Directory and Page-Table Protection. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-29
Table 5-4. Extended Feature Enable MSR (IA32_EFER). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-30
Table 5-6. Legacy PAE-Enabled 4-KByte Page Level Protection Matrix with Execute-Disable Bit Capability . . . . . . . . . . . . . . . . 5-31
Table 5-7. Legacy PAE-Enabled 2-MByte Page Level Protection with Execute-Disable Bit Capability. . . . . . . . . . . . . . . . . . . . . . . 5-31
Table 5-5. IA-32e Mode Page Level Protection Matrix with Execute-Disable Bit Capability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-31
Table 5-9. Reserved Bit Checking WIth Execute-Disable Bit Capability Not Enabled . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-32
Table 5-8. IA-32e Mode Page Level Protection Matrix with Execute-Disable Bit Capability Enabled . . . . . . . . . . . . . . . . . . . . . . . . 5-32
Table 6-1. Protected-Mode Exceptions and Interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6-2
Table 6-2. Priority Among Simultaneous Exceptions and Interrupts. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6-8
Table 6-3. Debug Exception Conditions and Corresponding Exception Classes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-21
Table 6-4. Interrupt and Exception Classes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-28
Table 6-5. Conditions for Generating a Double Fault . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-28
Table 6-6. Invalid TSS Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-31
Table 6-7. Alignment Requirements by Data Type. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-45
Table 6-8. SIMD Floating-Point Exceptions Priority. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-49
Table 7-1. Exception Conditions Checked During a Task Switch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-11
Table 7-2. Effect of a Task Switch on Busy Flag, NT Flag, Previous Task Link Field, and TS Flag . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-13
Table 8-1. Initial APIC IDs for the Logical Processors in a System that has Four Intel Xeon MP Processors Supporting Intel
Hyper-Threading Technology
1
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-36
Table 8-2. Initial APIC IDs for the Logical Processors in a System that has Two Physical Processors Supporting Dual-Core
and Intel Hyper-Threading Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-36
Table 8-3. Example of Possible x2APIC ID Assignment in a System that has Two Physical Processors Supporting x2APIC
and Intel Hyper-Threading Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-37
Table 8-4. Boot Phase IPI Message Format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-53
Table 9-1. IA-32 Processor States Following Power-up, Reset, or INIT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .9-2
Table 9-2. Recommended Settings of EM and MP Flags on IA-32 Processors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .9-6
Table 9-3. Software Emulation Settings of EM, MP, and NE Flags . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .9-6
Table 9-4. Main Initialization Steps in STARTUP.ASM Source Listing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-15
Table 9-5. Relationship Between BLD Item and ASM Source File. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-27
Table 9-6. Microcode Update Field Definitions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-28
Table 9-7. Microcode Update Format. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-30
Table 9-8. Extended Processor Signature Table Header Structure. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-31
Table 9-9. Processor Signature Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-31
Table 9-10. Processor Flags . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-33
Table 9-11. Microcode Update Signature . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-37
Table 9-12. Microcode Update Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-42
CONTENTS
xxxiv Vol. 3A
PAGE
Table 9-13. Parameters for the Presence Test. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-42
Table 9-14. Parameters for the Write Update Data Function. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-43
Table 9-15. Parameters for the Control Update Sub-function . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-47
Table 9-16. Mnemonic Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-47
Table 9-17. Parameters for the Read Microcode Update Data Function. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-47
Table 9-18. Return Code Definitions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-49
Table 10-1 Local APIC Register Address Map. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-6
Table 10-2. Local APIC Timer Modes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-17
Table 10-3 Valid Combinations for the Pentium 4 and Intel Xeon Processors Local xAPIC Interrupt Command Register. . . . .10-21
Table 10-4 Valid Combinations for the P6 Family Processors Local APIC Interrupt Command Register. . . . . . . . . . . . . . . . . . . . .10-22
Table 10-5. x2APIC Operating Mode Configurations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-37
Table 10-6. Local APIC Register Address Map Supported by x2APIC. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-38
Table 10-7. MSR/MMIO Interface of a Local x2APIC in Different Modes of Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-40
Table 10-1. EOI Message (14 Cycles) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-47
Table 10-2. Short Message (21 Cycles) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-48
Table 10-3. Non-Focused Lowest Priority Message (34 Cycles) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-49
Table 10-4. APIC Bus Status Cycles Interpretation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10-51
Table 11-1. Characteristics of the Caches, TLBs, Store Buffer, and Write Combining Buffer in Intel 64 and IA-32 Processors . 11-2
Table 11-2. Memory Types and Their Properties. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-6
Table 11-3. Methods of Caching Available in Intel Core 2 Duo, Intel Atom, Intel Core Duo, Pentium M, Pentium 4, Intel Xeon,
P6 Family, and Pentium Processors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-7
Table 11-4. MESI Cache Line States . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-9
Table 11-5. Cache Operating Modes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-12
Table 11-6. Effective Page-Level Memory Type for Pentium Pro and Pentium II Processors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-14
Table 11-7. Effective Page-Level Memory Types for Pentium III and More Recent Processor Families. . . . . . . . . . . . . . . . . . . . . .11-15
Table 11-8. Memory Types That Can Be Encoded in MTRRs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-21
Table 11-9. Address Mapping for Fixed-Range MTRRs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-24
Table 11-10. Memory Types That Can Be Encoded With PAT. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-34
Table 11-11. Selection of PAT Entries with PAT, PCD, and PWT Flags. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-35
Table 11-12. Memory Type Setting of PAT Entries Following a Power-up or Reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11-35
Table 12-1. Action Taken By MMX Instructions for Different Combinations of EM, MP and TS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-1
Table 12-3. Effect of the MMX, x87 FPU, and FXSAVE/FXRSTOR Instructions on the x87 FPU Tag Word. . . . . . . . . . . . . . . . . . . . 12-3
Table 12-2. Effects of MMX Instructions on x87 FPU State. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-3
Table 13-1. Action Taken for Combinations of OSFXSR, OSXMMEXCPT, SSE, SSE2, SSE3, EM, MP, and TS1 . . . . . . . . . . . . . . . . . . 13-3
Table 13-2. Action Taken for Combinations of OSFXSR, SSSE3, SSE4, EM, and TS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-3
Table 13-3. XSAVE Header Format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-9
Table 13-4. XRSTOR Action on MXCSR, x87 FPU, XMM Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .13-10
Table 13-5. XSAVE Action on MXCSR, x87 FPU, XMM Register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .13-11
Table 13-6. XCR0 and Processor State Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .13-14
Table 13-7. CR4 bits for AVX New Instructions technology support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .13-14
Table 13-8. Layout of XSAVE Area For Processor Supporting YMM State . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .13-14
Table 13-9. XSAVE Header Format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .13-15
Table 13-10. XSAVE Save Area Layout for YMM State (Ext_Save_Area_2). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .13-15
Table 13-11. XRSTOR Action on MXCSR, XMM Registers, YMM Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .13-15
Table 13-12. Processor Supplied Init Values XRSTOR May Use. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .13-16
Table 13-13. XSAVE Action on MXCSR, XMM, YMM Register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .13-16
Table 14-1. On-Demand Clock Modulation Duty Cycle Field Encoding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-12
Table 14-2. RAPL MSR Interfaces and RAPL Domains. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14-21
Table 15-1. Bits 54:53 in IA32_MCi_STATUS MSRs when IA32_MCG_CAP[11] =1 and UC =0 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-6
Table 15-2. Overwrite Rules for Enabled Errors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-7
Table 15-3. Address Mode in IA32_MCi_MISC[8:6]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-8
Table 15-4. Extended Machine Check State MSRs in Processors Without Support for Intel 64 Architecture. . . . . . . . . . . . . . . . . . 15-9
Table 15-5. Extended Machine Check State MSRs In Processors With Support For Intel 64 Architecture. . . . . . . . . . . . . . . . . . . .15-10
Table 15-7. Overwrite Rules for UC, CE, and UCR Errors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-16
Table 15-6. MC Error Classifications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-16
Table 15-8. IA32_MCi_Status [15:0] Simple Error Code Encoding. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-18
Table 15-9. IA32_MCi_Status [15:0] Compound Error Code Encoding. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-19
Table 15-10. Encoding for TT (Transaction Type) Sub-Field . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-20
Table 15-11. Level Encoding for LL (Memory Hierarchy Level) Sub-Field. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-20
Table 15-12. Encoding of Request (RRRR) Sub-Field. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-20
Table 15-13. Encodings of PP, T, and II Sub-Fields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-21
Table 15-14. Encodings of MMM and CCCC Sub-Fields. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-21
Table 15-15. MCA Compound Error Code Encoding for SRAO Errors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-22
Table 15-16. IA32_MCi_STATUS Values for SRAO Errors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-22
Table 15-17. IA32_MCG_STATUS Flag Indication for SRAO Errors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-22
Vol. 3A xxxv
C O N T E N T S
PAGE
Table 15-18. MCA Compound Error Code Encoding for SRAR Errors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-23
Table 15-19. IA32_MCi_STATUS Values for SRAR Errors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-23
Table 15-20. IA32_MCG_STATUS Flag Indication for SRAR Errors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15-23
Table 16-1. CPUID DisplayFamily_DisplayModel Signatures for Processor Family 06H . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-1
Table 16-2. Incremental Decoding Information: Processor Family 06H Machine Error Codes For Machine Check. . . . . . . . . . . . . . 16-1
Table 16-3. CPUID DisplayFamily_DisplayModel Signatures for Processors Based on Intel Core Microarchitecture. . . . . . . . . . . 16-3
Table 16-4. Incremental Bus Error Codes of Machine Check for Processors Based on Intel Core Microarchitecture . . . . . . . . . . . 16-4
Table 16-5. Incremental MCA Error Code Types for Intel Xeon Processor 7400. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-6
Table 16-6. Type B Bus and Interconnect Error Codes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-6
Table 16-7. Type C Cache Bus Controller Error Codes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-7
Table 16-8. Intel QPI Machine Check Error Codes for IA32_MC0_STATUS and IA32_MC1_STATUS. . . . . . . . . . . . . . . . . . . . . . . . . . 16-8
Table 16-9. Intel QPI Machine Check Error Codes for IA32_MC0_MISC and IA32_MC1_MISC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-8
Table 16-10. Machine Check Error Codes for IA32_MC7_STATUS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-8
Table 16-11. Incremental Memory Controller Error Codes of Machine Check for IA32_MC8_STATUS . . . . . . . . . . . . . . . . . . . . . . . . . 16-9
Table 16-12. Incremental Memory Controller Error Codes of Machine Check for IA32_MC8_MISC. . . . . . . . . . . . . . . . . . . . . . . . . . . .16-10
Table 16-13. Machine Check Error Codes for IA32_MC4_STATUS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .16-10
Table 16-14. Intel QPI MC Error Codes for IA32_MC6_STATUS and IA32_MC7_STATUS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .16-11
Table 16-15. Intel IMC MC Error Codes for IA32_MCi_STATUS (i=8, 11) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .16-12
Table 16-16. Intel IMC MC Error Codes for IA32_MCi_MISC (i=8, 11). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .16-12
Table 16-17. Machine Check Error Codes for IA32_MC4_STATUS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .16-13
Table 16-18. Intel IMC MC Error Codes for IA32-MCi_STATUS (i=9, 16). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .16-15
Table 16-19. Intel IMC MC Error Codes for IA32_MCi_MISC (i=9, 16). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .16-15
Table 16-20. Incremental Decoding Information: Processor Family 0FH Machine Error Codes For Machine Check. . . . . . . . . . . . .16-16
Table 16-21. MCi_STATUS Register Bit Definition. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .16-17
Table 16-22. Incremental MCA Error Code for Intel Xeon Processor MP 7100. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .16-18
Table 16-23. Other Information Field Bit Definition. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .16-18
Table 16-24. Type A: L3 Error Codes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .16-19
Table 16-25. Type B Bus and Interconnect Error Codes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .16-19
Table 16-26. Type C Cache Bus Controller Error Codes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .16-20
Table 16-27. Decoding Family 0FH Machine Check Codes for Cache Hierarchy Errors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .16-21
Table 17-1. Breakpoint Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-5
Table 17-2. Debug Exception Conditions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-7
Table 17-3. LBR Stack Size and TOS Pointer Range. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-13
Table 17-4. IA32_DEBUGCTL Flag Encodings. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-20
Table 17-5. CPL-Qualified Branch Trace Store Encodings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-21
Table 17-6. IA32_LASTBRANCH_x_FROM_IP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-24
Table 17-7. IA32_LASTBRANCH_x_TO_IP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-24
Table 17-8. LBR Stack Size and TOS Pointer Range . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-24
Table 17-9. MSR_LBR_SELECT for Intel microarchitecture code name Nehalem. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-25
Table 17-10. MSR_LBR_SELECT for Intel microarchitecture code name Sandy Bridge. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-25
Table 17-11. MSR_LBR_SELECT for Intel microarchitecture code name Haswell . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-26
Table 17-12. IA32_LASTBRANCH_x_FROM_IP with TSX Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-27
Table 17-13. LBR MSR Stack Size and TOS Pointer Range for the Pentium4 and the IntelXeonProcessor Family. . . . . . . . .17-29
Table 17-14. Cache QoS Supported Event IDs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17-38
Table 18-1. UMask and Event Select Encodings for Pre-Defined Architectural Performance Events. . . . . . . . . . . . . . . . . . . . . . . . . 18-9
Table 18-2. Core Specificity Encoding within a Non-Architectural Umask . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-11
Table 18-3. Agent Specificity Encoding within a Non-Architectural Umask. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-11
Table 18-4. HW Prefetch Qualification Encoding within a Non-Architectural Umask . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-12
Table 18-5. MESI Qualification Definitions within a Non-Architectural Umask . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-12
Table 18-6. Bus Snoop Qualification Definitions within a Non-Architectural Umask. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-12
Table 18-7. Snoop Type Qualification Definitions within a Non-Architectural Umask . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-13
Table 18-8. Association of Fixed-Function Performance Counters with Architectural Performance Events . . . . . . . . . . . . . . . . .18-13
Table 18-9. At-Retirement Performance Events for Intel Core Microarchitecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-16
Table 18-10. PEBS Performance Events for Intel Core Microarchitecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-16
Table 18-11. Requirements to Program PEBS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-17
Table 18-12. PEBS Record Format for Intel Core i7 Processor Family. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-21
Table 18-13. Data Source Encoding for Load Latency Record . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-24
Table 18-14. Off-Core Response Event Encoding. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-25
Table 18-15. MSR_OFFCORE_RSP_0 and MSR_OFFCORE_RSP_1 Bit Field Definition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-26
Table 18-16. Opcode Field Encoding for MSR_UNCORE_ADDR_OPCODE_MATCH. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-30
Table 18-17. Uncore PMU MSR Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-32
Table 18-18. Uncore PMU MSR Summary for IntelXeonProcessor E7 Family . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-33
Table 18-19. Core PMU Comparison . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-34
Table 18-20. PEBS Facility Comparison . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-37
Table 18-21. PEBS Performance Events for IntelMicroarchitecture Code Name Sandy Bridge. . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-38
CONTENTS
xxxvi Vol. 3A
PAGE
Table 18-22. Layout of Data Source Field of Load Latency Record . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-39
Table 18-23. Layout of Precise Store Information In PEBS Record. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-40
Table 18-24. Off-Core Response Event Encoding. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-41
Table 18-25. MSR_OFFCORE_RSP_x Request_Type Field Definition. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-42
Table 18-26. MSR_OFFCORE_RSP_x Response Supplier Info Field Definition. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-43
Table 18-27. MSR_OFFCORE_RSP_x Snoop Info Field Definition. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-43
Table 18-28. Uncore PMU MSR Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-45
Table 18-29. MSR_OFFCORE_RSP_x Supplier Info Field Definitions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-46
Table 18-30. Uncore PMU MSR Summary for IntelXeonProcessor E5 Family. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-46
Table 18-31. Core PMU Comparison . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-47
Table 18-32. PEBS Facility Comparison . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-47
Table 18-33. PEBS Record Format for Next Generation Intel Core Processor Family. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-48
Table 18-34. Precise Events That Supports Data Linear Address Profiling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-49
Table 18-35. Layout of Data Linear Address Information In PEBS Record . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-50
Table 18-36. MSR_OFFCORE_RSP_x Request_Type Definition(Haswell). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-50
Table 18-37. TX Abort Information Field Definition. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-52
Table 18-38. Uncore PMU MSR Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-53
Table 18-39. Performance Counter MSRs and Associated CCCR and ESCR MSRs (Pentium4 and Intel Xeon Processors) . . . . . .18-54
Table 18-40. Event Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-61
Table 18-41. CCR Names and Bit Positions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18-65
Table 18-42. Effect of Logical Processor and CPL Qualification for Logical-Processor-Specific (TS) Events . . . . . . . . . . . . . . . . . . .18-73
Table 18-43. Effect of Logical Processor and CPL Qualification for Non-logical-Processor-specific (TI) Events. . . . . . . . . . . . . . . .18-74
Table 19-1. Architectural Performance Events. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-1
Table 19-2. Non-Architectural Performance Events In the Processor Core of 4th Generation IntelCoreProcessors . . . . . . . . 19-2
Table 19-3. Intel TSX Performance Events. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .19-10
Table 19-4. Non-Architectural Uncore Performance Events In the 4th Generation IntelCoreProcessors . . . . . . . . . . . . . . . . .19-12
Table 19-5. Non-Architectural Performance Events In the Processor Core of 3rd Generation IntelCorei7, i5, i3
Processors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .19-14
Table 19-6. Non-Architectural Performance Events Applicable only to the Processor Core of Next Generation IntelXeon
Processor E5 Family . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .19-22
Table 19-7. Non-Architectural Performance Events In the Processor Core Common to 2nd Generation IntelCorei7-2xxx,
IntelCorei5-2xxx, IntelCorei3-2xxx Processor Series and IntelXeonProcessors E3 and E5 Family. . . . . .19-23
Table 19-8. Non-Architectural Performance Events applicable only to the Processor core for 2nd Generation IntelCore
i7-2xxx, IntelCorei5-2xxx, IntelCorei3-2xxx Processor Series. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .19-32
Table 19-9. Non-Architectural Performance Events Applicable only to the Processor Core of IntelXeonProcessor E5
Family . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .19-34
Table 19-10. Non-Architectural Performance Events In the Processor Uncore for 2nd Generation IntelCorei7-2xxx, Intel
Corei5-2xxx, IntelCorei3-2xxx Processor Series. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .19-36
Table 19-11. Non-Architectural Performance Events In the Processor Core for IntelCorei7 Processor and IntelXeon
Processor 5500 Series. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .19-37
Table 19-12. Non-Architectural Performance Events In the Processor Uncore for IntelCorei7 Processor and IntelXeon
Processor 5500 Series. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .19-54
Table 19-13. Non-Architectural Performance Events In the Processor Core for Processors Based on IntelMicroarchitecture
Code Name Westmere . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .19-66
Table 19-14. Non-Architectural Performance Events In the Processor Uncore for Processors Based on IntelMicroarchitecture
Code Name Westmere . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .19-83
Table 19-15. Non-Architectural Performance Events for Processors Based on Enhanced Intel Core Microarchitecture. . . . . . . .19-98
Table 19-16. Fixed-Function Performance Counter and Pre-defined Performance Events. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .19-98
Table 19-17. Non-Architectural Performance Events in Processors Based on IntelCoreMicroarchitecture. . . . . . . . . . . . . . . . .19-99
Table 19-18. Non-Architectural Performance Events for IntelAtomProcessors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-124
Table 19-19. Non-Architectural Performance Events in IntelCoreSolo and IntelCoreDuo Processors. . . . . . . . . . . . . . . . . 19-138
Table 19-20. Performance Monitoring Events Supported by Intel NetBurstMicroarchitecture for Non-Retirement Counting19-143
Table 19-21. Performance Monitoring Events For Intel NetBurstMicroarchitecture for At-Retirement Counting . . . . . . . . . . 19-161
Table 19-22. Intel NetBurstMicroarchitecture Model-Specific Performance Monitoring Events (For Model Encoding 3, 4
or 6) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-166
Table 19-23. List of Metrics Available for Front_end Tagging (For Front_end Event Only) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-166
Table 19-24. List of Metrics Available for Execution Tagging (For Execution Event Only) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-166
Table 19-25. List of Metrics Available for Replay Tagging (For Replay Event Only) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-167
Table 19-26. Event Mask Qualification for Logical Processors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-168
Table 19-27. Performance Monitoring Events on Intel

Pentium

M Processors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-173
Table 19-28. Performance Monitoring Events Modified on Intel

Pentium

M Processors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19-174
Table 19-29. Events That Can Be Counted with the P6 Family Performance-Monitoring Counters. . . . . . . . . . . . . . . . . . . . . . . . . 19-175
Table 19-30. Events That Can Be Counted with Pentium Processor Performance-Monitoring Counters. . . . . . . . . . . . . . . . . . . . 19-184
Table 20-1. Real-Address Mode Exceptions and Interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20-6
Table 20-2. Software Interrupt Handling Methods While in Virtual-8086 Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .20-17
Vol. 3A xxxvii
C O N T E N T S
PAGE
Table 21-1. Characteristics of 16-Bit and 32-Bit Program Modules. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-1
Table 22-1. New Instruction in the Pentium Processor and Later IA-32 Processors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-4
Table 22-3. EM and MP Flag Interpretation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-16
Table 22-2. Recommended Values of the EM, MP, and NE Flags for Intel486 SX Microprocessor/Intel 487 SX Math
Coprocessor System. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-16
Table 22-4. Exception Conditions for Legacy SIMD/MMX Instructions with FP Exception and 16-Byte Alignment . . . . . . . . . . .22-21
Table 22-5. Exception Conditions for Legacy SIMD/MMX Instructions with XMM and FP Exception. . . . . . . . . . . . . . . . . . . . . . . . .22-22
Table 22-6. Exception Conditions for Legacy SIMD/MMX Instructions with XMM and without FP Exception. . . . . . . . . . . . . . . . .22-23
Table 22-7. Exception Conditions for SIMD/MMX Instructions with Memory Reference. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-24
Table 22-8. Exception Conditions for Legacy SIMD/MMX Instructions without FP Exception. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22-25
Table 22-9. Exception Conditions for Legacy SIMD/MMX Instructions without Memory Reference . . . . . . . . . . . . . . . . . . . . . . . . .22-26
Table 24-1. Format of the VMCS Region. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24-2
Table 24-2. Format of Access Rights. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24-4
Table 24-3. Format of Interruptibility State. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24-6
Table 24-4. Format of Pending-Debug-Exceptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24-7
Table 24-5. Definitions of Pin-Based VM-Execution Controls. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24-8
Table 24-6. Definitions of Primary Processor-Based VM-Execution Controls. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24-9
Table 24-7. Definitions of Secondary Processor-Based VM-Execution Controls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-10
Table 24-8. Format of Extended-Page-Table Pointer. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-14
Table 24-9. Definitions of VM-Function Controls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-15
Table 24-10. Definitions of VM-Exit Controls. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-16
Table 24-11. Format of an MSR Entry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-17
Table 24-12. Definitions of VM-Entry Controls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-17
Table 24-13. Format of the VM-Entry Interruption-Information Field. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-18
Table 24-14. Format of Exit Reason. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-19
Table 24-15. Format of the VM-Exit Interruption-Information Field. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-20
Table 24-16. Format of the IDT-Vectoring Information Field . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-21
Table 24-17. Structure of VMCS Component Encoding. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24-23
Table 25-1. Format of the Virtualization-Exception Information Area . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .25-15
Table 27-1. Exit Qualification for Debug Exceptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27-4
Table 27-2. Exit Qualification for Task Switch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27-5
Table 27-3. Exit Qualification for Control-Register Accesses. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27-6
Table 27-5. Exit Qualification for I/O Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27-7
Table 27-4. Exit Qualification for MOVDR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27-7
Table 27-6. Exit Qualification for APIC-Access VMExits from Linear Accesses and Guest-Physical Accesses. . . . . . . . . . . . . . . . . 27-8
Table 27-7. Exit Qualification for EPT Violations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27-9
Table 27-9. Format of the VM-Exit Instruction-Information Field as Used for INVEPT, INVPCID, and INVVPID. . . . . . . . . . . . . . .27-14
Table 27-8. Format of the VM-Exit Instruction-Information Field as Used for INS and OUTS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .27-14
Table 27-10. Format of the VM-Exit Instruction-Information Field as Used for LIDT, LGDT, SIDT, or SGDT. . . . . . . . . . . . . . . . . . . .27-15
Table 27-11. Format of the VM-Exit Instruction-Information Field as Used for LLDT, LTR, SLDT, and STR. . . . . . . . . . . . . . . . . . . .27-16
Table 27-13. Format of the VM-Exit Instruction-Information Field as Used for VMCLEAR, VMPTRLD, VMPTRST, and VMXON .27-18
Table 27-12. Format of the VM-Exit Instruction-Information Field as Used for RDRAND . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .27-18
Table 27-14. Format of the VM-Exit Instruction-Information Field as Used for VMREAD and VMWRITE. . . . . . . . . . . . . . . . . . . . . .27-19
Table 28-1. Format of an EPT PML4 Entry (PML4E) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28-3
Table 28-2. Format of an EPT Page-Directory-Pointer-Table Entry (PDPTE) that Maps a 1-GByte Page . . . . . . . . . . . . . . . . . . . . . 28-4
Table 28-3. Format of an EPT Page-Directory-Pointer-Table Entry (PDPTE) that References an EPT Page Directory. . . . . . . . . 28-5
Table 28-4. Format of an EPT Page-Directory Entry (PDE) that Maps a 2-MByte Page. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28-6
Table 28-5. Format of an EPT Page-Directory Entry (PDE) that References an EPT Page Table. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28-7
Table 28-6. Format of an EPT Page-Table Entry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28-8
Table 29-1. Format of Posted-Interrupt Descriptor. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .29-13
Table 30-1. VM-Instruction Error Numbers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .30-31
Table 31-1. Operating Modes for Host and Guest Environments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31-12
Table 34-1. SMRAM State Save Map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34-5
Table 34-2. Processor Signatures and 64-bit SMRAM State Save Map Format. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34-6
Table 34-3. SMRAM State Save Map for Intel 64 Architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34-7
Table 34-4. Processor Register Initialization in SMM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34-9
Table 34-5. I/O Instruction Information in the SMM State Save Map. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-12
Table 34-6. I/O Instruction Type Encodings. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-12
Table 34-7. Auto HALT Restart Flag Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-14
Table 34-8. I/O Instruction Restart Field Values. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-15
Table 34-9. Exit Qualification for SMIs That Arrive Immediately After the Retirement of an I/O Instruction. . . . . . . . . . . . . . . . .34-20
Table 34-10. Format of MSEG Header . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .34-25
Table 35-1. CPUID SignatureValues of DisplayFamily_DisplayModel . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35-1
Table 35-2. IA-32 Architectural MSRs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35-2
Table 35-3. MSRs in Processors Based on IntelCoreMicroarchitecture. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .35-29
CONTENTS
xxxviii Vol. 3A
PAGE
Table 35-4. MSRs in IntelAtomProcessor Family. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .35-43
Table 35-5. MSRs Supported by IntelAtomProcessors with CPUID Signature 06_27H. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .35-54
Table 35-6. MSRs in Processors Based on IntelMicroarchitecture Code Name Nehalem. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .35-55
Table 35-7. Additional MSRs in IntelXeonProcessor 5500 and 3400 Series . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .35-71
Table 35-8. Additional MSRs in IntelXeonProcessor 7500 Series . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .35-72
Table 35-9. Additional MSRs Supported by Intel Processors (Based on IntelMicroarchitecture Code Name Westmere). . . . . .35-87
Table 35-10. Additional MSRs Supported by IntelXeonProcessor E7 Family . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .35-88
Table 35-11. MSRs Supported by IntelProcessors Based on IntelMicroarchitecture Code Name Sandy Bridge. . . . . . . . . . . . . .35-89
Table 35-12. MSRs Supported by 2nd Generation IntelCoreProcessors (IntelMicroarchitecture Code Name Sandy Bridge)35-105
Table 35-13. Selected MSRs Supported by IntelXeonProcessors E5 Family (Based on IntelMicroarchitecture Code Name
Sandy Bridge) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35-108
Table 35-14. Additional MSRs Supported by 3rd Generation IntelCoreProcessors (Based on IntelMicroarchitecture Code
Name Ivy Bridge) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35-111
Table 35-15. Selected MSRs Supported by IntelXeonProcessors E5 Family v2 (Based on IntelMicroarchitecture Code
Name Ivy Bridge) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35-113
Table 35-16. Additional MSRs Supported by Next Generation IntelXeon Processors E7 with DisplayFamily_DisplayModel
Signature 06_3EH. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35-117
Table 35-17. Additional MSRs Supported by 4th Generation IntelCore Processors (Based on IntelMicroarchitecture
Code Name Haswell). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35-117
Table 35-18. Additional MSRs Supported by 4th Generation IntelCoreProcessors with DisplayFamily_DisplayModel
Signature 06_45H. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35-120
Table 35-19. MSRs Supported by 4th Generation IntelCoreProcessors (IntelMicroarchitecture Code Name Haswell) . . . 35-121
Table 35-20. Additional MSRs Supported by Future Generation IntelXeonProcessors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35-125
Table 35-21. MSRs in the Pentium4 and IntelXeonProcessors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35-126
Table 35-22. MSRs Unique to 64-bit IntelXeonProcessor MP with Up to an 8 MB L3 Cache. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35-151
Table 35-23. MSRs Unique to Intel Xeon Processor 7100 Series . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35-152
Table 35-24. MSRs in IntelCoreSolo, IntelCoreDuo Processors, and Dual-Core IntelXeonProcessor LV. . . . . . . . . . . . . 35-152
Table 35-25. MSRs in Pentium M Processors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35-161
Table 35-26. MSRs in the P6 Family Processors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35-168
Table 35-27. MSRs in the Pentium Processor. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35-177
Table A-1. Memory Types Used For VMCS Access. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-1
Table B-1. Encoding for 16-Bit Control Fields (0000_00xx_xxxx_xxx0B) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-1
Table B-2. Encodings for 16-Bit Guest-State Fields (0000_10xx_xxxx_xxx0B). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-1
Table B-3. Encodings for 16-Bit Host-State Fields (0000_11xx_xxxx_xxx0B). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-2
Table B-4. Encodings for 64-Bit Control Fields (0010_00xx_xxxx_xxxAb) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-2
Table B-5. Encodings for 64-Bit Read-Only Data Field (0010_01xx_xxxx_xxxAb) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-4
Table B-6. Encodings for 64-Bit Guest-State Fields (0010_10xx_xxxx_xxxAb). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-4
Table B-7. Encodings for 64-Bit Host-State Fields (0010_11xx_xxxx_xxxAb). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-5
Table B-8. Encodings for 32-Bit Control Fields (0100_00xx_xxxx_xxx0B) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-5
Table B-9. Encodings for 32-Bit Read-Only Data Fields (0100_01xx_xxxx_xxx0B) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-6
Table B-10. Encodings for 32-Bit Guest-State Fields (0100_10xx_xxxx_xxx0B). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-6
Table B-11. Encoding for 32-Bit Host-State Field (0100_11xx_xxxx_xxx0B). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-7
Table B-12. Encodings for Natural-Width Control Fields (0110_00xx_xxxx_xxx0B). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-8
Table B-13. Encodings for Natural-Width Read-Only Data Fields (0110_01xx_xxxx_xxx0B). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-8
Table B-14. Encodings for Natural-Width Guest-State Fields (0110_10xx_xxxx_xxx0B) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-8
Table B-15. Encodings for Natural-Width Host-State Fields (0110_11xx_xxxx_xxx0B) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-9
Table C-1. Basic Exit Reasons. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .C-1
Vol. 3A 1-1
C H AP T E R 1
AB O U T T H I S MAN U AL
The Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3A: System Programming Guide,
Part 1 (order number 253668), the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3B:
System Programming Guide, Part 2 (order number 253669) and the Intel 64 and IA-32 Architectures Software
Developers Manual, Volume 3C: System Programming Guide, Part 3 (order number 326019) are part of a set that
describes the architecture and programming environment of Intel 64 and IA-32 Architecture processors. The other
volumes in this set are:
Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1: Basic Architecture (order number
253665).
Intel 64 and IA-32 Architectures Software Developers Manual, Volumes 2A, 2B & 2C: Instruction Set
Reference (order numbers 253666, 253667 and 326018).
The Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1, describes the basic architecture
and programming environment of Intel 64 and IA-32 processors. The Intel 64 and IA-32 Architectures Software
Developers Manual, Volumes 2A, 2B & 2C, describe the instruction set of the processor and the opcode structure.
These volumes apply to application programmers and to programmers who write operating systems or executives.
The Intel 64 and IA-32 Architectures Software Developers Manual, Volumes 3A, 3B & 3C, describe the oper-
ating-system support environment of Intel 64 and IA-32 processors. These volumes target operating-system and
BIOS designers. In addition, Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3B, and
Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3C address the programming environ-
ment for classes of software that host operating systems.
1 .1 I N T E L

6 4 AN D I A-3 2 P R O C E S S O R S C O VE R E D I N T H I S MAN U AL
This manual set includes information pertaining primarily to the most recent Intel 64 and IA-32 processors, which
include:
Pentium

processors
P6 family processors
Pentium

4 processors
Pentium

M processors
Intel

Xeon

processors
Pentium

D processors
Pentium

processor Extreme Editions


64-bit Intel

Xeon

processors
Intel

Core Duo processor


Intel

Core Solo processor


Dual-Core Intel

Xeon

processor LV
Intel

Core2 Duo processor


Intel

Core2 Quad processor Q6000 series


Intel

Xeon

processor 3000, 3200 series


Intel

Xeon

processor 5000 series


Intel

Xeon

processor 5100, 5300 series


Intel

Core2 Extreme processor X7000 and X6800 series


Intel

Core2 Extreme QX6000 series


Intel

Xeon

processor 7100 series


1-2 Vol. 3A
ABOUT THIS MANUAL
Intel

Pentium

Dual-Core processor
Intel

Xeon

processor 7200, 7300 series


Intel

Core2 Extreme QX9000 series


Intel

Xeon

processor 5200, 5400, 7400 series


Intel

Core2 Extreme processor QX9000 and X9000 series


Intel

Core2 Quad processor Q9000 series


Intel

Core2 Duo processor E8000, T9000 series


Intel

Atom processor family


Intel

Core i7 processor
Intel

Core

i5 processor
Intel

Xeon

processor E7-8800/4800/2800 product families


Intel

Xeon

processor E5 family
Intel

Xeon

processor E3-1200 product family


Intel

Core i7-3930K processor


2nd generation Intel

Core i7-2xxx, Intel

Core i5-2xxx, Intel

Core i3-2xxx processor series


Intel

Xeon

processor E3-1200 v2 product family


3rd generation Intel

Core processors
Intel

Xeon

processor E3-1200 v3 product family


4th generation Intel

Core processors
P6 family processors are IA-32 processors based on the P6 family microarchitecture. This includes the Pentium


Pro, Pentium

II, Pentium

III, and Pentium

III Xeon

processors.
The Pentium

4, Pentium

D, and Pentium

processor Extreme Editions are based on the Intel NetBurst



microar-
chitecture. Most early Intel

Xeon

processors are based on the Intel NetBurst

microarchitecture. Intel Xeon
processor 5000, 7100 series are based on the Intel NetBurst

microarchitecture.
The Intel

Core Duo, Intel

Core Solo and dual-core Intel



Xeon

processor LV are based on an improved


Pentium

M processor microarchitecture.
The Intel

Xeon

processor 3000, 3200, 5100, 5300, 7200, and 7300 series, Intel

Pentium

dual-core, Intel


Core2 Duo, Intel

Core2 Quad and Intel

Core2 Extreme processors are based on Intel



Core microarchi-
tecture.
The Intel

Xeon

processor 5200, 5400, 7400 series, Intel

Core2 Quad processor Q9000 series, and Intel


Core2 Extreme processors QX9000, X9000 series, Intel

Core2 processor E8000 series are based on Enhanced


Intel

Core microarchitecture.
The Intel

Atom processor family is based on the Intel

Atom microarchitecture and supports Intel 64 archi-


tecture.
The Intel

Core i7 processor and Intel

Xeon

processor 3400, 5500, 7500 series are based on 45 nm Intel


microarchitecture code name Nehalem. Intel

microarchitecture code name Westmere is a 32nm version of Intel


microarchitecture code name Nehalem. Intel

Xeon

processor 5600 series, Intel Xeon processor E7 and various


Intel Core i7, i5, i3 processors are based on Intel

microarchitecture code name Westmere. These processors


support Intel 64 architecture.
The Intel

Xeon

processor E5 family, Intel

Xeon

processor E3-1200 family, Intel

Xeon

processor E7-
8800/4800/2800 product families, Intel

Core i7-3930K processor, and 2nd generation Intel

Core i7-2xxx,
Intel

Core i5-2xxx, Intel

Core i3-2xxx processor series are based on the Intel

microarchitecture code
name Sandy Bridge and support Intel 64 architecture.
The Intel

Xeon

processor E3-1200 v2 product family and 3rd generation Intel

Core processors are based on


the Intel

microarchitecture code name Ivy Bridge and support Intel 64 architecture.


The Intel

Xeon

processor E3-1200 v3 product family and 4th generation Intel

Core processors are based on


the Intel

microarchitecture code name Haswell and support Intel 64 architecture.


Vol. 3A 1-3
ABOUT THIS MANUAL
P6 family, Pentium

M, Intel

Core Solo, Intel

Core Duo processors, dual-core Intel



Xeon

processor LV,
and early generations of Pentium 4 and Intel Xeon processors support IA-32 architecture. The Intel

Atom
processor Z5xx series support IA-32 architecture.
The Intel

Xeon

processor 3000, 3200, 5000, 5100, 5200, 5300, 5400, 7100, 7200, 7300, 7400 series, Intel


Core2 Duo, Intel

Core2 Extreme processors, Intel Core 2 Quad processors, Pentium

D processors, Pentium


Dual-Core processor, newer generations of Pentium 4 and Intel Xeon processor family support Intel

64 architec-
ture.
IA-32 architecture is the instruction set architecture and programming environment for Intel's 32-bit microproces-
sors. Intel

64 architecture is the instruction set architecture and programming environment which is a superset
of and compatible with IA-32 architecture.
1 .2 O VE R V I E W O F T H E S YS T E M P R O G R AMMI N G G U I D E
A description of this manuals content follows:
Chapter 1 About This Manual. Gives an overview of all seven volumes of the Intel 64 and IA-32 Architec-
tures Software Developers Manual. It also describes the notational conventions in these manuals and lists related
Intel manuals and documentation of interest to programmers and hardware designers.
Chapter 2 System Architecture Overview. Describes the modes of operation used by Intel 64 and IA-32
processors and the mechanisms provided by the architectures to support operating systems and executives,
including the system-oriented registers and data structures and the system-oriented instructions. The steps
necessary for switching between real-address and protected modes are also identified.
Chapter 3 Protected-Mode Memory Management. Describes the data structures, registers, and instructions
that support segmentation and paging. The chapter explains how they can be used to implement a flat (unseg-
mented) memory model or a segmented memory model.
Chapter 4 Paging. Describes the paging modes supported by Intel 64 and IA-32 processors.
Chapter 5 Protection. Describes the support for page and segment protection provided in the Intel 64 and IA-
32 architectures. This chapter also explains the implementation of privilege rules, stack switching, pointer valida-
tion, user and supervisor modes.
Chapter 6 Interrupt and Exception Handling. Describes the basic interrupt mechanisms defined in the Intel
64 and IA-32 architectures, shows how interrupts and exceptions relate to protection, and describes how the archi-
tecture handles each exception type. Reference information for each exception is given in this chapter. Includes
programming the LINT0 and LINT1 inputs and gives an example of how to program the LINT0 and LINT1 pins for
specific interrupt vectors.
Chapter 7 Task Management. Describes mechanisms the Intel 64 and IA-32 architectures provide to support
multitasking and inter-task protection.
Chapter 8 Multiple-Processor Management. Describes the instructions and flags that support multiple
processors with shared memory, memory ordering, and Intel

Hyper-Threading Technology. Includes MP initializa-


tion for P6 family processors and gives an example of how to use of the MP protocol to boot P6 family processors in
an MP system.
Chapter 9 Processor Management and Initialization. Defines the state of an Intel 64 or IA-32 processor
after reset initialization. This chapter also explains how to set up an Intel 64 or IA-32 processor for real-address
mode operation and protected- mode operation, and how to switch between modes.
Chapter 10 Advanced Programmable Interrupt Controller (APIC). Describes the programming interface
to the local APIC and gives an overview of the interface between the local APIC and the I/O APIC. Includes APIC bus
message formats and describes the message formats for messages transmitted on the APIC bus for P6 family and
Pentium processors.
Chapter 11 Memory Cache Control. Describes the general concept of caching and the caching mechanisms
supported by the Intel 64 or IA-32 architectures. This chapter also describes the memory type range registers
(MTRRs) and how they can be used to map memory types of physical memory. Information on using the new cache
control and memory streaming instructions introduced with the Pentium III, Pentium 4, and Intel Xeon processors
is also given.
1-4 Vol. 3A
ABOUT THIS MANUAL
Chapter 12 Intel

MMX Technology System Programming. Describes those aspects of the Intel

MMX
technology that must be handled and considered at the system programming level, including: task switching,
exception handling, and compatibility with existing system environments.
Chapter 13 System Programming For Instruction Set Extensions And Processor Extended States.
Describes the operating system requirements to support SSE/SSE2/SSE3/SSSE3/SSE4 extensions, including task
switching, exception handling, and compatibility with existing system environments. The latter part of this chapter
describes the extensible framework of operating system requirements to support processor extended states.
Processor extended state may be required by instruction set extensions beyond those of
SSE/SSE2/SSE3/SSSE3/SSE4 extensions.
Chapter 14 Power and Thermal Management. Describes facilities of Intel 64 and IA-32 architecture used for
power management and thermal monitoring.
Chapter 15 Machine-Check Architecture. Describes the machine-check architecture and machine-
check exception mechanism found in the Pentium 4, Intel Xeon, and P6 family processors. Additionally,
a signaling mechanism for software to respond to hardware corrected machine check error is covered.
Chapter 16 Interpreting Machine-Check Error Codes. Gives an example of how to interpret the error codes
for a machine-check error that occurred on a P6 family processor.
Chapter 17 Debugging, Branch Profiles and Time-Stamp Counter. Describes the debugging registers and
other debug mechanism provided in Intel 64 or IA-32 processors. This chapter also describes the time-stamp
counter.
Chapter 18 Performance Monitoring. Describes the Intel 64 and IA-32 architectures facilities for monitoring
performance.
Chapter 19 Performance-Monitoring Events. Lists architectural performance events. Non-architectural
performance events (i.e. model-specific events) are listed for each generation of microarchitecture.
Chapter 20 8086 Emulation. Describes the real-address and virtual-8086 modes of the IA-32 architecture.
Chapter 21 Mixing 16-Bit and 32-Bit Code. Describes how to mix 16-bit and 32-bit code modules within the
same program or task.
Chapter 22 IA-32 Architecture Compatibility. Describes architectural compatibility among IA-32 proces-
sors.
Chapter 23 Introduction to Virtual-Machine Extensions. Describes the basic elements of virtual machine
architecture and the virtual-machine extensions for Intel 64 and IA-32 Architectures.
Chapter 24 Virtual-Machine Control Structures. Describes components that manage VMX operation. These
include the working-VMCS pointer and the controlling-VMCS pointer.
Chapter 25 VMX Non-Root Operation. Describes the operation of a VMX non-root operation. Processor oper-
ation in VMX non-root mode can be restricted programmatically such that certain operations, events or conditions
can cause the processor to transfer control from the guest (running in VMX non-root mode) to the monitor software
(running in VMX root mode).
Chapter 26 VM Entries. Describes VM entries. VM entry transitions the processor from the VMM running in VMX
root-mode to a VM running in VMX non-root mode. VM-Entry is performed by the execution of VMLAUNCH or VMRE-
SUME instructions.
Chapter 27 VM Exits. Describes VM exits. Certain events, operations or situations while the processor is in VMX
non-root operation may cause VM-exit transitions. In addition, VM exits can also occur on failed VM entries.
Chapter 28 VMX Support for Address Translation. Describes virtual-machine extensions that support
address translation and the virtualization of physical memory.
Chapter 29 APIC Virtualization and Virtual Interrupts. Describes the VMCS including controls that enable
the virtualization of interrupts and the Advanced Programmable Interrupt Controller (APIC).
Chapter 30 VMX Instruction Reference. Describes the virtual-machine extensions (VMX). VMX is intended
for a system executive to support virtualization of processor hardware and a system software layer acting as a host
to multiple guest software environments.
Chapter 31 Virtual-Machine Monitoring Programming Considerations. Describes programming consider-
ations for VMMs. VMMs manage virtual machines (VMs).
Vol. 3A 1-5
ABOUT THIS MANUAL
Chapter 32 Virtualization of System Resources. Describes the virtualization of the system resources. These
include: debugging facilities, address translation, physical memory, and microcode update facilities.
Chapter 33 Handling Boundary Conditions in a Virtual Machine Monitor. Describes what a VMM must
consider when handling exceptions, interrupts, error conditions, and transitions between activity states.
Chapter 34 System Management Mode. Describes Intel 64 and IA-32 architectures system management
mode (SMM) facilities.
Chapter 35 Model-Specific Registers (MSRs). Lists the MSRs available in the Pentium processors, the P6
family processors, the Pentium 4, Intel Xeon, Intel Core Solo, Intel Core Duo processors, and Intel Core 2
processor family and describes their functions.
Appendix A VMX Capability Reporting Facility. Describes the VMX capability MSRs. Support for specific VMX
features is determined by reading capability MSRs.
Appendix B Field Encoding in VMCS. Enumerates all fields in the VMCS and their encodings. Fields are
grouped by width (16-bit, 32-bit, etc.) and type (guest-state, host-state, etc.).
Appendix C VM Basic Exit Reasons. Describes the 32-bit fields that encode reasons for a VM exit. Examples
of exit reasons include, but are not limited to: software interrupts, processor exceptions, software traps, NMIs,
external interrupts, and triple faults.
1 .3 N O T AT I O N AL C O N VE N T I O N S
This manual uses specific notation for data-structure formats, for symbolic representation of instructions, and for
hexadecimal and binary numbers. A review of this notation makes the manual easier to read.
1 .3 .1 B it a n d B y t e O rd e r
In illustrations of data structures in memory, smaller addresses appear toward the bottom of the figure; addresses
increase toward the top. Bit positions are numbered from right to left. The numerical value of a set bit is equal to
two raised to the power of the bit position. Intel 64 and IA-32 processors are little endian machines; this means
the bytes of a word are numbered starting from the least significant byte. Figure 1-1 illustrates these conventions.
1 .3 .2 R e se rv e d B it s a n d S o ft w a re C o m p a t ib ilit y
In many register and memory layout descriptions, certain bits are marked as reserved. When bits are marked as
reserved, it is essential for compatibility with future processors that software treat these bits as having a future,
though unknown, effect. The behavior of reserved bits should be regarded as not only undefined, but unpredict-
able. Software should follow these guidelines in dealing with reserved bits:
Do not depend on the states of any reserved bits when testing the values of registers which contain such bits.
Mask out the reserved bits before testing.
Do not depend on the states of any reserved bits when storing to memory or to a register.
Do not depend on the ability to retain information written into any reserved bits.
When loading a register, always load the reserved bits with the values indicated in the documentation, if any,
or reload them with values previously read from the same register.
N O T E
Avoid any software dependence upon the state of reserved bits in Intel 64 and IA-32 registers.
Depending upon the values of reserved register bits will make software dependent upon the
unspecified manner in which the processor handles these bits. Programs that depend upon
reserved values risk incompatibility with future processors.
1-6 Vol. 3A
ABOUT THIS MANUAL
1 .3 .3 I n st ru ct io n O p e ra n d s
When instructions are represented symbolically, a subset of assembly language is used. In this subset, an instruc-
tion has the following format:
label: mnemonic argument1, argument2, argument3
where:
A label is an identifier which is followed by a colon.
A mnemonic is a reserved name for a class of instruction opcodes which have the same function.
The operands argument1, argument2, and argument3 are optional. There may be from zero to three
operands, depending on the opcode. When present, they take the form of either literals or identifiers for data
items. Operand identifiers are either reserved names of registers or are assumed to be assigned to data items
declared in another part of the program (which may not be shown in the example).
When two operands are present in an arithmetic or logical instruction, the right operand is the source and the left
operand is the destination.
For example:
LOADREG: MOV EAX, SUBTOTAL
In this example LOADREG is a label, MOV is the mnemonic identifier of an opcode, EAX is the destination operand,
and SUBTOTAL is the source operand. Some assembly languages put the source and destination in reverse order.
1 .3 .4 H e x a d e cim a l a n d B in a ry N u m b e rs
Base 16 (hexadecimal) numbers are represented by a string of hexadecimal digits followed by the character H (for
example, F82EH). A hexadecimal digit is a character from the following set: 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D,
E, and F.
Base 2 (binary) numbers are represented by a string of 1s and 0s, sometimes followed by the character B (for
example, 1010B). The B designation is only used in situations where confusion as to the type of number might
arise.
1 .3 .5 S e g m e n t e d Ad d re ssin g
The processor uses byte addressing. This means memory is organized and accessed as a sequence of bytes.
Whether one or more bytes are being accessed, a byte address is used to locate the byte or bytes memory. The
range of memory that can be addressed is called an address space.
F ig u re 1 -1 . B it a n d B y t e O rd e r
Byte 3
Highest
Data Structure
Byte 1 Byte 2 Byte 0
31 24 23 16 15 8 7 0
Address
Lowest
Bit offset
28
24
20
16
12
8
4
0
Address
Byte Offset
Vol. 3A 1-7
ABOUT THIS MANUAL
The processor also supports segmented addressing. This is a form of addressing where a program may have many
independent address spaces, called segments. For example, a program can keep its code (instructions) and stack
in separate segments. Code addresses would always refer to the code space, and stack addresses would always
refer to the stack space. The following notation is used to specify a byte address within a segment:
Segment-register:Byte-address
For example, the following segment address identifies the byte at address FF79H in the segment pointed by the DS
register:
DS:FF79H
The following segment address identifies an instruction address in the code segment. The CS register points to the
code segment and the EIP register contains the address of the instruction.
CS:EIP
1 .3 .6 S y n t a x fo r C P U I D , C R , a n d MS R Va lu e s
Obtain feature flags, status, and system information by using the CPUID instruction, by checking control register
bits, and by reading model-specific registers. We are moving toward a single syntax to represent this type of infor-
mation. See Figure 1-2.
F ig u re 1 -2 . S y n t a x fo r C P U I D , C R , a n d MS R D a t a P re se n t a t io n
For ControI Register VaIues
For ModeI-Specific Register VaIues
CPUD.01H : ECX.SSE [bit 25] = 1
Value (or range) of output
Syntax Representation for CPUID Input and Output
Output register and feature flag or
field name with bit position(s)
CR4.OSFXSR[bit 9] = 1
Feature flag or field name
with bit position(s)
Value (or range) of output
Example CR name
Feature flag or field name with bit position(s)
A32_MSC_ENABLES.ENABLEFOPCODE[bit 2] = 1
Value (or range) of output
Example MSR name
OM17732
nput value for EAX defines output
(NOTE: Some leaves require input values for
EAX and ECX. f only one value is present,
EAX is implied.)
1-8 Vol. 3A
ABOUT THIS MANUAL
1 .3 .7 E x ce p t io n s
An exception is an event that typically occurs when an instruction causes an error. For example, an attempt to
divide by zero generates an exception. However, some exceptions, such as breakpoints, occur under other condi-
tions. Some types of exceptions may provide error codes. An error code reports additional information about the
error. An example of the notation used to show an exception and error code is shown below:
#PF(fault code)
This example refers to a page-fault exception under conditions where an error code naming a type of fault is
reported. Under some conditions, exceptions which produce error codes may not be able to report an accurate
code. In this case, the error code is zero, as shown below for a general-protection exception:
#GP(0)
1 .4 R E LAT E D LI T E R AT U R E
Literature related to Intel 64 and IA-32 processors is listed on-line at:
http://www.intel.com/content/www/us/en/processors/architectures-software-developer-manuals.html.html
Some of the documents listed at this web site can be viewed on-line; others can be ordered. The literature available
is listed by Intel processor and then by the following literature types: applications notes, data sheets, manuals,
papers, and specification updates.
See also:
The data sheet for a particular Intel 64 or IA-32 processor
The specification update for a particular Intel 64 or IA-32 processor
Intel

C++ Compiler documentation and online help:


http://software.intel.com/en-us/articles/intel-compilers/
Intel

Fortran Compiler documentation and online help:


http://software.intel.com/en-us/articles/intel-compilers/
Intel

VTune Performance Analyzer documentation and online help:


http://www.intel.com/cd/software/products/asmo-na/eng/index.htm
Intel

64 and IA-32 Architectures Software Developers Manual (in three or five volumes):
http://www.intel.com/content/www/us/en/processors/architectures-software-developer-manuals.html.html
Intel

64 and IA-32 Architectures Optimization Reference Manual:


http://www.intel.com/content/www/us/en/architecture-and-technology/64-ia-32-architectures-optimization-
manual.html
Intel

Processor Identification with the CPUID Instruction, AP-485:


http://www.intel.com/Assets/PDF/appnote/241618.pdf
Intel 64 Architecture x2APIC Specification:
http://www.intel.com/content/www/us/en/architecture-and-technology/64-architecture-x2apic-specifi-
cation.html
Intel 64 Architecture Processor Topology Enumeration:
http://softwarecommunity.intel.com/articles/eng/3887.htm
Intel

Trusted Execution Technology Measured Launched Environment Programming Guide:


http://www.intel.com/content/www/us/en/software-developers/intel-txt-software-development-guide.html
Intel

SSE4 Programming Reference: http://edc.intel.com/Link.aspx?id=1630&wapkw=intel sse4


programming reference
Developing Multi-threaded Applications: A Platform Consistent Approach:
http://cache-www.intel.com/cd/00/00/05/15/51534_developing_multithreaded_applications.pdf
Vol. 3A 1-9
ABOUT THIS MANUAL
Using Spin-Loops on Intel

Pentium

4 Processor and Intel

Xeon

Processor:
http://software.intel.com/en-us/articles/ap949-using-spin-loops-on-intel-pentiumr-4-processor-and-intel-
xeonr-processor/
Performance Monitoring Unit Sharing Guide
http://software.intel.com/file/30388
More relevant links are:
Software network link:
http://softwarecommunity.intel.com/isn/home/
Developer centers:
http://www.intel.com/cd/ids/developer/asmo-na/eng/dc/index.htm
Processor support general link:
http://www.intel.com/support/processors/
Software products and packages:
http://www.intel.com/cd/software/products/asmo-na/eng/index.htm
Intel 64 and IA-32 processor manuals (printed or PDF downloads):
http://www.intel.com/content/www/us/en/processors/architectures-software-developer-manuals.html.html
Intel

Multi-Core Technology:
http://software.intel.com/partner/multicore
Intel

Hyper-Threading Technology (Intel



HT Technology):
http://www.intel.com/technology/platform-technology/hyper-threading/index.htm
1-10 Vol. 3A
ABOUT THIS MANUAL
Vol. 3A 2-1
C H AP T E R 2
S YS T E M AR C H I T E C T U R E O VE R V I E W
IA-32 architecture (beginning with the Intel386 processor family) provides extensive support for operating-system
and system-development software. This support offers multiple modes of operation, which include:
Real mode, protected mode, virtual 8086 mode, and system management mode. These are sometimes
referred to as legacy modes.
Intel 64 architecture supports almost all the system programming facilities available in IA-32 architecture and
extends them to a new operating mode (IA-32e mode) that supports a 64-bit programming environment. IA-32e
mode allows software to operate in one of two sub-modes:
64-bit mode supports 64-bit OS and 64-bit applications
Compatibility mode allows most legacy software to run; it co-exists with 64-bit applications under a 64-bit OS.
The IA-32 system-level architecture and includes features to assist in the following operations:
Memory management
Protection of software modules
Multitasking
Exception and interrupt handling
Multiprocessing
Cache management
Hardware resource and power management
Debugging and performance monitoring
This chapter provides a description of each part of this architecture. It also describes the system registers that are
used to set up and control the processor at the system level and gives a brief overview of the processors system-
level (operating system) instructions.
Many features of the system-level architectural are used only by system programmers. However, application
programmers may need to read this chapter and the following chapters in order to create a reliable and secure
environment for application programs.
This overview and most subsequent chapters of this book focus on protected-mode operation of the IA-32 architec-
ture. IA-32e mode operation of the Intel 64 architecture, as it differs from protected mode operation, is also
described.
All Intel 64 and IA-32 processors enter real-address mode following a power-up or reset (see Chapter 9, Processor
Management and Initialization). Software then initiates the switch from real-address mode to protected mode. If
IA-32e mode operation is desired, software also initiates a switch from protected mode to IA-32e mode.
2 .1 O VE R VI E W O F T H E S YS T E M-LE VE L AR C H I T E C T U R E
System-level architecture consists of a set of registers, data structures, and instructions designed to support basic
system-level operations such as memory management, interrupt and exception handling, task management, and
control of multiple processors.
Figure 2-1 provides a summary of system registers and data structures that applies to 32-bit modes. System regis-
ters and data structures that apply to IA-32e mode are shown in Figure 2-2.
2-2 Vol. 3A
SYSTEM ARCHITECTURE OVERVIEW
F ig u re 2 -1 . I A-3 2 S y st e m -Le v e l R e g ist e rs a n d D a t a S t ru ct u re s
Local Descriptor
Table (LDT)
EFLAGS Register
Control Registers
CR1
CR2
CR3
CR4
CR0
Global Descriptor
Table (GDT)
Interrupt Descriptor
Table (IDT)
IDTR
GDTR
Interrupt Gate
Trap Gate
LDT Desc.
TSS Desc.
Code
Stack
Code
Stack
Code
Stack
Task-State
Segment (TSS)
Code
Data
Stack
Task
Interrupt Handler
Exception Handler
Protected Procedure
TSS Seg. Sel.
Call-Gate
Segment Selector
Dir Table Offset
Linear Address
Page Directory
Pg. Dir. Entry
Linear Address Space
Linear Addr.
0
Seg. Desc. Segment Sel.
Code, Data or
Stack Segment
Interrupt
Vector
TSS Desc.
Seg. Desc.
Task Gate
Current
TSS
Call Gate
Task-State
Segment (TSS)
Code
Data
Stack
Task
Seg. Desc.
Current
TSS
Current
TSS
Segment Selector
Linear Address
Task Register
CR3*
Page Table
Pg. Tbl. Entry
Page
Physical Addr.
LDTR
This page mapping example is for 4-KByte pages
and the normal 32-bit physical address size.
Register
*Physical Address
Physical Address
XCR0 (XFEM)
Vol. 3A 2-3
SYSTEM ARCHITECTURE OVERVIEW
2 .1 .1 G lo b a l a n d Lo ca l D e scrip t o r Ta b le s
When operating in protected mode, all memory accesses pass through either the global descriptor table (GDT) or
an optional local descriptor table (LDT) as shown in Figure 2-1. These tables contain entries called segment
descriptors. Segment descriptors provide the base address of segments well as access rights, type, and usage
information.
Each segment descriptor has an associated segment selector. A segment selector provides the software that uses
it with an index into the GDT or LDT (the offset of its associated segment descriptor), a global/local flag (deter-
mines whether the selector points to the GDT or the LDT), and access rights information.
F ig u re 2 -2 . S y st e m -Le v e l R e g ist e rs a n d D a t a S t ru ct u re s in I A-3 2 e Mo d e
Local Descriptor
Table (LDT)
CR1
CR2
CR3
CR4
CR0
Global Descriptor
Table (GDT)
Interrupt Descriptor
Table (IDT)
IDTR
GDTR
Interrupt Gate
Trap Gate
LDT Desc.
TSS Desc.
Code
Stack
Code
Stack
Code
Stack
Current TSS
Code
Stack
Interr. Handler
Interrupt Handler
Exception Handler
Protected Procedure
TR
Call-Gate
Segment Selector
Linear Address
PML4
PML4.
Linear Address Space
Linear Addr.
0
Seg. Desc. Segment Sel.
Code, Data or Stack
Segment (Base =0)
Interrupt
Vector
Seg. Desc.
Seg. Desc.
NULL
Call Gate
Task-State
Segment (TSS)
Seg. Desc.
NULL
NULL
Segment Selector
Linear Address
Task Register
CR3*
Page
LDTR
This page mapping example is for 4-KByte pages
and 40-bit physical address size.
Register
*Physical Address
Physical Address
CR8
Control Register
RFLAGS
Offset Table Directory
Page Table
Entry
Physical
Addr. Page Tbl
Entry
Page Dir. Pg. Dir. Ptr.
PML4 Dir. Pointer
Pg. Dir.
Entry
Interrupt Gate
IST
XCR0 (XFEM)
2-4 Vol. 3A
SYSTEM ARCHITECTURE OVERVIEW
To access a byte in a segment, a segment selector and an offset must be supplied. The segment selector provides
access to the segment descriptor for the segment (in the GDT or LDT). From the segment descriptor, the processor
obtains the base address of the segment in the linear address space. The offset then provides the location of the
byte relative to the base address. This mechanism can be used to access any valid code, data, or stack segment,
provided the segment is accessible from the current privilege level (CPL) at which the processor is operating. The
CPL is defined as the protection level of the currently executing code segment.
See Figure 2-1. The solid arrows in the figure indicate a linear address, dashed lines indicate a segment selector,
and the dotted arrows indicate a physical address. For simplicity, many of the segment selectors are shown as
direct pointers to a segment. However, the actual path from a segment selector to its associated segment is always
through a GDT or LDT.
The linear address of the base of the GDT is contained in the GDT register (GDTR); the linear address of the LDT is
contained in the LDT register (LDTR).
2 .1 .1 .1 G lo b a l a n d Lo ca l D e scrip to r Ta b le s in I A-3 2 e Mo d e
GDTR and LDTR registers are expanded to 64-bits wide in both IA-32e sub-modes (64-bit mode and compatibility
mode). For more information: see Section 3.5.2, Segment Descriptor Tables in IA-32e Mode.
Global and local descriptor tables are expanded in 64-bit mode to support 64-bit base addresses, (16-byte LDT
descriptors hold a 64-bit base address and various attributes). In compatibility mode, descriptors are not
expanded.
2 .1 .2 S y st e m S e g m e n t s, S e g m e n t D e scrip t o rs, a n d G a t e s
Besides code, data, and stack segments that make up the execution environment of a program or procedure, the
architecture defines two system segments: the task-state segment (TSS) and the LDT. The GDT is not considered
a segment because it is not accessed by means of a segment selector and segment descriptor. TSSs and LDTs have
segment descriptors defined for them.
The architecture also defines a set of special descriptors called gates (call gates, interrupt gates, trap gates, and
task gates). These provide protected gateways to system procedures and handlers that may operate at a different
privilege level than application programs and most procedures. For example, a CALL to a call gate can provide
access to a procedure in a code segment that is at the same or a numerically lower privilege level (more privileged)
than the current code segment. To access a procedure through a call gate, the calling procedure
1
supplies the
selector for the call gate. The processor then performs an access rights check on the call gate, comparing the CPL
with the privilege level of the call gate and the destination code segment pointed to by the call gate.
If access to the destination code segment is allowed, the processor gets the segment selector for the destination
code segment and an offset into that code segment from the call gate. If the call requires a change in privilege
level, the processor also switches to the stack for the targeted privilege level. The segment selector for the new
stack is obtained from the TSS for the currently running task. Gates also facilitate transitions between 16-bit and
32-bit code segments, and vice versa.
2 .1 .2 .1 G a t e s in I A-3 2 e Mo d e
In IA-32e mode, the following descriptors are 16-byte descriptors (expanded to allow a 64-bit base): LDT descrip-
tors, 64-bit TSSs, call gates, interrupt gates, and trap gates.
Call gates facilitate transitions between 64-bit mode and compatibility mode. Task gates are not supported in IA-
32e mode. On privilege level changes, stack segment selectors are not read from the TSS. Instead, they are set to
NULL.
1. The word procedure is commonly used in this document as a general term for a logical unit or block of code (such as a program, pro-
cedure, function, or routine).
Vol. 3A 2-5
SYSTEM ARCHITECTURE OVERVIEW
2 .1 .3 Ta sk -S t a t e S e g m e n t s a n d Ta sk G a t e s
The TSS (see Figure 2-1) defines the state of the execution environment for a task. It includes the state of general-
purpose registers, segment registers, the EFLAGS register, the EIP register, and segment selectors with stack
pointers for three stack segments (one stack for each privilege level). The TSS also includes the segment selector
for the LDT associated with the task and the base address of the paging-structure hierarchy.
All program execution in protected mode happens within the context of a task (called the current task). The
segment selector for the TSS for the current task is stored in the task register. The simplest method for switching
to a task is to make a call or jump to the new task. Here, the segment selector for the TSS of the new task is given
in the CALL or JMP instruction. In switching tasks, the processor performs the following actions:
1. Stores the state of the current task in the current TSS.
2. Loads the task register with the segment selector for the new task.
3. Accesses the new TSS through a segment descriptor in the GDT.
4. Loads the state of the new task from the new TSS into the general-purpose registers, the segment registers,
the LDTR, control register CR3 (base address of the paging-structure hierarchy), the EFLAGS register, and the
EIP register.
5. Begins execution of the new task.
A task can also be accessed through a task gate. A task gate is similar to a call gate, except that it provides access
(through a segment selector) to a TSS rather than a code segment.
2 .1 .3 .1 Ta sk -S t a t e S e g m e n t s in I A-3 2 e Mo d e
Hardware task switches are not supported in IA-32e mode. However, TSSs continue to exist. The base address of
a TSS is specified by its descriptor.
A 64-bit TSS holds the following information that is important to 64-bit operation:
Stack pointer addresses for each privilege level
Pointer addresses for the interrupt stack table
Offset address of the IO-permission bitmap (from the TSS base)
The task register is expanded to hold 64-bit base addresses in IA-32e mode. See also: Section 7.7, Task Manage-
ment in 64-bit Mode.
2 .1 .4 I n t e rru p t a n d E x ce p t io n H a n d lin g
External interrupts, software interrupts and exceptions are handled through the interrupt descriptor table (IDT).
The IDT stores a collection of gate descriptors that provide access to interrupt and exception handlers. Like the
GDT, the IDT is not a segment. The linear address for the base of the IDT is contained in the IDT register (IDTR).
Gate descriptors in the IDT can be interrupt, trap, or task gate descriptors. To access an interrupt or exception
handler, the processor first receives an interrupt vector (interrupt number) from internal hardware, an external
interrupt controller, or from software by means of an INT, INTO, INT 3, or BOUND instruction. The interrupt vector
provides an index into the IDT. If the selected gate descriptor is an interrupt gate or a trap gate, the associated
handler procedure is accessed in a manner similar to calling a procedure through a call gate. If the descriptor is a
task gate, the handler is accessed through a task switch.
2 .1 .4 .1 I n t e rru p t a n d E x ce p t io n H a n d lin g I A-3 2 e Mo d e
In IA-32e mode, interrupt descriptors are expanded to 16 bytes to support 64-bit base addresses. This is true for
64-bit mode and compatibility mode.
The IDTR register is expanded to hold a 64-bit base address. Task gates are not supported.
2-6 Vol. 3A
SYSTEM ARCHITECTURE OVERVIEW
2 .1 .5 Me m o ry Ma n a g e m e n t
System architecture supports either direct physical addressing of memory or virtual memory (through paging).
When physical addressing is used, a linear address is treated as a physical address. When paging is used: all code,
data, stack, and system segments (including the GDT and IDT) can be paged with only the most recently accessed
pages being held in physical memory.
The location of pages (sometimes called page frames) in physical memory is contained in the paging structures.
These structures reside in physical memory (see Figure 2-1 for the case of 32-bit paging).
The base physical address of the paging-structure hierarchy is contained in control register CR3. The entries in the
paging structures determine the physical address of the base of a page frame, access rights and memory manage-
ment information.
To use this paging mechanism, a linear address is broken into parts. The parts provide separate offsets into the
paging structures and the page frame. A system can have a single hierarchy of paging structures or several. For
example, each task can have its own hierarchy.
2 .1 .5 .1 Me m o ry Ma n a g e m e n t in I A-3 2 e Mo d e
In IA-32e mode, physical memory pages are managed by a set of system data structures. In compatibility mode
and 64-bit mode, four levels of system data structures are used. These include:
The page map level 4 (PML4) An entry in a PML4 table contains the physical address of the base of a page
directory pointer table, access rights, and memory management information. The base physical address of the
PML4 is stored in CR3.
A set of page directory pointer tables An entry in a page directory pointer table contains the physical
address of the base of a page directory table, access rights, and memory management information.
Sets of page directories An entry in a page directory table contains the physical address of the base of a
page table, access rights, and memory management information.
Sets of page tables An entry in a page table contains the physical address of a page frame, access rights,
and memory management information.
2 .1 .6 S y st e m R e g ist e rs
To assist in initializing the processor and controlling system operations, the system architecture provides system
flags in the EFLAGS register and several system registers:
The system flags and IOPL field in the EFLAGS register control task and mode switching, interrupt handling,
instruction tracing, and access rights. See also: Section 2.3, System Flags and Fields in the EFLAGS Register.
The control registers (CR0, CR2, CR3, and CR4) contain a variety of flags and data fields for controlling system-
level operations. Other flags in these registers are used to indicate support for specific processor capabilities
within the operating system or executive. See also: Section 2.5, Control Registers.
The debug registers (not shown in Figure 2-1) allow the setting of breakpoints for use in debugging programs
and systems software. See also: Chapter 17, Debugging, Branch Profiling, and Time-Stamp Counter.
The GDTR, LDTR, and IDTR registers contain the linear addresses and sizes (limits) of their respective tables.
See also: Section 2.4, Memory-Management Registers.
The task register contains the linear address and size of the TSS for the current task. See also: Section 2.4,
Memory-Management Registers.
Model-specific registers (not shown in Figure 2-1).
The model-specific registers (MSRs) are a group of registers available primarily to operating-system or executive
procedures (that is, code running at privilege level 0). These registers control items such as the debug extensions,
the performance-monitoring counters, the machine- check architecture, and the memory type ranges (MTRRs).
The number and function of these registers varies among different members of the Intel 64 and IA-32 processor
families. See also: Section 9.4, Model-Specific Registers (MSRs), and Chapter 35, Model-Specific Registers
(MSRs).
Vol. 3A 2-7
SYSTEM ARCHITECTURE OVERVIEW
Most systems restrict access to system registers (other than the EFLAGS register) by application programs.
Systems can be designed, however, where all programs and procedures run at the most privileged level (privilege
level 0). In such a case, application programs would be allowed to modify the system registers.
2 .1 .6 .1 S y st e m R e g ist e rs in I A-3 2 e Mo d e
In IA-32e mode, the four system-descriptor-table registers (GDTR, IDTR, LDTR, and TR) are expanded in hardware
to hold 64-bit base addresses. EFLAGS becomes the 64-bit RFLAGS register. CR0CR4 are expanded to 64 bits.
CR8 becomes available. CR8 provides read-write access to the task priority register (TPR) so that the operating
system can control the priority classes of external interrupts.
In 64-bit mode, debug registers DR0DR7 are 64 bits. In compatibility mode, address-matching in DR0DR3 is
also done at 64-bit granularity.
On systems that support IA-32e mode, the extended feature enable register (IA32_EFER) is available. This model-
specific register controls activation of IA-32e mode and other IA-32e mode operations. In addition, there are
several model-specific registers that govern IA-32e mode instructions:
IA32_KernelGSbase Used by SWAPGS instruction.
IA32_LSTAR Used by SYSCALL instruction.
IA32_SYSCALL_FLAG_MASK Used by SYSCALL instruction.
IA32_STAR_CS Used by SYSCALL and SYSRET instruction.
2 .1 .7 O t h e r S y st e m R e so u rce s
Besides the system registers and data structures described in the previous sections, system architecture provides
the following additional resources:
Operating system instructions (see also: Section 2.7, System Instruction Summary).
Performance-monitoring counters (not shown in Figure 2-1).
Internal caches and buffers (not shown in Figure 2-1).
Performance-monitoring counters are event counters that can be programmed to count processor events such as
the number of instructions decoded, the number of interrupts received, or the number of cache loads. See also:
Chapter 23, Introduction to Virtual-Machine Extensions.
The processor provides several internal caches and buffers. The caches are used to store both data and instruc-
tions. The buffers are used to store things like decoded addresses to system and application segments and write
operations waiting to be performed. See also: Chapter 11, Memory Cache Control.
2 .2 MO D E S O F O P E R AT I O N
The IA-32 supports three operating modes and one quasi-operating mode:
Protected mode This is the native operating mode of the processor. It provides a rich set of architectural
features, flexibility, high performance and backward compatibility to existing software base.
Real-address mode This operating mode provides the programming environment of the Intel 8086
processor, with a few extensions (such as the ability to switch to protected or system management mode).
System management mode (SMM) SMM is a standard architectural feature in all IA-32 processors,
beginning with the Intel386 SL processor. This mode provides an operating system or executive with a
transparent mechanism for implementing power management and OEM differentiation features. SMM is
entered through activation of an external system interrupt pin (SMI#), which generates a system management
interrupt (SMI). In SMM, the processor switches to a separate address space while saving the context of the
currently running program or task. SMM-specific code may then be executed transparently. Upon returning
from SMM, the processor is placed back into its state prior to the SMI.
Virtual-8086 mode In protected mode, the processor supports a quasi-operating mode known as virtual-
8086 mode. This mode allows the processor execute 8086 software in a protected, multitasking environment.
2-8 Vol. 3A
SYSTEM ARCHITECTURE OVERVIEW
Intel 64 architecture supports all operating modes of IA-32 architecture and IA-32e modes:
IA-32e mode In IA-32e mode, the processor supports two sub-modes: compatibility mode and 64-bit
mode. 64-bit mode provides 64-bit linear addressing and support for physical address space larger than 64
GBytes. Compatibility mode allows most legacy protected-mode applications to run unchanged.
Figure 2-3 shows how the processor moves between operating modes.
The processor is placed in real-address mode following power-up or a reset. The PE flag in control register CR0 then
controls whether the processor is operating in real-address or protected mode. See also: Section 9.9, Mode
Switching. and Section 4.1.2, Paging-Mode Enabling.
The VM flag in the EFLAGS register determines whether the processor is operating in protected mode or virtual-
8086 mode. Transitions between protected mode and virtual-8086 mode are generally carried out as part of a task
switch or a return from an interrupt or exception handler. See also: Section 20.2.5, Entering Virtual-8086 Mode.
The LMA bit (IA32_EFER.LMA[bit 10]) determines whether the processor is operating in IA-32e mode. When
running in IA-32e mode, 64-bit or compatibility sub-mode operation is determined by CS.L bit of the code segment.
The processor enters into IA-32e mode from protected mode by enabling paging and setting the LME bit
(IA32_EFER.LME[bit 8]). See also: Chapter 9, Processor Management and Initialization.
The processor switches to SMM whenever it receives an SMI while the processor is in real-address, protected,
virtual-8086, or IA-32e modes. Upon execution of the RSM instruction, the processor always returns to the mode
it was in when the SMI occurred.
F ig u re 2 -3 . T ra n sit io n s Am o n g t h e P ro ce sso rs O p e ra t in g Mo d e s
Real-Address
Protected Mode
Virtual-8086
Mode
System
Management
Mode
PE=1
Reset or
VM=1 VM=0
PE=0
Reset
or
RSM
SMI#
RSM
SMI#
RSM
SMI#
Reset
Mode
IA-32e
Mode
RSM
SMI#
LME=1, CR0.PG=1*
See**
* See Section 9.8.5
** See Section 9.8.5.4
Vol. 3A 2-9
SYSTEM ARCHITECTURE OVERVIEW
2 .2 .1 E x t e n d e d Fe a t u re E n a b le R e g ist e r
The IA32_EFER MSR provides several fields related to IA-32e mode enabling and operation. It also provides one
field that relates to page-access right modification (see Section 4.6, Access Rights). The layout of the
IA32_EFER MSR is shown in Figure 2-4.
2 .3 S YS T E M F LAG S AN D F I E LD S I N T H E E F LAG S R E G I S T E R
The system flags and IOPL field of the EFLAGS register control I/O, maskable hardware interrupts, debugging, task
switching, and the virtual-8086 mode (see Figure 2-5). Only privileged code (typically operating system or execu-
tive code) should be allowed to modify these bits.
The system flags and IOPL are:
TF Trap (bit 8) Set to enable single-step mode for debugging; clear to disable single-step mode. In single-
step mode, the processor generates a debug exception after each instruction. This allows the execution
state of a program to be inspected after each instruction. If an application program sets the TF flag using
F ig u re 2 -4 . I A3 2 _E F E R MS R La y o u t
Ta b le 2 -1 . I A3 2 _E F E R MS R I n fo rm a t io n
B it D e scrip t io n
0 S YS C ALL E n a b le (R /W)
Enables SYSCALL/SYSRET instructions in 64-bit mode.
7:1 Reserved.
8 I A-3 2 e Mo d e E n a b le (R /W)
Enables IA-32e mode operation.
9 Reserved.
10 I A-3 2 e Mo d e Act iv e (R )
Indicates IA-32e mode is active when set.
11 E xe cu t e D isa b le B it E n a b le (R /W)
Enables page access restriction by preventing instruction fetches fromPAE pages with the XD bit set (See Section 4.6).
63:12 Reserved.
Reserved
IA-32e Mode Active
0 1 7 8 9 10 11 12 63
IA32_EFER
IA-32e Mode Enable
Execute Disable Bit Enable
SYSCALL Enable
2-10 Vol. 3A
SYSTEM ARCHITECTURE OVERVIEW
a POPF, POPFD, or IRET instruction, a debug exception is generated after the instruction that follows the
POPF, POPFD, or IRET.
IF Interrupt enable (bit 9) Controls the response of the processor to maskable hardware interrupt
requests (see also: Section 6.3.2, Maskable Hardware Interrupts). The flag is set to respond to maskable
hardware interrupts; cleared to inhibit maskable hardware interrupts. The IF flag does not affect the gener-
ation of exceptions or nonmaskable interrupts (NMI interrupts). The CPL, IOPL, and the state of the VME
flag in control register CR4 determine whether the IF flag can be modified by the CLI, STI, POPF, POPFD,
and IRET.
IOPL I/O privilege level field (bits 12 and 13) Indicates the I/O privilege level (IOPL) of the currently
running program or task. The CPL of the currently running program or task must be less than or equal to
the IOPL to access the I/O address space. This field can only be modified by the POPF and IRET instructions
when operating at a CPL of 0.
The IOPL is also one of the mechanisms that controls the modification of the IF flag and the handling of
interrupts in virtual-8086 mode when virtual mode extensions are in effect (when CR4.VME = 1). See also:
Chapter 15, Input/Output, in the Intel 64 and IA-32 Architectures Software Developers Manual,
Volume 1.
NT Nested task (bit 14) Controls the chaining of interrupted and called tasks. The processor sets this flag
on calls to a task initiated with a CALL instruction, an interrupt, or an exception. It examines and modifies
this flag on returns from a task initiated with the IRET instruction. The flag can be explicitly set or cleared
with the POPF/POPFD instructions; however, changing to the state of this flag can generate unexpected
exceptions in application programs.
See also: Section 7.4, Task Linking.
RF Resume (bit 16) Controls the processors response to instruction-breakpoint conditions. When set, this
flag temporarily disables debug exceptions (#DB) from being generated for instruction breakpoints
(although other exception conditions can cause an exception to be generated). When clear, instruction
breakpoints will generate debug exceptions.
The primary function of the RF flag is to allow the restarting of an instruction following a debug exception
that was caused by an instruction breakpoint condition. Here, debug software must set this flag in the
EFLAGS image on the stack just prior to returning to the interrupted program with IRETD (to prevent the
instruction breakpoint from causing another debug exception). The processor then automatically clears
this flag after the instruction returned to has been successfully executed, enabling instruction breakpoint
faults again.
See also: Section 17.3.1.1, Instruction-Breakpoint Exception Condition.
VM Virtual-8086 mode (bit 17) Set to enable virtual-8086 mode; clear to return to protected mode.
F ig u re 2 -5 . S y st e m F la g s in t h e E F LAG S R e g ist e r
31 22 21 20 19 18 17 16
R
F
I
D
A
C
V
M
VM Virtual-8086 Mode
RF Resume Flag
NT Nested Task Flag
IOPLI/O Privilege Level
IF Interrupt Enable Flag
AC Alignment Check
ID Identification Flag
VIP Virtual Interrupt Pending
15 13 14 12 11 10 9 8 7 6 5 4 3 2 1 0
0
C
F
A
F
P
F
1
D
F
I
F
T
F
S
F
Z
F
N
T
0 0
V
I
P
V
I
F
O
F
I
O
P
L
VIF Virtual Interrupt Flag
TF Trap Flag
Reserved
Reserved (set to 0)
Vol. 3A 2-11
SYSTEM ARCHITECTURE OVERVIEW
See also: Section 20.2.1, Enabling Virtual-8086 Mode.
AC Alignment check (bit 18) Set this flag and the AM flag in control register CR0 to enable alignment
checking of memory references; clear the AC flag and/or the AM flag to disable alignment checking. An
alignment-check exception is generated when reference is made to an unaligned operand, such as a word
at an odd byte address or a doubleword at an address which is not an integral multiple of four. Alignment-
check exceptions are generated only in user mode (privilege level 3). Memory references that default to
privilege level 0, such as segment descriptor loads, do not generate this exception even when caused by
instructions executed in user-mode.
The alignment-check exception can be used to check alignment of data. This is useful when exchanging
data with processors which require all data to be aligned. The alignment-check exception can also be used
by interpreters to flag some pointers as special by misaligning the pointer. This eliminates overhead of
checking each pointer and only handles the special pointer when used.
VIF Virtual Interrupt (bit 19) Contains a virtual image of the IF flag. This flag is used in conjunction with
the VIP flag. The processor only recognizes the VIF flag when either the VME flag or the PVI flag in control
register CR4 is set and the IOPL is less than 3. (The VME flag enables the virtual-8086 mode extensions;
the PVI flag enables the protected-mode virtual interrupts.)
See also: Section 20.3.3.5, Method 6: Software Interrupt Handling, and Section 20.4, Protected-Mode
Virtual Interrupts.
VIP Virtual interrupt pending (bit 20) Set by software to indicate that an interrupt is pending; cleared to
indicate that no interrupt is pending. This flag is used in conjunction with the VIF flag. The processor reads
this flag but never modifies it. The processor only recognizes the VIP flag when either the VME flag or the
PVI flag in control register CR4 is set and the IOPL is less than 3. The VME flag enables the virtual-8086
mode extensions; the PVI flag enables the protected-mode virtual interrupts.
See Section 20.3.3.5, Method 6: Software Interrupt Handling, and Section 20.4, Protected-Mode Virtual
Interrupts.
ID Identification (bit 21). The ability of a program or procedure to set or clear this flag indicates support
for the CPUID instruction.
2 .3 .1 S y st e m F la g s a n d F ie ld s in I A-3 2 e Mo d e
In 64-bit mode, the RFLAGS register expands to 64 bits with the upper 32 bits reserved. System flags in RFLAGS
(64-bit mode) or EFLAGS (compatibility mode) are shown in Figure 2-5.
In IA-32e mode, the processor does not allow the VM bit to be set because virtual-8086 mode is not supported
(attempts to set the bit are ignored). Also, the processor will not set the NT bit. The processor does, however, allow
software to set the NT bit (note that an IRET causes a general protection fault in IA-32e mode if the NT bit is set).
In IA-32e mode, the SYSCALL/SYSRET instructions have a programmable method of specifying which bits are
cleared in RFLAGS/EFLAGS. These instructions save/restore EFLAGS/RFLAGS.
2 .4 ME MO R Y-MAN AG E ME N T R E G I S T E R S
The processor provides four memory-management registers (GDTR, LDTR, IDTR, and TR) that specify the locations
of the data structures which control segmented memory management (see Figure 2-6). Special instructions are
provided for loading and storing these registers.
2-12 Vol. 3A
SYSTEM ARCHITECTURE OVERVIEW
2 .4 .1 G lo b a l D e scrip t o r Ta b le R e g ist e r (G D T R )
The GDTR register holds the base address (32 bits in protected mode; 64 bits in IA-32e mode) and the 16-bit table
limit for the GDT. The base address specifies the linear address of byte 0 of the GDT; the table limit specifies the
number of bytes in the table.
The LGDT and SGDT instructions load and store the GDTR register, respectively. On power up or reset of the
processor, the base address is set to the default value of 0 and the limit is set to 0FFFFH. A new base address must
be loaded into the GDTR as part of the processor initialization process for protected-mode operation.
See also: Section 3.5.1, Segment Descriptor Tables.
2 .4 .2 Lo ca l D e scrip t o r Ta b le R e g ist e r (LD T R )
The LDTR register holds the 16-bit segment selector, base address (32 bits in protected mode; 64 bits in IA-32e
mode), segment limit, and descriptor attributes for the LDT. The base address specifies the linear address of byte
0 of the LDT segment; the segment limit specifies the number of bytes in the segment. See also: Section 3.5.1,
Segment Descriptor Tables.
The LLDT and SLDT instructions load and store the segment selector part of the LDTR register, respectively. The
segment that contains the LDT must have a segment descriptor in the GDT. When the LLDT instruction loads a
segment selector in the LDTR: the base address, limit, and descriptor attributes from the LDT descriptor are auto-
matically loaded in the LDTR.
When a task switch occurs, the LDTR is automatically loaded with the segment selector and descriptor for the LDT
for the new task. The contents of the LDTR are not automatically saved prior to writing the new LDT information
into the register.
On power up or reset of the processor, the segment selector and base address are set to the default value of 0 and
the limit is set to 0FFFFH.
2 .4 .3 I D T R I n t e rru p t D e scrip t o r Ta b le R e g ist e r
The IDTR register holds the base address (32 bits in protected mode; 64 bits in IA-32e mode) and 16-bit table limit
for the IDT. The base address specifies the linear address of byte 0 of the IDT; the table limit specifies the number
of bytes in the table. The LIDT and SIDT instructions load and store the IDTR register, respectively. On power up or
reset of the processor, the base address is set to the default value of 0 and the limit is set to 0FFFFH. The base
address and limit in the register can then be changed as part of the processor initialization process.
See also: Section 6.10, Interrupt Descriptor Table (IDT).
F ig u re 2 -6 . Me m o ry Ma n a g e m e n t R e g ist e rs
0 47(79)
GDTR
IDTR
System Table Registers
32(64)-bit Linear Base Address 16-Bit Table Limit
15 16
32(64)-bit Linear Base Address
0
Task
LDTR
System Segment
Seg. Sel.
15
Seg. Sel.
Segment Descriptor Registers (Automatically Loaded)
32(64)-bit Linear Base Address Segment Limit
Attributes
Registers
32(64)-bit Linear Base Address Segment Limit
Register
16-Bit Table Limit
Vol. 3A 2-13
SYSTEM ARCHITECTURE OVERVIEW
2 .4 .4 Ta sk R e g ist e r (T R )
The task register holds the 16-bit segment selector, base address (32 bits in protected mode; 64 bits in IA-32e
mode), segment limit, and descriptor attributes for the TSS of the current task. The selector references the TSS
descriptor in the GDT. The base address specifies the linear address of byte 0 of the TSS; the segment limit speci-
fies the number of bytes in the TSS. See also: Section 7.2.4, Task Register.
The LTR and STR instructions load and store the segment selector part of the task register, respectively. When the
LTR instruction loads a segment selector in the task register, the base address, limit, and descriptor attributes from
the TSS descriptor are automatically loaded into the task register. On power up or reset of the processor, the base
address is set to the default value of 0 and the limit is set to 0FFFFH.
When a task switch occurs, the task register is automatically loaded with the segment selector and descriptor for
the TSS for the new task. The contents of the task register are not automatically saved prior to writing the new TSS
information into the register.
2 .5 C O N T R O L R E G I S T E R S
Control registers (CR0, CR1, CR2, CR3, and CR4; see Figure 2-7) determine operating mode of the processor and
the characteristics of the currently executing task. These registers are 32 bits in all 32-bit modes and compatibility
mode.
In 64-bit mode, control registers are expanded to 64 bits. The MOV CRn instructions are used to manipulate the
register bits. Operand-size prefixes for these instructions are ignored. The following is also true:
Bits 63:32 of CR0 and CR4 are reserved and must be written with zeros. Writing a nonzero value to any of the
upper 32 bits results in a general-protection exception, #GP(0).
All 64 bits of CR2 are writable by software.
Bits 51:40 of CR3 are reserved and must be 0.
The MOV CRn instructions do not check that addresses written to CR2 and CR3 are within the linear-address or
physical-address limitations of the implementation.
Register CR8 is available in 64-bit mode only.
The control registers are summarized below, and each architecturally defined control field in these control registers
are described individually. In Figure 2-7, the width of the register in 64-bit mode is indicated in parenthesis (except
for CR0).
CR0 Contains system control flags that control operating mode and states of the processor.
CR1 Reserved.
CR2 Contains the page-fault linear address (the linear address that caused a page fault).
CR3 Contains the physical address of the base of the paging-structure hierarchy and two flags (PCD and
PWT). Only the most-significant bits (less the lower 12 bits) of the base address are specified; the lower 12 bits
of the address are assumed to be 0. The first paging structure must thus be aligned to a page (4-KByte)
boundary. The PCD and PWT flags control caching of that paging structure in the processors internal data
caches (they do not control TLB caching of page-directory information).
When using the physical address extension, the CR3 register contains the base address of the page-directory-
pointer table In IA-32e mode, the CR3 register contains the base address of the PML4 table.
See also: Chapter 4, Paging.
CR4 Contains a group of flags that enable several architectural extensions, and indicate operating system or
executive support for specific processor capabilities. The control registers can be read and loaded (or modified)
using the move-to-or-from-control-registers forms of the MOV instruction. In protected mode, the MOV
instructions allow the control registers to be read or loaded (at privilege level 0 only). This restriction means
that application programs or operating-system procedures (running at privilege levels 1, 2, or 3) are prevented
from reading or loading the control registers.
2-14 Vol. 3A
SYSTEM ARCHITECTURE OVERVIEW
CR8 Provides read and write access to the Task Priority Register (TPR). It specifies the priority threshold
value that operating systems use to control the priority class of external interrupts allowed to interrupt the
processor. This register is available only in 64-bit mode. However, interrupt filtering continues to apply in
compatibility mode.
When loading a control register, reserved bits should always be set to the values previously read. The flags in
control registers are:
PG Paging (bit 31 of CR0) Enables paging when set; disables paging when clear. When paging is
disabled, all linear addresses are treated as physical addresses. The PG flag has no effect if the PE flag (bit
0 of register CR0) is not also set; setting the PG flag when the PE flag is clear causes a general-protection
exception (#GP). See also: Chapter 4, Paging.
On Intel 64 processors, enabling and disabling IA-32e mode operation also requires modifying CR0.PG.
CD Cache Disable (bit 30 of CR0) When the CD and NW flags are clear, caching of memory locations for
the whole of physical memory in the processors internal (and external) caches is enabled. When the CD
flag is set, caching is restricted as described in Table 11-5. To prevent the processor from accessing and
updating its caches, the CD flag must be set and the caches must be invalidated so that no cache hits can
occur.
See also: Section 11.5.3, Preventing Caching, and Section 11.5, Cache Control.
NW Not Write-through (bit 29 of CR0) When the NW and CD flags are clear, write-back (for Pentium 4,
Intel Xeon, P6 family, and Pentium processors) or write-through (for Intel486 processors) is enabled for
writes that hit the cache and invalidation cycles are enabled. See Table 11-5 for detailed information about
the affect of the NW flag on caching for other settings of the CD and NW flags.
AM Alignment Mask (bit 18 of CR0) Enables automatic alignment checking when set; disables alignment
checking when clear. Alignment checking is performed only when the AM flag is set, the AC flag in the
EFLAGS register is set, CPL is 3, and the processor is operating in either protected or virtual-8086 mode.
F ig u re 2 -7. C o n t ro l R e g ist e rs
CR1
W
P
A
M
Page-Directory Base
V
M
E
P
S
E
T
S
D
D
E
P
V
I
P
G
E
M
C
E
P
A
E
P
C
E
N
W
P
G
C
D
P
W
T
P
C
D
Page-Fault Linear Address
P
E
E
M
M
P
T
S
N
E
E
T
CR2
CR0
CR4
Reserved
CR3
Reserved
31 29 30 28 19 18 17 16 15 6 5 4 3 2 1 0
31(63) 0
31(63) 0
31(63) 12 11 5 4 3 2
31(63) 9 8 7 6 5 4 3 2 1 0
(PDBR)
13 12 11 10
OSFXSR
OSXMMEXCPT
V
M
X
E E
X
M
S
14 18
OSXSAVE PCIDE
17
S
M
E
P
20
FSGSBASE
16 15
Vol. 3A 2-15
SYSTEM ARCHITECTURE OVERVIEW
WP Write Protect (bit 16 of CR0) When set, inhibits supervisor-level procedures from writing into read-
only pages; when clear, allows supervisor-level procedures to write into read-only pages (regardless of the
U/S bit setting; see Section 4.1.3 and Section 4.6). This flag facilitates implementation of the copy-on-
write method of creating a new process (forking) used by operating systems such as UNIX.
NE Numeric Error (bit 5 of CR0) Enables the native (internal) mechanism for reporting x87 FPU errors
when set; enables the PC-style x87 FPU error reporting mechanism when clear. When the NE flag is clear
and the IGNNE# input is asserted, x87 FPU errors are ignored. When the NE flag is clear and the IGNNE#
input is deasserted, an unmasked x87 FPU error causes the processor to assert the FERR# pin to generate
an external interrupt and to stop instruction execution immediately before executing the next waiting
floating-point instruction or WAIT/FWAIT instruction.
The FERR# pin is intended to drive an input to an external interrupt controller (the FERR# pin emulates the
ERROR# pin of the Intel 287 and Intel 387 DX math coprocessors). The NE flag, IGNNE# pin, and FERR#
pin are used with external logic to implement PC-style error reporting. Using FERR# and IGNNE# to handle
floating-point exceptions is deprecated by modern operating systems; this non-native approach also limits
newer processors to operate with one logical processor active.
See also: Software Exception Handling in Chapter 8, Programming with the x87 FPU, and Appendix A,
EFLAGS Cross-Reference, in the Intel 64 and IA-32 Architectures Software Developers Manual,
Volume 1.
ET Extension Type (bit 4 of CR0) Reserved in the Pentium 4, Intel Xeon, P6 family, and Pentium proces-
sors. In the Pentium 4, Intel Xeon, and P6 family processors, this flag is hardcoded to 1. In the Intel386
and Intel486 processors, this flag indicates support of Intel 387 DX math coprocessor instructions when
set.
TS Task Switched (bit 3 of CR0) Allows the saving of the x87 FPU/MMX/SSE/SSE2/SSE3/SSSE3/SSE4
context on a task switch to be delayed until an x87 FPU/MMX/SSE/SSE2/SSE3/SSSE3/SSE4 instruction is
actually executed by the new task. The processor sets this flag on every task switch and tests it when
executing x87 FPU/MMX/SSE/SSE2/SSE3/SSSE3/SSE4 instructions.
If the TS flag is set and the EM flag (bit 2 of CR0) is clear, a device-not-available exception (#NM) is
raised prior to the execution of any x87 FPU/MMX/SSE/ SSE2/SSE3/SSSE3/SSE4 instruction; with the
exception of PAUSE, PREFETCHh, SFENCE, LFENCE, MFENCE, MOVNTI, CLFLUSH, CRC32, and POPCNT.
See the paragraph below for the special case of the WAIT/FWAIT instructions.
If the TS flag is set and the MP flag (bit 1 of CR0) and EM flag are clear, an #NM exception is not raised
prior to the execution of an x87 FPU WAIT/FWAIT instruction.
If the EM flag is set, the setting of the TS flag has no affect on the execution of x87
FPU/MMX/SSE/SSE2/SSE3/SSSE3/SSE4 instructions.
Table 2-2 shows the actions taken when the processor encounters an x87 FPU instruction based on the
settings of the TS, EM, and MP flags. Table 12-1 and 13-1 show the actions taken when the processor
encounters an MMX/SSE/SSE2/SSE3/SSSE3/SSE4 instruction.
The processor does not automatically save the context of the x87 FPU, XMM, and MXCSR registers on a
task switch. Instead, it sets the TS flag, which causes the processor to raise an #NM exception whenever
it encounters an x87 FPU/MMX/SSE /SSE2/SSE3/SSSE3/SSE4 instruction in the instruction stream for the
new task (with the exception of the instructions listed above).
The fault handler for the #NM exception can then be used to clear the TS flag (with the CLTS instruction) and save
the context of the x87 FPU, XMM, and MXCSR registers. If the task never encounters an x87
FPU/MMX/SSE/SSE2/SSE3//SSSE3/SSE4 instruction; the x87 FPU/MMX/SSE/SSE2/ SSE3/SSSE3/SSE4 context is
never saved.
2-16 Vol. 3A
SYSTEM ARCHITECTURE OVERVIEW
EM Emulation (bit 2 of CR0) Indicates that the processor does not have an internal or external x87 FPU
when set; indicates an x87 FPU is present when clear. This flag also affects the execution of
MMX/SSE/SSE2/SSE3/SSSE3/SSE4 instructions.
When the EM flag is set, execution of an x87 FPU instruction generates a device-not-available exception
(#NM). This flag must be set when the processor does not have an internal x87 FPU or is not connected to
an external math coprocessor. Setting this flag forces all floating-point instructions to be handled by soft-
ware emulation. Table 9-2 shows the recommended setting of this flag, depending on the IA-32 processor
and x87 FPU or math coprocessor present in the system. Table 2-2 shows the interaction of the EM, MP, and
TS flags.
Also, when the EM flag is set, execution of an MMX instruction causes an invalid-opcode exception (#UD)
to be generated (see Table 12-1). Thus, if an IA-32 or Intel 64 processor incorporates MMX technology, the
EM flag must be set to 0 to enable execution of MMX instructions.
Similarly for SSE/SSE2/SSE3/SSSE3/SSE4 extensions, when the EM flag is set, execution of most
SSE/SSE2/SSE3/SSSE3/SSE4 instructions causes an invalid opcode exception (#UD) to be generated (see
Table 13-1). If an IA-32 or Intel 64 processor incorporates the SSE/SSE2/SSE3/SSSE3/SSE4 extensions,
the EM flag must be set to 0 to enable execution of these extensions. SSE/SSE2/SSE3/SSSE3/SSE4
instructions not affected by the EM flag include: PAUSE, PREFETCHh, SFENCE, LFENCE, MFENCE, MOVNTI,
CLFLUSH, CRC32, and POPCNT.
MP Monitor Coprocessor (bit 1 of CR0). Controls the interaction of the WAIT (or FWAIT) instruction with
the TS flag (bit 3 of CR0). If the MP flag is set, a WAIT instruction generates a device-not-available exception
(#NM) if the TS flag is also set. If the MP flag is clear, the WAIT instruction ignores the setting of the TS flag.
Table 9-2 shows the recommended setting of this flag, depending on the IA-32 processor and x87 FPU or
math coprocessor present in the system. Table 2-2 shows the interaction of the MP, EM, and TS flags.
PE Protection Enable (bit 0 of CR0) Enables protected mode when set; enables real-address mode when
clear. This flag does not enable paging directly. It only enables segment-level protection. To enable paging,
both the PE and PG flags must be set.
See also: Section 9.9, Mode Switching.
PCD Page-level Cache Disable (bit 4 of CR3) Controls the memory type used to access the first paging
structure of the current paging-structure hierarchy. See Section 4.9, Paging and Memory Typing. This bit
is not used if paging is disabled, with PAE paging, or with IA-32e paging if CR4.PCIDE=1.
PWT Page-level Write-Through (bit 3 of CR3) Controls the memory type used to access the first paging
structure of the current paging-structure hierarchy. See Section 4.9, Paging and Memory Typing. This bit
is not used if paging is disabled, with PAE paging, or with IA-32e paging if CR4.PCIDE=1.
VME Virtual-8086 Mode Extensions (bit 0 of CR4) Enables interrupt- and exception-handling extensions
in virtual-8086 mode when set; disables the extensions when clear. Use of the virtual mode extensions can
improve the performance of virtual-8086 applications by eliminating the overhead of calling the virtual-
8086 monitor to handle interrupts and exceptions that occur while executing an 8086 program and,
instead, redirecting the interrupts and exceptions back to the 8086 programs handlers. It also provides
Ta b le 2 -2 . Act io n Ta ke n B y x 8 7 F P U I n st ru ct io n s fo r D if fe re n t C o m b in a t io n s o f E M, MP, a n d T S
C R 0 F la g s x 8 7 F P U I n st ru ct io n T y p e
E M MP T S F lo a t in g -P o in t WAI T/F WAI T
0 0 0 Execute Execute.
0 0 1 #NM Exception Execute.
0 1 0 Execute Execute.
0 1 1 #NM Exception #NM exception.
1 0 0 #NM Exception Execute.
1 0 1 #NM Exception Execute.
1 1 0 #NM Exception Execute.
1 1 1 #NM Exception #NM exception.
Vol. 3A 2-17
SYSTEM ARCHITECTURE OVERVIEW
hardware support for a virtual interrupt flag (VIF) to improve reliability of running 8086 programs in multi-
tasking and multiple-processor environments.
See also: Section 20.3, Interrupt and Exception Handling in Virtual-8086 Mode.
PVI Protected-Mode Virtual Interrupts (bit 1 of CR4) Enables hardware support for a virtual interrupt
flag (VIF) in protected mode when set; disables the VIF flag in protected mode when clear.
See also: Section 20.4, Protected-Mode Virtual Interrupts.
TSD Time Stamp Disable (bit 2 of CR4) Restricts the execution of the RDTSC instruction to procedures
running at privilege level 0 when set; allows RDTSC instruction to be executed at any privilege level when
clear. This bit also applies to the RDTSCP instruction if supported (if CPUID.80000001H:EDX[27] = 1).
DE Debugging Extensions (bit 3 of CR4) References to debug registers DR4 and DR5 cause an unde-
fined opcode (#UD) exception to be generated when set; when clear, processor aliases references to regis-
ters DR4 and DR5 for compatibility with software written to run on earlier IA-32 processors.
See also: Section 17.2.2, Debug Registers DR4 and DR5.
PSE Page Size Extensions (bit 4 of CR4) Enables 4-MByte pages with 32-bit paging when set; restricts
32-bit paging to pages to 4 KBytes when clear.
See also: Section 4.3, 32-Bit Paging.
PAE Physical Address Extension (bit 5 of CR4) When set, enables paging to produce physical addresses
with more than 32 bits. When clear, restricts physical addresses to 32 bits. PAE must be set before entering
IA-32e mode.
See also: Chapter 4, Paging.
MCE Machine-Check Enable (bit 6 of CR4) Enables the machine-check exception when set; disables the
machine-check exception when clear.
See also: Chapter 15, Machine-Check Architecture.
PGE Page Global Enable (bit 7 of CR4) (Introduced in the P6 family processors.) Enables the global page
feature when set; disables the global page feature when clear. The global page feature allows frequently
used or shared pages to be marked as global to all users (done with the global flag, bit 8, in a page-direc-
tory or page-table entry). Global pages are not flushed from the translation-lookaside buffer (TLB) on a
task switch or a write to register CR3.
When enabling the global page feature, paging must be enabled (by setting the PG flag in control register
CR0) before the PGE flag is set. Reversing this sequence may affect program correctness, and processor
performance will be impacted.
See also: Section 4.10, Caching Translation Information.
PCE Performance-Monitoring Counter Enable (bit 8 of CR4) Enables execution of the RDPMC instruc-
tion for programs or procedures running at any protection level when set; RDPMC instruction can be
executed only at protection level 0 when clear.
OSFXSR
Operating System Support for FXSAVE and FXRSTOR instructions (bit 9 of CR4) When set, this
flag: (1) indicates to software that the operating system supports the use of the FXSAVE and FXRSTOR
instructions, (2) enables the FXSAVE and FXRSTOR instructions to save and restore the contents of the
XMM and MXCSR registers along with the contents of the x87 FPU and MMX registers, and (3) enables the
processor to execute SSE/SSE2/SSE3/SSSE3/SSE4 instructions, with the exception of the PAUSE,
PREFETCHh, SFENCE, LFENCE, MFENCE, MOVNTI, CLFLUSH, CRC32, and POPCNT.
If this flag is clear, the FXSAVE and FXRSTOR instructions will save and restore the contents of the x87 FPU
and MMX instructions, but they may not save and restore the contents of the XMM and MXCSR registers.
Also, the processor will generate an invalid opcode exception (#UD) if it attempts to execute any
SSE/SSE2/SSE3 instruction, with the exception of PAUSE, PREFETCHh, SFENCE, LFENCE, MFENCE,
MOVNTI, CLFLUSH, CRC32, and POPCNT. The operating system or executive must explicitly set this flag.
2-18 Vol. 3A
SYSTEM ARCHITECTURE OVERVIEW
N O T E
CPUID feature flags FXSR indicates availability of the FXSAVE/FXRSTOR instructions. The OSFXSR
bit provides operating system software with a means of enabling FXSAVE/FXRSTOR to save/restore
the contents of the X87 FPU, XMM and MXCSR registers. Consequently OSFXSR bit indicates that
the operating system provides context switch support for SSE/SSE2/SSE3/SSSE3/SSE4.
OSXMMEXCPT
Operating System Support for Unmasked SIMD Floating-Point Exceptions (bit 10 of CR4)
When set, indicates that the operating system supports the handling of unmasked SIMD floating-point
exceptions through an exception handler that is invoked when a SIMD floating-point exception (#XF) is
generated. SIMD floating-point exceptions are only generated by SSE/SSE2/SSE3/SSE4.1 SIMD floating-
point instructions.
The operating system or executive must explicitly set this flag. If this flag is not set, the processor will
generate an invalid opcode exception (#UD) whenever it detects an unmasked SIMD floating-point excep-
tion.
VMXE
VMX-Enable Bit (bit 13 of CR4) Enables VMX operation when set. See Chapter 23, Introduction to
Virtual-Machine Extensions.
SMXE
SMX-Enable Bit (bit 14 of CR4) Enables SMX operation when set. See Chapter 30, VMX Instruction
Reference of Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3C.
FSGSBASE
FSGSBASE-Enable Bit (bit 16 of CR4) Enables the instructions RDFSBASE, RDGSBASE, WRFSBASE,
and WRGSBASE.
PCIDE
PCID-Enable Bit (bit 17 of CR4) Enables process-context identifiers (PCIDs) when set. See Section
4.10.1, Process-Context Identifiers (PCIDs). Can be set only in IA-32e mode (if IA32_EFER.LMA = 1).
OSXSAVE
XSAVE and Processor Extended States-Enable Bit (bit 18 of CR4) When set, this flag: (1) indi-
cates (via CPUID.01H:ECX.OSXSAVE[bit 27]) that the operating system supports the use of the XGETBV,
XSAVE and XRSTOR instructions by general software; (2) enables the XSAVE and XRSTOR instructions to
save and restore the x87 FPU state (including MMX registers), the SSE state (XMM registers and MXCSR),
along with other processor extended states enabled in XCR0; (3) enables the processor to execute XGETBV
and XSETBV instructions in order to read and write XCR0. See Section 2.6 and Chapter 13, System
Programming for Instruction Set Extensions and Processor Extended States.
SMEP
SMEP-Enable Bit (bit 20 of CR4) Enables supervisor-mode execution prevention (SMEP) when set.
See Section 4.6, Access Rights.
TPL
Task Priority Level (bit 3:0 of CR8) This sets the threshold value corresponding to the highest-
priority interrupt to be blocked. A value of 0 means all interrupts are enabled. This field is available in 64-
bit mode. A value of 15 means all interrupts will be disabled.
2 .5 .1 C P U I D Q u a lifica t io n o f C o n t ro l R e g ist e r F la g s
Not all flags in control register CR4 are implemented on all processors. With the exception of the PCE flag, they can
be qualified with the CPUID instruction to determine if they are implemented on the processor before they are
used.
The CR8 register is available on processors that support Intel 64 architecture.
Vol. 3A 2-19
SYSTEM ARCHITECTURE OVERVIEW
2 .6 E XT E N D E D C O N T R O L R E G I S T E R S (I N C LU D I N G XC R 0 )
If CPUID.01H:ECX.XSAVE[bit 26] is 1, the processor supports one or more extended control registers (XCRs).
Currently, the only such register defined is XCR0. This register specifies the set of processor states that the oper-
ating system enables on that processor, e.g. x87 FPU state, SSE state, AVX state, and other processor extended
states that Intel 64 architecture may introduce in the future. The OS programs XCR0 to reflect the features it
supports.
Software can access XCR0 only if CR4.OSXSAVE[bit 18] = 1. (This bit is also readable as
CPUID.01H:ECX.OSXSAVE[bit 27].) The layout of XCR0 is architected to allow software to use CPUID leaf function
0DH to enumerate the set of bits that the processor supports in XCR0 (see CPUID instruction in Intel 64 and
IA-32 Architectures Software Developers Manual, Volume 2A). Each processor state (X87 FPU state, SSE state,
AVX state, or a future processor extended state) is represented by a bit in XCR0. The OS can enable future
processor extended states in a forward manner by specifying the appropriate bit mask value using the XSETBV
instruction according to the results of the CPUID leaf 0DH.
With the exception of bit 63, each bit in XCR0 corresponds to a subset of the processor states. XCR0 thus provides
space for up to 63 sets of processor state extensions. Bit 63 of XCR0 is reserved for future expansion and will not
represent a processor extended state.
Currently, XCR0 has three processor states defined, with up to 61 bits reserved for future processor extended
states:
XCR0.X87 (bit 0): This bit 0 must be 1. An attempt to write 0 to this bit causes a #GP exception.
XCR0.SSE (bit 1): If 1, XSAVE, XSAVEOPT, and XRSTOR can be used to manage MXCSR and XMM registers
(XMM0-XMM15 in 64-bit mode; otherwise XMM0-XMM7).
XCR0.AVX (bit 2): If 1, AVX instructions can be executed and XSAVE, XSAVEOPT, and XRSTOR can be used to
manage the upper halves of the YMM registers (YMM0-YMM15 in 64-bit mode; otherwise YMM0-YMM7).
Any attempt to set a reserved bit (as determined by the contents of EAX and EDX after executing CPUID with
EAX=0DH, ECX= 0H) in XCR0 for a given processor will result in a #GP exception. An attempt to write 0 to
XCR0.x87 (bit 0) will result in a #GP exception. An attempt to write 0 to XCR0.SSE (bit 1) and 1 to XCR0.AVX (bit
2) also results in a #GP exception.
If a bit in XCR0 is 1, software can use the XSAVE instruction to save the corresponding processor state to memory
(see XSAVE instruction in Intel 64 and IA-32 Architectures Software Developers Manual, Volume 2B).
After reset, all bits (except bit 0) in XCR0 are cleared to zero, XCR0[0] is set to 1.
2 .7 S YS T E M I N S T R U C T I O N S U MMAR Y
System instructions handle system-level functions such as loading system registers, managing the cache,
managing interrupts, or setting up the debug registers. Many of these instructions can be executed only by oper-
F ig u re 2 -8 . XC R 0
63
Reserved for XCR0 bit vector expansion
Reserved / Future processor extended states
2 1 0
AVX state
1
Reserved (must be 0)
x87 FPU/MMX state (must be 1)
SSE state
2-20 Vol. 3A
SYSTEM ARCHITECTURE OVERVIEW
ating-system or executive procedures (that is, procedures running at privilege level 0). Others can be executed at
any privilege level and are thus available to application programs.
Table 2-3 lists the system instructions and indicates whether they are available and useful for application programs.
These instructions are described in the Intel 64 and IA-32 Architectures Software Developers Manual, Volumes
2A, 2B & 2C.
Ta b le 2 -3 . S u m m a ry o f S y st e m I n st ru ct io n s
I n st ru ct io n D e scrip t io n
U se f u l to
Ap p lica t io n ?
P ro t e ct e d fro m
Ap p lica t io n ?
LLDT Load LDT Register No Yes
SLDT Store LDT Register No No
LGDT Load GDT Register No Yes
SGDT Store GDT Register No No
LTR Load Task Register No Yes
STR Store Task Register No No
LIDT Load IDT Register No Yes
SIDT Store IDT Register No No
MOV CRn Load and store control registers No Yes
SMSW Store MSW Yes No
LMSW Load MSW No Yes
CLTS Clear TS flag in CR0 No Yes
ARPL Adjust RPL Yes
1, 5
No
LAR Load Access Rights Yes No
LSL Load Segment Limit Yes No
VERR Verify for Reading Yes No
VERW Verify for Writing Yes No
MOV DRn Load and store debug registers No Yes
INVD Invalidate cache, no writeback No Yes
WBINVD Invalidate cache, with writeback No Yes
INVLPG Invalidate TLB entry No Yes
HLT Halt Processor No Yes
LOCK (Prefix) Bus Lock Yes No
RSM Return fromsystemmanagement mode No Yes
RDMSR
3
Read Model-Specific Registers No Yes
WRMSR
3
Write Model-Specific Registers No Yes
RDPMC
4
Read Performance-Monitoring Counter Yes Yes
2
RDTSC
3
Read Time-Stamp Counter Yes Yes
2
RDTSCP
7
Read Serialized Time-Stamp Counter Yes Yes
2
XGETBV Return the state of XCR0 Yes No
XSETBV Enable one or more processor extended states No
6
Yes
Vol. 3A 2-21
SYSTEM ARCHITECTURE OVERVIEW
2 .7.1 Lo a d in g a n d S t o rin g S y st e m R e g ist e rs
The GDTR, LDTR, IDTR, and TR registers each have a load and store instruction for loading data into and storing
data from the register:
LGDT (Load GDTR Register) Loads the GDT base address and limit from memory into the GDTR register.
SGDT (Store GDTR Register) Stores the GDT base address and limit from the GDTR register into memory.
LIDT (Load IDTR Register) Loads the IDT base address and limit from memory into the IDTR register.
SIDT (Load IDTR Register Stores the IDT base address and limit from the IDTR register into memory.
LLDT (Load LDT Register) Loads the LDT segment selector and segment descriptor from memory into the
LDTR. (The segment selector operand can also be located in a general-purpose register.)
SLDT (Store LDT Register) Stores the LDT segment selector from the LDTR register into memory or a
general-purpose register.
LTR (Load Task Register) Loads segment selector and segment descriptor for a TSS from memory into the
task register. (The segment selector operand can also be located in a general-purpose register.)
STR (Store Task Register) Stores the segment selector for the current task TSS from the task register into
memory or a general-purpose register.
The LMSW (load machine status word) and SMSW (store machine status word) instructions operate on bits 0
through 15 of control register CR0. These instructions are provided for compatibility with the 16-bit Intel 286
processor. Programs written to run on 32-bit IA-32 processors should not use these instructions. Instead, they
should access the control register CR0 using the MOV instruction.
The CLTS (clear TS flag in CR0) instruction is provided for use in handling a device-not-available exception (#NM)
that occurs when the processor attempts to execute a floating-point instruction when the TS flag is set. This
instruction allows the TS flag to be cleared after the x87 FPU context has been saved, preventing further #NM
exceptions. See Section 2.5, Control Registers, for more information on the TS flag.
The control registers (CR0, CR1, CR2, CR3, CR4, and CR8) are loaded using the MOV instruction. The instruction
loads a control register from a general-purpose register or stores the content of a control register in a general-
purpose register.
2 .7.2 Ve rify in g o f Acce ss P riv ile g e s
The processor provides several instructions for examining segment selectors and segment descriptors to deter-
mine if access to their associated segments is allowed. These instructions duplicate some of the automatic access
rights and type checking done by the processor, thus allowing operating-system or executive software to prevent
exceptions from being generated.
The ARPL (adjust RPL) instruction adjusts the RPL (requestor privilege level) of a segment selector to match that
of the program or procedure that supplied the segment selector. See Section 5.10.4, Checking Caller Access Priv-
N O T E S :
1. Useful to application programs running at a CPL of 1 or 2.
2. The TSD and PCE flags in control register CR4 control access to these instructions by application programs running at a CPL of 3.
3. These instructions were introduced into the IA-32 Architecture with the Pentium processor.
4. This instruction was introduced into the IA-32 Architecture with the Pentium Pro processor and the Pentiumprocessor with MMX
technology.
5. This instruction is not supported in 64-bit mode.
6. Application uses XGETBV to query which set of processor extended states are enabled.
7. RDTSCP is introduced in Intel Core i7 processor.
Ta b le 2 -3 . S u m m a ry o f S y st e m I n st ru ct io n s (C o n t d .)
I n st ru ct io n D e scrip t io n
U se fu l t o
Ap p lica t io n ?
P ro t e ct e d fro m
Ap p lica t io n ?
2-22 Vol. 3A
SYSTEM ARCHITECTURE OVERVIEW
ileges (ARPL Instruction), for a detailed explanation of the function and use of this instruction. Note that ARPL is
not supported in 64-bit mode.
The LAR (load access rights) instruction verifies the accessibility of a specified segment and loads access rights
information from the segments segment descriptor into a general-purpose register. Software can then examine
the access rights to determine if the segment type is compatible with its intended use. See Section 5.10.1,
Checking Access Rights (LAR Instruction), for a detailed explanation of the function and use of this instruction.
The LSL (load segment limit) instruction verifies the accessibility of a specified segment and loads the segment
limit from the segments segment descriptor into a general-purpose register. Software can then compare the
segment limit with an offset into the segment to determine whether the offset lies within the segment. See Section
5.10.3, Checking That the Pointer Offset Is Within Limits (LSL Instruction), for a detailed explanation of the func-
tion and use of this instruction.
The VERR (verify for reading) and VERW (verify for writing) instructions verify if a selected segment is readable or
writable, respectively, at a given CPL. See Section 5.10.2, Checking Read/Write Rights (VERR and VERW Instruc-
tions), for a detailed explanation of the function and use of this instruction.
2 .7.3 Lo a d in g a n d S t o rin g D e b u g R e g ist e rs
Internal debugging facilities in the processor are controlled by a set of 8 debug registers (DR0-DR7). The MOV
instruction allows setup data to be loaded to and stored from these registers.
On processors that support Intel 64 architecture, debug registers DR0-DR7 are 64 bits. In 32-bit modes and
compatibility mode, writes to a debug register fill the upper 32 bits with zeros. Reads return the lower 32 bits. In
64-bit mode, the upper 32 bits of DR6-DR7 are reserved and must be written with zeros. Writing one to any of the
upper 32 bits causes an exception, #GP(0).
In 64-bit mode, MOV DRn instructions read or write all 64 bits of a debug register (operand-size prefixes are
ignored). All 64 bits of DR0-DR3 are writable by software. However, MOV DRn instructions do not check that
addresses written to DR0-DR3 are in the limits of the implementation. Address matching is supported only on valid
addresses generated by the processor implementation.
2 .7.4 I n v a lid a t in g C a ch e s a n d T LB s
The processor provides several instructions for use in explicitly invalidating its caches and TLB entries. The INVD
(invalidate cache with no writeback) instruction invalidates all data and instruction entries in the internal caches
and sends a signal to the external caches indicating that they should be also be invalidated.
The WBINVD (invalidate cache with writeback) instruction performs the same function as the INVD instruction,
except that it writes back modified lines in its internal caches to memory before it invalidates the caches. After
invalidating the caches local to the executing logical processor or processor core, WBINVD signals caches higher in
the cache hierarchy (caches shared with the invalidating logical processor or core) to write back any data they have
in modified state, at the time of instruction execution and to invalidate their contents.
Note, non-shared caches may not be written back nor invalidated. In Figure 2-9 below, if code executing on either
LP0 or LP1 were to execute a WBINVD, the shared L1 and L2 for LP0/LP1 will be written back and invalidated as do
the shared L3. However, the L1 and L2 caches not shared with LP0 and LP1 will not be written back nor invalidated.
Vol. 3A 2-23
SYSTEM ARCHITECTURE OVERVIEW
The INVLPG (invalidate TLB entry) instruction invalidates (flushes) the TLB entry for a specified page.
2 .7.5 C o n t ro llin g t h e P ro ce sso r
The HLT (halt processor) instruction stops the processor until an enabled interrupt (such as NMI or SMI, which are
normally enabled), a debug exception, the BINIT# signal, the INIT# signal, or the RESET# signal is received. The
processor generates a special bus cycle to indicate that the halt mode has been entered.
Hardware may respond to this signal in a number of ways. An indicator light on the front panel may be turned on.
An NMI interrupt for recording diagnostic information may be generated. Reset initialization may be invoked (note
that the BINIT# pin was introduced with the Pentium Pro processor). If any non-wake events are pending during
shutdown, they will be handled after the wake event from shutdown is processed (for example, A20M# interrupts).
The LOCK prefix invokes a locked (atomic) read-modify-write operation when modifying a memory operand. This
mechanism is used to allow reliable communications between processors in multiprocessor systems, as described
below:
In the Pentium processor and earlier IA-32 processors, the LOCK prefix causes the processor to assert the
LOCK# signal during the instruction. This always causes an explicit bus lock to occur.
In the Pentium 4, Intel Xeon, and P6 family processors, the locking operation is handled with either a cache lock
or bus lock. If a memory access is cacheable and affects only a single cache line, a cache lock is invoked and
the system bus and the actual memory location in system memory are not locked during the operation. Here,
other Pentium 4, Intel Xeon, or P6 family processors on the bus write-back any modified data and invalidate
their caches as necessary to maintain system memory coherency. If the memory access is not cacheable
and/or it crosses a cache line boundary, the processors LOCK# signal is asserted and the processor does not
respond to requests for bus control during the locked operation.
The RSM (return from SMM) instruction restores the processor (from a context dump) to the state it was in prior to
an system management mode (SMM) interrupt.
2 .7.6 R e a d in g P e rfo rm a n ce -Mo n it o rin g a n d T im e -S t a m p C o u n t e rs
The RDPMC (read performance-monitoring counter) and RDTSC (read time-stamp counter) instructions allow
application programs to read the processors performance-monitoring and time-stamp counters, respectively.
Processors based on Intel NetBurst

microarchitecture have eighteen 40-bit performance-monitoring counters; P6


family processors have two 40-bit counters. Intel

Atom processors and most of the processors based on the


Intel Core microarchitecture support two types of performance monitoring counters: two programmable perfor-
F ig u re 2 -9 . WB I N V D I n v a lid a t io n o f S h a re d a n d N o n -S h a re d C a ch e H ie ra rch y
Logical Processors
L1 & L2 Cache
LP0 LP5
QPI
LP1 LP2 LP3 LP4 LP6 LP7
Execution Engine
L3 Cache
Uncore
DDR3
Written back
Written back and Invalidated
Not Written back and
not Invalidated
& Invalidated
2-24 Vol. 3A
SYSTEM ARCHITECTURE OVERVIEW
mance counters similar to those available in the P6 family, and three fixed-function performance monitoring
counters.
The programmable performance counters can support counting either the occurrence or duration of events. Events
that can be monitored on programmable counters generally are model specific (except for architectural perfor-
mance events enumerated by CPUID leaf 0AH); they may include the number of instructions decoded, interrupts
received, or the number of cache loads. Individual counters can be set up to monitor different events. Use the
system instruction WRMSR to set up values in IA32_PERFEVTSEL0/1 (for Intel Atom, Intel Core 2, Intel Core Duo,
and Intel Pentium M processors), in one of the 45 ESCRs and one of the 18 CCCR MSRs (for Pentium 4 and Intel
Xeon processors); or in the PerfEvtSel0 or the PerfEvtSel1 MSR (for the P6 family processors). The RDPMC instruc-
tion loads the current count from the selected counter into the EDX:EAX registers.
Fixed-function performance counters record only specific events that are defined in Chapter 23, Introduction to
Virtual-Machine Extensions, and the width/number of fixed-function counters are enumerated by CPUID leaf 0AH.
The time-stamp counter is a model-specific 64-bit counter that is reset to zero each time the processor is reset. If
not reset, the counter will increment ~9.5 x 10
16
times per year when the processor is operating at a clock rate
of 3GHz. At this clock frequency, it would take over 190 years for the counter to wrap around. The RDTSC
instruction loads the current count of the time-stamp counter into the EDX:EAX registers.
See Section 18.1, Performance Monitoring Overview, and Section 17.13, Time-Stamp Counter, for more infor-
mation about the performance monitoring and time-stamp counters.
The RDTSC instruction was introduced into the IA-32 architecture with the Pentium processor. The RDPMC instruc-
tion was introduced into the IA-32 architecture with the Pentium Pro processor and the Pentium processor with
MMX technology. Earlier Pentium processors have two performance-monitoring counters, but they can be read only
with the RDMSR instruction, and only at privilege level 0.
2 .7.6 .1 R e a d in g C o u n t e rs in 6 4 -B it Mo d e
In 64-bit mode, RDTSC operates the same as in protected mode. The count in the time-stamp counter is stored in
EDX:EAX (or RDX[31:0]:RAX[31:0] with RDX[63:32]:RAX[63:32] cleared).
RDPMC requires an index to specify the offset of the performance-monitoring counter. In 64-bit mode for Pentium
4 or Intel Xeon processor families, the index is specified in ECX[30:0]. The current count of the performance-moni-
toring counter is stored in EDX:EAX (or RDX[31:0]:RAX[31:0] with RDX[63:32]:RAX[63:32] cleared).
2 .7.7 R e a d in g a n d Writ in g Mo d e l-S p e cific R e g ist e rs
The RDMSR (read model-specific register) and WRMSR (write model-specific register) instructions allow a
processors 64-bit model-specific registers (MSRs) to be read and written, respectively. The MSR to be read or
written is specified by the value in the ECX register.
RDMSR reads the value from the specified MSR to the EDX:EAX registers; WRMSR writes the value in the EDX:EAX
registers to the specified MSR. RDMSR and WRMSR were introduced into the IA-32 architecture with the Pentium
processor.
See Section 9.4, Model-Specific Registers (MSRs), for more information.
2 .7.7.1 R e a d in g a n d Writ in g Mo d e l-S p e cif ic R e g ist e rs in 6 4 -B it Mo d e
RDMSR and WRMSR require an index to specify the address of an MSR. In 64-bit mode, the index is 32 bits; it is
specified using ECX.
2 .7.8 E n a b lin g P ro ce sso r E x t e n d e d S t a t e s
The XSETBV instruction is required to enable OS support of individual processor extended states in XCR0 (see
Section 2.6).
Vol. 3A 3-1
C H AP T E R 3
P R O T E C T E D -MO D E ME MO R Y MAN AG E ME N T
This chapter describes the Intel 64 and IA-32 architectures protected-mode memory management facilities,
including the physical memory requirements, segmentation mechanism, and paging mechanism.
See also: Chapter 5, Protection (for a description of the processors protection mechanism) and Chapter 20,
8086 Emulation (for a description of memory addressing protection in real-address and virtual-8086 modes).
3 .1 ME MO R Y MAN AG E ME N T O VE R V I E W
The memory management facilities of the IA-32 architecture are divided into two parts: segmentation and paging.
Segmentation provides a mechanism of isolating individual code, data, and stack modules so that multiple
programs (or tasks) can run on the same processor without interfering with one another. Paging provides a mech-
anism for implementing a conventional demand-paged, virtual-memory system where sections of a programs
execution environment are mapped into physical memory as needed. Paging can also be used to provide isolation
between multiple tasks. When operating in protected mode, some form of segmentation must be used. There is
no mode bit to disable segmentation. The use of paging, however, is optional.
These two mechanisms (segmentation and paging) can be configured to support simple single-program (or single-
task) systems, multitasking systems, or multiple-processor systems that used shared memory.
As shown in Figure 3-1, segmentation provides a mechanism for dividing the processors addressable memory
space (called the linear address space) into smaller protected address spaces called segments. Segments can
be used to hold the code, data, and stack for a program or to hold system data structures (such as a TSS or LDT).
If more than one program (or task) is running on a processor, each program can be assigned its own set of
segments. The processor then enforces the boundaries between these segments and insures that one program
does not interfere with the execution of another program by writing into the other programs segments. The
segmentation mechanism also allows typing of segments so that the operations that may be performed on a partic-
ular type of segment can be restricted.
All the segments in a system are contained in the processors linear address space. To locate a byte in a particular
segment, a logical address (also called a far pointer) must be provided. A logical address consists of a segment
selector and an offset. The segment selector is a unique identifier for a segment. Among other things it provides an
offset into a descriptor table (such as the global descriptor table, GDT) to a data structure called a segment
descriptor. Each segment has a segment descriptor, which specifies the size of the segment, the access rights and
privilege level for the segment, the segment type, and the location of the first byte of the segment in the linear
address space (called the base address of the segment). The offset part of the logical address is added to the base
address for the segment to locate a byte within the segment. The base address plus the offset thus forms a linear
address in the processors linear address space.
3-2 Vol. 3A
PROTECTED-MODE MEMORY MANAGEMENT
If paging is not used, the linear address space of the processor is mapped directly into the physical address space
of processor. The physical address space is defined as the range of addresses that the processor can generate on
its address bus.
Because multitasking computing systems commonly define a linear address space much larger than it is economi-
cally feasible to contain all at once in physical memory, some method of virtualizing the linear address space is
needed. This virtualization of the linear address space is handled through the processors paging mechanism.
Paging supports a virtual memory environment where a large linear address space is simulated with a small
amount of physical memory (RAM and ROM) and some disk storage. When using paging, each segment is divided
into pages (typically 4 KBytes each in size), which are stored either in physical memory or on the disk. The oper-
ating system or executive maintains a page directory and a set of page tables to keep track of the pages. When a
program (or task) attempts to access an address location in the linear address space, the processor uses the page
directory and page tables to translate the linear address into a physical address and then performs the requested
operation (read or write) on the memory location.
If the page being accessed is not currently in physical memory, the processor interrupts execution of the program
(by generating a page-fault exception). The operating system or executive then reads the page into physical
memory from the disk and continues executing the program.
When paging is implemented properly in the operating-system or executive, the swapping of pages between phys-
ical memory and the disk is transparent to the correct execution of a program. Even programs written for 16-bit IA-
32 processors can be paged (transparently) when they are run in virtual-8086 mode.
3 .2 U S I N G S E G ME N T S
The segmentation mechanism supported by the IA-32 architecture can be used to implement a wide variety of
system designs. These designs range from flat models that make only minimal use of segmentation to protect
F ig u re 3 -1 . S e g m e n t a t io n a n d P a g in g
Global Descriptor
Table (GDT)
Linear Address
Space
Segment
Segment
Descriptor
Offset
Logical Address
Segment
Base Address
Page
Phy. Addr.
Lin. Addr.
Segment
Selector
Dir Table Offset
Linear Address
Page Table
Page Directory
Entry
Physical
Space
Entry
(or Far Pointer)
Paging Segmentation
Address
Page
Vol. 3A 3-3
PROTECTED-MODE MEMORY MANAGEMENT
programs to multi-segmented models that employ segmentation to create a robust operating environment in
which multiple programs and tasks can be executed reliably.
The following sections give several examples of how segmentation can be employed in a system to improve
memory management performance and reliability.
3 .2 .1 B a sic F la t Mo d e l
The simplest memory model for a system is the basic flat model, in which the operating system and application
programs have access to a continuous, unsegmented address space. To the greatest extent possible, this basic flat
model hides the segmentation mechanism of the architecture from both the system designer and the application
programmer.
To implement a basic flat memory model with the IA-32 architecture, at least two segment descriptors must be
created, one for referencing a code segment and one for referencing a data segment (see Figure 3-2). Both of
these segments, however, are mapped to the entire linear address space: that is, both segment descriptors have
the same base address value of 0 and the same segment limit of 4 GBytes. By setting the segment limit to 4
GBytes, the segmentation mechanism is kept from generating exceptions for out of limit memory references, even
if no physical memory resides at a particular address. ROM (EPROM) is generally located at the top of the physical
address space, because the processor begins execution at FFFF_FFF0H. RAM (DRAM) is placed at the bottom of the
address space because the initial base address for the DS data segment after reset initialization is 0.
3 .2 .2 P ro t e ct e d F la t Mo d e l
The protected flat model is similar to the basic flat model, except the segment limits are set to include only the
range of addresses for which physical memory actually exists (see Figure 3-3). A general-protection exception
(#GP) is then generated on any attempt to access nonexistent memory. This model provides a minimum level of
hardware protection against some kinds of program bugs.
F ig u re 3 -2 . F la t Mo d e l
Linear Address Space
(or Physical Memory)
Data and
FFFFFFFFH
Segment
Limit Access
Base Address
Registers
CS
SS
DS
ES
FS
GS
Code
0
Code- and Data-Segment
Descriptors
Stack
Not Present
3-4 Vol. 3A
PROTECTED-MODE MEMORY MANAGEMENT
More complexity can be added to this protected flat model to provide more protection. For example, for the paging
mechanism to provide isolation between user and supervisor code and data, four segments need to be defined:
code and data segments at privilege level 3 for the user, and code and data segments at privilege level 0 for the
supervisor. Usually these segments all overlay each other and start at address 0 in the linear address space. This
flat segmentation model along with a simple paging structure can protect the operating system from applications,
and by adding a separate paging structure for each task or process, it can also protect applications from each other.
Similar designs are used by several popular multitasking operating systems.
3 .2 .3 Mu lt i-S e g m e n t Mo d e l
A multi-segment model (such as the one shown in Figure 3-4) uses the full capabilities of the segmentation mech-
anism to provided hardware enforced protection of code, data structures, and programs and tasks. Here, each
program (or task) is given its own table of segment descriptors and its own segments. The segments can be
completely private to their assigned programs or shared among programs. Access to all segments and to the
execution environments of individual programs running on the system is controlled by hardware.
F ig u re 3 -3 . P ro t e ct e d F la t Mo d e l
Linear Address Space
(or Physical Memory)
Data and
FFFFFFFFH
Segment
Limit Access
Base Address
Registers
CS
ES
SS
DS
FS
GS
Code
0
Segment
Descriptors
Limit Access
Base Address
Memory I/O
Stack
Not Present
Vol. 3A 3-5
PROTECTED-MODE MEMORY MANAGEMENT
Access checks can be used to protect not only against referencing an address outside the limit of a segment, but
also against performing disallowed operations in certain segments. For example, since code segments are desig-
nated as read-only segments, hardware can be used to prevent writes into code segments. The access rights infor-
mation created for segments can also be used to set up protection rings or levels. Protection levels can be used to
protect operating-system procedures from unauthorized access by application programs.
3 .2 .4 S e g m e n t a t io n in I A-3 2 e Mo d e
In IA-32e mode of Intel 64 architecture, the effects of segmentation depend on whether the processor is running
in compatibility mode or 64-bit mode. In compatibility mode, segmentation functions just as it does using legacy
16-bit or 32-bit protected mode semantics.
In 64-bit mode, segmentation is generally (but not completely) disabled, creating a flat 64-bit linear-address
space. The processor treats the segment base of CS, DS, ES, SS as zero, creating a linear address that is equal to
the effective address. The FS and GS segments are exceptions. These segment registers (which hold the segment
base) can be used as an additional base registers in linear address calculations. They facilitate addressing local
data and certain operating system data structures.
Note that the processor does not perform segment limit checks at runtime in 64-bit mode.
3 .2 .5 P a g in g a n d S e g m e n t a t io n
Paging can be used with any of the segmentation models described in Figures 3-2, 3-3, and 3-4. The processors
paging mechanism divides the linear address space (into which segments are mapped) into pages (as shown in
Figure 3-1). These linear-address-space pages are then mapped to pages in the physical address space. The
paging mechanism offers several page-level protection facilities that can be used with or instead of the segment-
F ig u re 3 -4 . Mu lt i-S e g m e n t Mo d e l
Linear Address Space
(or Physical Memory)
Segment
Registers
CS
Segment
Descriptors
Limit Access
Base Address
SS
Limit Access
Base Address
DS
Limit Access
Base Address
ES
Limit Access
Base Address
FS
Limit Access
Base Address
GS
Limit Access
Base Address
Limit Access
Base Address
Limit Access
Base Address
Limit Access
Base Address
Limit Access
Base Address
Stack
Code
Data
Data
Data
Data
3-6 Vol. 3A
PROTECTED-MODE MEMORY MANAGEMENT
protection facilities. For example, it lets read-write protection be enforced on a page-by-page basis. The paging
mechanism also provides two-level user-supervisor protection that can also be specified on a page-by-page basis.
3 .3 P H YS I C AL AD D R E S S S P AC E
In protected mode, the IA-32 architecture provides a normal physical address space of 4 GBytes (2
32
bytes). This
is the address space that the processor can address on its address bus. This address space is flat (unsegmented),
with addresses ranging continuously from 0 to FFFFFFFFH. This physical address space can be mapped to read-
write memory, read-only memory, and memory mapped I/O. The memory mapping facilities described in this
chapter can be used to divide this physical memory up into segments and/or pages.
Starting with the Pentium Pro processor, the IA-32 architecture also supports an extension of the physical address
space to 2
36
bytes (64 GBytes); with a maximum physical address of FFFFFFFFFH. This extension is invoked in
either of two ways:
Using the physical address extension (PAE) flag, located in bit 5 of control register CR4.
Using the 36-bit page size extension (PSE-36) feature (introduced in the Pentium III processors).
Physical address support has since been extended beyond 36 bits. See Chapter 4, Paging for more information
about 36-bit physical addressing.
3 .3 .1 I n t e l

6 4 P ro ce sso rs a n d P h y sica l Ad d re ss S p a ce
On processors that support Intel 64 architecture (CPUID.80000001:EDX[29] = 1), the size of the physical address
range is implementation-specific and indicated by CPUID.80000008H:EAX[bits 7-0].
For the format of information returned in EAX, see CPUIDCPU Identification in Chapter 3 of the Intel 64 and
IA-32 Architectures Software Developers Manual, Volume 2A. See also: Chapter 4, Paging.
3 .4 LO G I C AL AN D LI N E AR AD D R E S S E S
At the system-architecture level in protected mode, the processor uses two stages of address translation to arrive
at a physical address: logical-address translation and linear address space paging.
Even with the minimum use of segments, every byte in the processors address space is accessed with a logical
address. A logical address consists of a 16-bit segment selector and a 32-bit offset (see Figure 3-5). The segment
selector identifies the segment the byte is located in and the offset specifies the location of the byte in the segment
relative to the base address of the segment.
The processor translates every logical address into a linear address. A linear address is a 32-bit address in the
processors linear address space. Like the physical address space, the linear address space is a flat (unsegmented),
2
32
-byte address space, with addresses ranging from 0 to FFFFFFFFH. The linear address space contains all the
segments and system tables defined for a system.
To translate a logical address into a linear address, the processor does the following:
1. Uses the offset in the segment selector to locate the segment descriptor for the segment in the GDT or LDT and
reads it into the processor. (This step is needed only when a new segment selector is loaded into a segment
register.)
2. Examines the segment descriptor to check the access rights and range of the segment to insure that the
segment is accessible and that the offset is within the limits of the segment.
3. Adds the base address of the segment from the segment descriptor to the offset to form a linear address.
Vol. 3A 3-7
PROTECTED-MODE MEMORY MANAGEMENT
If paging is not used, the processor maps the linear address directly to a physical address (that is, the linear
address goes out on the processors address bus). If the linear address space is paged, a second level of address
translation is used to translate the linear address into a physical address.
See also: Chapter 4, Paging.
3 .4 .1 Lo g ica l Ad d re ss T ra n sla t io n in I A-3 2 e Mo d e
In IA-32e mode, an Intel 64 processor uses the steps described above to translate a logical address to a linear
address. In 64-bit mode, the offset and base address of the segment are 64-bits instead of 32 bits. The linear
address format is also 64 bits wide and is subject to the canonical form requirement.
Each code segment descriptor provides an L bit. This bit allows a code segment to execute 64-bit code or legacy
32-bit code by code segment.
3 .4 .2 S e g m e n t S e le ct o rs
A segment selector is a 16-bit identifier for a segment (see Figure 3-6). It does not point directly to the segment,
but instead points to the segment descriptor that defines the segment. A segment selector contains the following
items:
Index (Bits 3 through 15) Selects one of 8192 descriptors in the GDT or LDT. The processor multiplies
the index value by 8 (the number of bytes in a segment descriptor) and adds the result to the base
address of the GDT or LDT (from the GDTR or LDTR register, respectively).
TI (table indicator) flag
(Bit 2) Specifies the descriptor table to use: clearing this flag selects the GDT; setting this flag
selects the current LDT.
F ig u re 3 -5 . Lo g ica l Ad d re ss t o Lin e a r Ad d re ss T ra n sla t io n
F ig u re 3 -6 . S e g m e n t S e le ct o r
Offset (Effective Address)
0
Base Address
Descriptor Table
Segment
Descriptor
31(63)
Seg. Selector
0 15
Logical
Address
+
Linear Address
0 31(63)
15 3 2 1 0
T
I
Index
Table Indicator
0 = GDT
1 = LDT
Requested Privilege Level (RPL)
RPL
3-8 Vol. 3A
PROTECTED-MODE MEMORY MANAGEMENT
Requested Privilege Level (RPL)
(Bits 0 and 1) Specifies the privilege level of the selector. The privilege level can range from 0 to
3, with 0 being the most privileged level. See Section 5.5, Privilege Levels, for a description of the
relationship of the RPL to the CPL of the executing program (or task) and the descriptor privilege
level (DPL) of the descriptor the segment selector points to.
The first entry of the GDT is not used by the processor. A segment selector that points to this entry of the GDT (that
is, a segment selector with an index of 0 and the TI flag set to 0) is used as a null segment selector. The processor
does not generate an exception when a segment register (other than the CS or SS registers) is loaded with a null
selector. It does, however, generate an exception when a segment register holding a null selector is used to access
memory. A null selector can be used to initialize unused segment registers. Loading the CS or SS register with a null
segment selector causes a general-protection exception (#GP) to be generated.
Segment selectors are visible to application programs as part of a pointer variable, but the values of selectors are
usually assigned or modified by link editors or linking loaders, not application programs.
3 .4 .3 S e g m e n t R e g ist e rs
To reduce address translation time and coding complexity, the processor provides registers for holding up to 6
segment selectors (see Figure 3-7). Each of these segment registers support a specific kind of memory reference
(code, stack, or data). For virtually any kind of program execution to take place, at least the code-segment (CS),
data-segment (DS), and stack-segment (SS) registers must be loaded with valid segment selectors. The processor
also provides three additional data-segment registers (ES, FS, and GS), which can be used to make additional data
segments available to the currently executing program (or task).
For a program to access a segment, the segment selector for the segment must have been loaded in one of the
segment registers. So, although a system can define thousands of segments, only 6 can be available for immediate
use. Other segments can be made available by loading their segment selectors into these registers during program
execution.
Every segment register has a visible part and a hidden part. (The hidden part is sometimes referred to as a
descriptor cache or a shadow register.) When a segment selector is loaded into the visible part of a segment
register, the processor also loads the hidden part of the segment register with the base address, segment limit, and
access control information from the segment descriptor pointed to by the segment selector. The information cached
in the segment register (visible and hidden) allows the processor to translate addresses without taking extra bus
cycles to read the base address and limit from the segment descriptor. In systems in which multiple processors
have access to the same descriptor tables, it is the responsibility of software to reload the segment registers when
the descriptor tables are modified. If this is not done, an old segment descriptor cached in a segment register might
be used after its memory-resident version has been modified.
Two kinds of load instructions are provided for loading the segment registers:
1. Direct load instructions such as the MOV, POP, LDS, LES, LSS, LGS, and LFS instructions. These instructions
explicitly reference the segment registers.
F ig u re 3 -7. S e g m e n t R e g ist e rs
CS
SS
DS
ES
FS
GS
Segment Selector Base Address, Limit, Access Information
Visible Part Hidden Part
Vol. 3A 3-9
PROTECTED-MODE MEMORY MANAGEMENT
2. Implied load instructions such as the far pointer versions of the CALL, JMP, and RET instructions, the SYSENTER
and SYSEXIT instructions, and the IRET, INTn, INTO and INT3 instructions. These instructions change the
contents of the CS register (and sometimes other segment registers) as an incidental part of their operation.
The MOV instruction can also be used to store visible part of a segment register in a general-purpose register.
3 .4 .4 S e g m e n t Lo a d in g I n st ru ct io n s in I A-3 2 e Mo d e
Because ES, DS, and SS segment registers are not used in 64-bit mode, their fields (base, limit, and attribute) in
segment descriptor registers are ignored. Some forms of segment load instructions are also invalid (for example,
LDS, POP ES). Address calculations that reference the ES, DS, or SS segments are treated as if the segment base
is zero.
The processor checks that all linear-address references are in canonical form instead of performing limit checks.
Mode switching does not change the contents of the segment registers or the associated descriptor registers.
These registers are also not changed during 64-bit mode execution, unless explicit segment loads are performed.
In order to set up compatibility mode for an application, segment-load instructions (MOV to Sreg, POP Sreg) work
normally in 64-bit mode. An entry is read from the system descriptor table (GDT or LDT) and is loaded in the
hidden portion of the segment descriptor register. The descriptor-register base, limit, and attribute fields are all
loaded. However, the contents of the data and stack segment selector and the descriptor registers are ignored.
When FS and GS segment overrides are used in 64-bit mode, their respective base addresses are used in the linear
address calculation: (FS or GS).base + index + displacement. FS.base and GS.base are then expanded to the full
linear-address size supported by the implementation. The resulting effective address calculation can wrap across
positive and negative addresses; the resulting linear address must be canonical.
In 64-bit mode, memory accesses using FS-segment and GS-segment overrides are not checked for a runtime limit
nor subjected to attribute-checking. Normal segment loads (MOV to Sreg and POP Sreg) into FS and GS load a
standard 32-bit base value in the hidden portion of the segment descriptor register. The base address bits above
the standard 32 bits are cleared to 0 to allow consistency for implementations that use less than 64 bits.
The hidden descriptor register fields for FS.base and GS.base are physically mapped to MSRs in order to load all
address bits supported by a 64-bit implementation. Software with CPL = 0 (privileged software) can load all
supported linear-address bits into FS.base or GS.base using WRMSR. Addresses written into the 64-bit FS.base
and GS.base registers must be in canonical form. A WRMSR instruction that attempts to write a non-canonical
address to those registers causes a #GP fault.
When in compatibility mode, FS and GS overrides operate as defined by 32-bit mode behavior regardless of the
value loaded into the upper 32 linear-address bits of the hidden descriptor register base field. Compatibility mode
ignores the upper 32 bits when calculating an effective address.
A new 64-bit mode instruction, SWAPGS, can be used to load GS base. SWAPGS exchanges the kernel data struc-
ture pointer from the IA32_KernelGSbase MSR with the GS base register. The kernel can then use the GS prefix on
normal memory references to access the kernel data structures. An attempt to write a non-canonical value (using
WRMSR) to the IA32_KernelGSBase MSR causes a #GP fault.
3 .4 .5 S e g m e n t D e scrip t o rs
A segment descriptor is a data structure in a GDT or LDT that provides the processor with the size and location of
a segment, as well as access control and status information. Segment descriptors are typically created by
compilers, linkers, loaders, or the operating system or executive, but not application programs. Figure 3-8 illus-
trates the general descriptor format for all types of segment descriptors.
3-10 Vol. 3A
PROTECTED-MODE MEMORY MANAGEMENT
The flags and fields in a segment descriptor are as follows:
Segment limit field
Specifies the size of the segment. The processor puts together the two segment limit fields to form
a 20-bit value. The processor interprets the segment limit in one of two ways, depending on the
setting of the G (granularity) flag:
If the granularity flag is clear, the segment size can range from 1 byte to 1 MByte, in byte incre-
ments.
If the granularity flag is set, the segment size can range from 4 KBytes to 4 GBytes, in 4-KByte
increments.
The processor uses the segment limit in two different ways, depending on whether the segment is
an expand-up or an expand-down segment. See Section 3.4.5.1, Code- and Data-Segment
Descriptor Types, for more information about segment types. For expand-up segments, the offset
in a logical address can range from 0 to the segment limit. Offsets greater than the segment limit
generate general-protection exceptions (#GP, for all segment other than SS) or stack-fault excep-
tions (#SS for the SS segment). For expand-down segments, the segment limit has the reverse
function; the offset can range from the segment limit plus 1 to FFFFFFFFH or FFFFH, depending on
the setting of the B flag. Offsets less than or equal to the segment limit generate general-protection
exceptions or stack-fault exceptions. Decreasing the value in the segment limit field for an expand-
down segment allocates new memory at the bottom of the segment's address space, rather than at
the top. IA-32 architecture stacks always grow downwards, making this mechanism convenient for
expandable stacks.
Base address fields
Defines the location of byte 0 of the segment within the 4-GByte linear address space. The
processor puts together the three base address fields to form a single 32-bit value. Segment base
addresses should be aligned to 16-byte boundaries. Although 16-byte alignment is not required,
this alignment allows programs to maximize performance by aligning code and data on 16-byte
boundaries.
Type field Indicates the segment or gate type and specifies the kinds of access that can be made to the
segment and the direction of growth. The interpretation of this field depends on whether the
descriptor type flag specifies an application (code or data) descriptor or a system descriptor. The
encoding of the type field is different for code, data, and system descriptors (see Figure 5-1). See
Section 3.4.5.1, Code- and Data-Segment Descriptor Types, for a description of how this field is
used to specify code and data-segment types.
F ig u re 3 -8 . S e g m e n t D e scrip t o r
31 24 23 22 21 20 19 16 15 13 14 12 11 8 7 0
P Base 31:24 G
D
P
L
Type S L 4
31 16 15 0
Base Address 15:00 Segment Limit 15:00 0
Base 23:16
D
/
B
A
V
L
Seg.
Limit
19:16
G Granularity
LIMIT Segment Limit
P Segment present
S Descriptor type (0 = system; 1 = code or data)
TYPE Segment type
DPL Descriptor privilege level
AVL Available for use by system software
BASE Segment base address
D/B Default operation size (0 = 16-bit segment; 1 = 32-bit segment)
L 64-bit code segment (IA-32e mode only)
Vol. 3A 3-11
PROTECTED-MODE MEMORY MANAGEMENT
S (descriptor type) flag
Specifies whether the segment descriptor is for a system segment (S flag is clear) or a code or data
segment (S flag is set).
DPL (descriptor privilege level) field
Specifies the privilege level of the segment. The privilege level can range from 0 to 3, with 0 being
the most privileged level. The DPL is used to control access to the segment. See Section 5.5, Priv-
ilege Levels, for a description of the relationship of the DPL to the CPL of the executing code
segment and the RPL of a segment selector.
P (segment-present) flag
Indicates whether the segment is present in memory (set) or not present (clear). If this flag is clear,
the processor generates a segment-not-present exception (#NP) when a segment selector that
points to the segment descriptor is loaded into a segment register. Memory management software
can use this flag to control which segments are actually loaded into physical memory at a given
time. It offers a control in addition to paging for managing virtual memory.
Figure 3-9 shows the format of a segment descriptor when the segment-present flag is clear. When
this flag is clear, the operating system or executive is free to use the locations marked Available to
store its own data, such as information regarding the whereabouts of the missing segment.
D/B (default operation size/default stack pointer size and/or upper bound) flag
Performs different functions depending on whether the segment descriptor is an executable code
segment, an expand-down data segment, or a stack segment. (This flag should always be set to 1
for 32-bit code and data segments and to 0 for 16-bit code and data segments.)
Executable code segment. The flag is called the D flag and it indicates the default length for
effective addresses and operands referenced by instructions in the segment. If the flag is set,
32-bit addresses and 32-bit or 8-bit operands are assumed; if it is clear, 16-bit addresses and
16-bit or 8-bit operands are assumed.
The instruction prefix 66H can be used to select an operand size other than the default, and the
prefix 67H can be used select an address size other than the default.
Stack segment (data segment pointed to by the SS register). The flag is called the B (big)
flag and it specifies the size of the stack pointer used for implicit stack operations (such as
pushes, pops, and calls). If the flag is set, a 32-bit stack pointer is used, which is stored in the
32-bit ESP register; if the flag is clear, a 16-bit stack pointer is used, which is stored in the 16-
bit SP register. If the stack segment is set up to be an expand-down data segment (described in
the next paragraph), the B flag also specifies the upper bound of the stack segment.
Expand-down data segment. The flag is called the B flag and it specifies the upper bound of
the segment. If the flag is set, the upper bound is FFFFFFFFH (4 GBytes); if the flag is clear, the
upper bound is FFFFH (64 KBytes).
G (granularity) flag
Determines the scaling of the segment limit field. When the granularity flag is clear, the segment
limit is interpreted in byte units; when flag is set, the segment limit is interpreted in 4-KByte units.
(This flag does not affect the granularity of the base address; it is always byte granular.) When the
granularity flag is set, the twelve least significant bits of an offset are not tested when checking the
F ig u re 3 -9 . S e g m e n t D e scrip t o r Wh e n S e g m e n t -P re se n t F la g I s C le a r
31 16 15 13 14 12 11 8 7 0
0 Available
D
P
L
Type S 4
31 0
Available
0
Available
3-12 Vol. 3A
PROTECTED-MODE MEMORY MANAGEMENT
offset against the segment limit. For example, when the granularity flag is set, a limit of 0 results in
valid offsets from 0 to 4095.
L (64-bit code segment) flag
In IA-32e mode, bit 21 of the second doubleword of the segment descriptor indicates whether a
code segment contains native 64-bit code. A value of 1 indicates instructions in this code segment
are executed in 64-bit mode. A value of 0 indicates the instructions in this code segment are
executed in compatibility mode. If L-bit is set, then D-bit must be cleared. When not in IA-32e mode
or for non-code segments, bit 21 is reserved and should always be set to 0.
Available and reserved bits
Bit 20 of the second doubleword of the segment descriptor is available for use by system software.
3 .4 .5 .1 C o d e - a n d D a t a -S e g m e n t D e scrip to r T y p e s
When the S (descriptor type) flag in a segment descriptor is set, the descriptor is for either a code or a data
segment. The highest order bit of the type field (bit 11 of the second double word of the segment descriptor) then
determines whether the descriptor is for a data segment (clear) or a code segment (set).
For data segments, the three low-order bits of the type field (bits 8, 9, and 10) are interpreted as accessed (A),
write-enable (W), and expansion-direction (E). See Table 3-1 for a description of the encoding of the bits in the
type field for code and data segments. Data segments can be read-only or read/write segments, depending on the
setting of the write-enable bit.
Stack segments are data segments which must be read/write segments. Loading the SS register with a segment
selector for a nonwritable data segment generates a general-protection exception (#GP). If the size of a stack
segment needs to be changed dynamically, the stack segment can be an expand-down data segment (expansion-
direction flag set). Here, dynamically changing the segment limit causes stack space to be added to the bottom of
Ta b le 3 -1 . C o d e - a n d D a t a -S e g m e n t T y p e s
T y p e F ie ld D e scrip to r
T y p e
D e scrip t io n
D e cim a l 1 1 1 0
E
9
W
8
A
0 0 0 0 0 Data Read-Only
1 0 0 0 1 Data Read-Only, accessed
2 0 0 1 0 Data Read/Write
3 0 0 1 1 Data Read/Write, accessed
4 0 1 0 0 Data Read-Only, expand-down
5 0 1 0 1 Data Read-Only, expand-down, accessed
6 0 1 1 0 Data Read/Write, expand-down
7 0 1 1 1 Data Read/Write, expand-down, accessed
C R A
8 1 0 0 0 Code Execute-Only
9 1 0 0 1 Code Execute-Only, accessed
10 1 0 1 0 Code Execute/Read
11 1 0 1 1 Code Execute/Read, accessed
12 1 1 0 0 Code Execute-Only, conforming
13 1 1 0 1 Code Execute-Only, conforming, accessed
14 1 1 1 0 Code Execute/Read, conforming
15 1 1 1 1 Code Execute/Read, conforming, accessed
Vol. 3A 3-13
PROTECTED-MODE MEMORY MANAGEMENT
the stack. If the size of a stack segment is intended to remain static, the stack segment may be either an expand-
up or expand-down type.
The accessed bit indicates whether the segment has been accessed since the last time the operating-system or
executive cleared the bit. The processor sets this bit whenever it loads a segment selector for the segment into a
segment register, assuming that the type of memory that contains the segment descriptor supports processor
writes. The bit remains set until explicitly cleared. This bit can be used both for virtual memory management and
for debugging.
For code segments, the three low-order bits of the type field are interpreted as accessed (A), read enable (R), and
conforming (C). Code segments can be execute-only or execute/read, depending on the setting of the read-enable
bit. An execute/read segment might be used when constants or other static data have been placed with instruction
code in a ROM. Here, data can be read from the code segment either by using an instruction with a CS override
prefix or by loading a segment selector for the code segment in a data-segment register (the DS, ES, FS, or GS
registers). In protected mode, code segments are not writable.
Code segments can be either conforming or nonconforming. A transfer of execution into a more-privileged
conforming segment allows execution to continue at the current privilege level. A transfer into a nonconforming
segment at a different privilege level results in a general-protection exception (#GP), unless a call gate or task
gate is used (see Section 5.8.1, Direct Calls or Jumps to Code Segments, for more information on conforming and
nonconforming code segments). System utilities that do not access protected facilities and handlers for some types
of exceptions (such as, divide error or overflow) may be loaded in conforming code segments. Utilities that need to
be protected from less privileged programs and procedures should be placed in nonconforming code segments.
N O T E
Execution cannot be transferred by a call or a jump to a less-privileged (numerically higher
privilege level) code segment, regardless of whether the target segment is a conforming or
nonconforming code segment. Attempting such an execution transfer will result in a general-
protection exception.
All data segments are nonconforming, meaning that they cannot be accessed by less privileged programs or proce-
dures (code executing at numerically high privilege levels). Unlike code segments, however, data segments can be
accessed by more privileged programs or procedures (code executing at numerically lower privilege levels) without
using a special access gate.
If the segment descriptors in the GDT or an LDT are placed in ROM, the processor can enter an indefinite loop if
software or the processor attempts to update (write to) the ROM-based segment descriptors. To prevent this
problem, set the accessed bits for all segment descriptors placed in a ROM. Also, remove operating-system or
executive code that attempts to modify segment descriptors located in ROM.
3 .5 S YS T E M D E S C R I P T O R T YP E S
When the S (descriptor type) flag in a segment descriptor is clear, the descriptor type is a system descriptor. The
processor recognizes the following types of system descriptors:
Local descriptor-table (LDT) segment descriptor.
Task-state segment (TSS) descriptor.
Call-gate descriptor.
Interrupt-gate descriptor.
Trap-gate descriptor.
Task-gate descriptor.
These descriptor types fall into two categories: system-segment descriptors and gate descriptors. System-
segment descriptors point to system segments (LDT and TSS segments). Gate descriptors are in themselves
gates, which hold pointers to procedure entry points in code segments (call, interrupt, and trap gates) or which
hold segment selectors for TSSs (task gates).
3-14 Vol. 3A
PROTECTED-MODE MEMORY MANAGEMENT
Table 3-2 shows the encoding of the type field for system-segment descriptors and gate descriptors. Note that
system descriptors in IA-32e mode are 16 bytes instead of 8 bytes.
See also: Section 3.5.1, Segment Descriptor Tables, and Section 7.2.2, TSS Descriptor (for more information
on the system-segment descriptors); see Section 5.8.3, Call Gates, Section 6.11, IDT Descriptors, and Section
7.2.5, Task-Gate Descriptor (for more information on the gate descriptors).
3 .5 .1 S e g m e n t D e scrip t o r Ta b le s
A segment descriptor table is an array of segment descriptors (see Figure 3-10). A descriptor table is variable in
length and can contain up to 8192 (2
13
) 8-byte descriptors. There are two kinds of descriptor tables:
The global descriptor table (GDT)
The local descriptor tables (LDT)
Ta b le 3 -2 . S y st e m -S e g m e n t a n d G a t e -D e scrip t o r T y p e s
T y p e F ie ld D e scrip t io n
D e cim a l 1 1 1 0 9 8 3 2 -B it Mo d e I A-3 2 e Mo d e
0 0 0 0 0 Reserved Upper 8 byte of an 16-byte
descriptor
1 0 0 0 1 16-bit TSS (Available) Reserved
2 0 0 1 0 LDT LDT
3 0 0 1 1 16-bit TSS (Busy) Reserved
4 0 1 0 0 16-bit Call Gate Reserved
5 0 1 0 1 Task Gate Reserved
6 0 1 1 0 16-bit Interrupt Gate Reserved
7 0 1 1 1 16-bit Trap Gate Reserved
8 1 0 0 0 Reserved Reserved
9 1 0 0 1 32-bit TSS (Available) 64-bit TSS (Available)
10 1 0 1 0 Reserved Reserved
11 1 0 1 1 32-bit TSS (Busy) 64-bit TSS (Busy)
12 1 1 0 0 32-bit Call Gate 64-bit Call Gate
13 1 1 0 1 Reserved Reserved
14 1 1 1 0 32-bit Interrupt Gate 64-bit Interrupt Gate
15 1 1 1 1 32-bit Trap Gate 64-bit Trap Gate
Vol. 3A 3-15
PROTECTED-MODE MEMORY MANAGEMENT
Each system must have one GDT defined, which may be used for all programs and tasks in the system. Optionally,
one or more LDTs can be defined. For example, an LDT can be defined for each separate task being run, or some or
all tasks can share the same LDT.
The GDT is not a segment itself; instead, it is a data structure in linear address space. The base linear address and
limit of the GDT must be loaded into the GDTR register (see Section 2.4, Memory-Management Registers). The
base addresses of the GDT should be aligned on an eight-byte boundary to yield the best processor performance.
The limit value for the GDT is expressed in bytes. As with segments, the limit value is added to the base address to
get the address of the last valid byte. A limit value of 0 results in exactly one valid byte. Because segment descrip-
tors are always 8 bytes long, the GDT limit should always be one less than an integral multiple of eight (that is, 8N
1).
The first descriptor in the GDT is not used by the processor. A segment selector to this null descriptor does not
generate an exception when loaded into a data-segment register (DS, ES, FS, or GS), but it always generates a
general-protection exception (#GP) when an attempt is made to access memory using the descriptor. By initializing
the segment registers with this segment selector, accidental reference to unused segment registers can be guar-
anteed to generate an exception.
The LDT is located in a system segment of the LDT type. The GDT must contain a segment descriptor for the LDT
segment. If the system supports multiple LDTs, each must have a separate segment selector and segment
descriptor in the GDT. The segment descriptor for an LDT can be located anywhere in the GDT. See Section 3.5,
System Descriptor Types, information on the LDT segment-descriptor type.
An LDT is accessed with its segment selector. To eliminate address translations when accessing the LDT, the
segment selector, base linear address, limit, and access rights of the LDT are stored in the LDTR register (see
Section 2.4, Memory-Management Registers).
When the GDTR register is stored (using the SGDT instruction), a 48-bit pseudo-descriptor is stored in memory
(see top diagram in Figure 3-11). To avoid alignment check faults in user mode (privilege level 3), the pseudo-
F ig u re 3 -1 0 . G lo b a l a n d Lo ca l D e scrip t o r Ta b le s
Segment
Selector
Global
Descriptor
T
First Descriptor in
GDT is Not Used
TI = 0
I
56
40
48
32
24
16
8
0
TI = 1
56
40
48
32
24
16
8
0
Table (GDT)
Local
Descriptor
Table (LDT)
Base Address
Limit
GDTR Register LDTR Register
Base Address
Seg. Sel.
Limit
3-16 Vol. 3A
PROTECTED-MODE MEMORY MANAGEMENT
descriptor should be located at an odd word address (that is, address MOD 4 is equal to 2). This causes the
processor to store an aligned word, followed by an aligned doubleword. User-mode programs normally do not store
pseudo-descriptors, but the possibility of generating an alignment check fault can be avoided by aligning pseudo-
descriptors in this way. The same alignment should be used when storing the IDTR register using the SIDT instruc-
tion. When storing the LDTR or task register (using the SLDT or STR instruction, respectively), the pseudo-
descriptor should be located at a doubleword address (that is, address MOD 4 is equal to 0).
3 .5 .2 S e g m e n t D e scrip t o r Ta b le s in I A-3 2 e Mo d e
In IA-32e mode, a segment descriptor table can contain up to 8192 (2
13
) 8-byte descriptors. An entry in the
segment descriptor table can be 8 bytes. System descriptors are expanded to 16 bytes (occupying the space of two
entries).
GDTR and LDTR registers are expanded to hold 64-bit base address. The corresponding pseudo-descriptor is 80
bits. (see the bottom diagram in Figure 3-11).
The following system descriptors expand to 16 bytes:
Call gate descriptors (see Section 5.8.3.1, IA-32e Mode Call Gates)
IDT gate descriptors (see Section 6.14.1, 64-Bit Mode IDT)
LDT and TSS descriptors (see Section 7.2.3, TSS Descriptor in 64-bit mode).
F ig u re 3 -1 1 . P se u d o -D e scrip t o r Fo rm a t s
0
32-bit Base Address Limit
47 15 16
0
64-bit Base Address Limit
79 15 16
Vol. 3A 4-1
C H AP T E R 4
P AG I N G
Chapter 3 explains how segmentation converts logical addresses to linear addresses. Paging (or linear-address
translation) is the process of translating linear addresses so that they can be used to access memory or I/O
devices. Paging translates each linear address to a physical address and determines, for each translation, what
accesses to the linear address are allowed (the addresss access rights) and the type of caching used for such
accesses (the addresss memory type).
Intel-64 processors support three different paging modes. These modes are identified and defined in Section 4.1.
Section 4.2 gives an overview of the translation mechanism that is used in all modes. Section 4.3, Section 4.4, and
Section 4.5 discuss the three paging modes in detail.
Section 4.6 details how paging determines and uses access rights. Section 4.7 discusses exceptions that may be
generated by paging (page-fault exceptions). Section 4.8 considers data which the processor writes in response to
linear-address accesses (accessed and dirty flags).
Section 4.9 describes how paging determines the memory types used for accesses to linear addresses. Section 4.10
provides details of how a processor may cache information about linear-address translation. Section 4.11 outlines
interactions between paging and certain VMX features. Section 4.12 gives an overview of how paging can be used
to implement virtual memory.
4 .1 P AG I N G MO D E S AN D C O N T R O L B I T S
Paging behavior is controlled by the following control bits:
The WP and PG flags in control register CR0 (bit 16 and bit 31, respectively).
The PSE, PAE, PGE, PCIDE, and SMEP flags in control register CR4 (bit 4, bit 5, bit 7, bit 17, and bit 20 respec-
tively).
The LME and NXE flags in the IA32_EFER MSR (bit 8 and bit 11, respectively).
Software enables paging by using the MOV to CR0 instruction to set CR0.PG. Before doing so, software should
ensure that control register CR3 contains the physical address of the first paging structure that the processor will
use for linear-address translation (see Section 4.2) and that structure is initialized as desired. See Table 4-3,
Table 4-7, and Table 4-12 for the use of CR3 in the different paging modes.
Section 4.1.1 describes how the values of CR0.PG, CR4.PAE, and IA32_EFER.LME determine whether paging is in
use and, if so, which of three paging modes is in use. Section 4.1.2 explains how to manage these bits to establish
or make changes in paging modes. Section 4.1.3 discusses how CR0.WP, CR4.PSE, CR4.PGE, CR4.PCIDE,
CR4.SMEP, and IA32_EFER.NXE modify the operation of the different paging modes.
4 .1 .1 T h re e P a g in g Mo d e s
If CR0.PG = 0, paging is not used. The logical processor treats all linear addresses as if they were physical
addresses. CR4.PAE and IA32_EFER.LME are ignored by the processor, as are CR0.WP, CR4.PSE, CR4.PGE,
CR4.SMEP, and IA32_EFER.NXE.
Paging is enabled if CR0.PG = 1. Paging can be enabled only if protection is enabled (CR0.PE = 1). If paging is
enabled, one of three paging modes is used. The values of CR4.PAE and IA32_EFER.LME determine which paging
mode is used:
If CR0.PG = 1 and CR4.PAE = 0, 32-bit paging is used. 32-bit paging is detailed in Section 4.3. 32-bit paging
uses CR0.WP, CR4.PSE, CR4.PGE, and CR4.SMEP as described in Section 4.1.3.
If CR0.PG = 1, CR4.PAE = 1, and IA32_EFER.LME = 0, PAE paging is used. PAE paging is detailed in Section
4.4. PAE paging uses CR0.WP, CR4.PGE, CR4.SMEP, and IA32_EFER.NXE as described in Section 4.1.3.
4-2 Vol. 3A
PAGING
If CR0.PG = 1, CR4.PAE = 1, and IA32_EFER.LME = 1, IA-32e paging is used.
1
IA-32e paging is detailed in
Section 4.5. IA-32e paging uses CR0.WP, CR4.PGE, CR4.PCIDE, CR4.SMEP, and IA32_EFER.NXE as described
in Section 4.1.3. IA-32e paging is available only on processors that support the Intel 64 architecture.
The three paging modes differ with regard to the following details:
Linear-address width. The size of the linear addresses that can be translated.
Physical-address width. The size of the physical addresses produced by paging.
Page size. The granularity at which linear addresses are translated. Linear addresses on the same page are
translated to corresponding physical addresses on the same page.
Support for execute-disable access rights. In some paging modes, software can be prevented from fetching
instructions from pages that are otherwise readable.
Support for PCIDs. In some paging modes, software can enable a facility by which a logical processor caches
information for multiple linear-address spaces. The processor may retain cached information when software
switches between different linear-address spaces.
Table 4-1 illustrates the key differences between the three paging modes.
Because they are used only if IA32_EFER.LME = 0, 32-bit paging and PAE paging is used only in legacy protected
mode. Because legacy protected mode cannot produce linear addresses larger than 32 bits, 32-bit paging and PAE
paging translate 32-bit linear addresses.
Because it is used only if IA32_EFER.LME = 1, IA-32e paging is used only in IA-32e mode. (In fact, it is the use of
IA-32e paging that defines IA-32e mode.) IA-32e mode has two sub-modes:
Compatibility mode. This mode uses only 32-bit linear addresses. IA-32e paging treats bits 47:32 of such an
address as all 0.
1. The LMA flag in the IA32_EFER MSR (bit 10) is a status bit that indicates whether the logical processor is in IA-32e mode (and thus
using IA-32e paging). The processor always sets IA32_EFER.LMA to CR0.PG& IA32_EFER.LME. Software cannot directly modify
IA32_EFER.LMA; an execution of WRMSR to the IA32_EFER MSR ignores bit 10 of its source operand.
Ta b le 4 -1 . P ro p e rt ie s o f D if fe re n t P a g in g Mo d e s
P a g in g
Mo d e
P G in
C R 0
P AE in
C R 4
LME in
I A3 2 _E F E R
Lin .-
Ad d r.
Wid t h
P h y s.-
Ad d r.
Wid t h
1
N O T E S :
1. The physical-address width is always bounded by MAXPHYADDR; see Section 4.1.4.
P a g e
S ize s
S u p p o rt s
E xe cu t e -
D isa b le ?
S u p p o rt s
P C I D s?
None 0 N/A N/A 32 32 N/A No No
32-bit 1 0 0
2
2. The processor ensures that IA32_EFER.LME must be 0 if CR0.PG=1 and CR4.PAE=0.
32
Up to
40
3
3. 32-bit paging supports physical-address widths of more than 32 bits only for 4-MByte pages and only if the PSE-36 mechanism is
supported; see Section 4.1.4 and Section 4.3.
4 KB
4 MB
4
4. 4-MByte pages are used with 32-bit paging only if CR4.PSE=1; see Section 4.3.
No No
PAE 1 1 0 32
Up to
52
4 KB
2 MB
Yes
5
5. Execute-disable access rights are applied only if IA32_EFER.NXE=1; see Section 4.6.
No
IA-32e 1 1 1 48
Up to
52
4 KB
2 MB
1 GB
6
6. Not all processors that support IA-32e paging support 1-GByte pages; see Section 4.1.4.
Yes
5
Yes
7
7. PCIDs are used only if CR4.PCIDE=1; see Section 4.10.1.
Vol. 3A 4-3
PAGING
64-bit mode. While this mode produces 64-bit linear addresses, the processor ensures that bits 63:47 of such
an address are identical.
1
IA-32e paging does not use bits 63:48 of such addresses.
4 .1 .2 P a g in g -Mo d e E n a b lin g
If CR0.PG = 1, a logical processor is in one of three paging modes, depending on the values of CR4.PAE and
IA32_EFER.LME. Figure 4-1 illustrates how software can enable these modes and make transitions between them.
The following items identify certain limitations and other details:
IA32_EFER.LME cannot be modified while paging is enabled (CR0.PG = 1). Attempts to do so using WRMSR
cause a general-protection exception (#GP(0)).
Paging cannot be enabled (by setting CR0.PG to 1) while CR4.PAE = 0 and IA32_EFER.LME = 1. Attempts to do
so using MOV to CR0 cause a general-protection exception (#GP(0)).
CR4.PAE cannot be cleared while IA-32e paging is active (CR0.PG = 1 and IA32_EFER.LME = 1). Attempts to
do so using MOV to CR4 cause a general-protection exception (#GP(0)).
Regardless of the current paging mode, software can disable paging by clearing CR0.PG with MOV to CR0.
2
1. Such an address is called canonical. Use of a non-canonical linear address in 64-bit mode produces a general-protection exception
(#GP(0)); the processor does not attempt to translate non-canonical linear addresses using IA-32e paging.
F ig u re 4 -1 . E n a b lin g a n d C h a n g in g P a g in g Mo d e s
2. If CR4.PCIDE=1, an attempt to clear CR0.PG causes a general-protection exception (#GP); software should clear CR4.PCIDE before
attempting to disable paging.
PG = 1
No Paging
PAE Paging
PAE = 1
LME = 0
PG = 0
PAE = 0
LME = 0
32-bit Paging
PG = 1
PAE = 0
LME = 0
PG = 0
PAE = 0
LME = 1
Set PG Set PAE
Clear PAE
Clear PG
No Paging
PG = 0
PAE = 1
LME = 0
No Paging
PG = 1
IA-32e Paging
PAE = 1
LME = 1
C
l
e
a
r

L
M
E
S
e
t
r

L
M
E
PG = 0
PAE = 1
LME = 1
No Paging
Clear PAE
Set PAE
Clear PG
Set PG
Set PAE
Clear PAE
S
e
t
r

L
M
E
C
l
e
a
r

L
M
E
Clear PG
Set PG
#GP
Set LME
#GP
Set LME
#GP
Set PG
Clear PAE
#GP
C
l
e
a
r

L
M
E
#GP
4-4 Vol. 3A
PAGING
Software can make transitions between 32-bit paging and PAE paging by changing the value of CR4.PAE with
MOV to CR4.
Software cannot make transitions directly between IA-32e paging and either of the other two paging modes. It
must first disable paging (by clearing CR0.PG with MOV to CR0), then set CR4.PAE and IA32_EFER.LME to the
desired values (with MOV to CR4 and WRMSR), and then re-enable paging (by setting CR0.PG with MOV to
CR0). As noted earlier, an attempt to clear either CR4.PAE or IA32_EFER.LME cause a general-protection
exception (#GP(0)).
VMX transitions allow transitions between paging modes that are not possible using MOV to CR or WRMSR. This
is because VMX transitions can load CR0, CR4, and IA32_EFER in one operation. See Section 4.11.1.
4 .1 .3 P a g in g -Mo d e Mo d ifie rs
Details of how each paging mode operates are determined by the following control bits:
The WP flag in CR0 (bit 16).
The PSE, PGE, PCIDE, and SMEP flags in CR4 (bit 4, bit 7, bit 17, and bit 20, respectively).
The NXE flag in the IA32_EFER MSR (bit 11).
CR0.WP allows pages to be protected from supervisor-mode writes. If CR0.WP = 0, supervisor-mode write
accesses are allowed to linear addresses with read-only access rights; if CR0.WP = 1, they are not. (User-mode
write accesses are never allowed to linear addresses with read-only access rights, regardless of the value of
CR0.WP.) Section 4.6 explains how access rights are determined, including the definition of supervisor-mode and
user-mode accesses.
CR4.PSE enables 4-MByte pages for 32-bit paging. If CR4.PSE = 0, 32-bit paging can use only 4-KByte pages; if
CR4.PSE = 1, 32-bit paging can use both 4-KByte pages and 4-MByte pages. See Section 4.3 for more information.
(PAE paging and IA-32e paging can use multiple page sizes regardless of the value of CR4.PSE.)
CR4.PGE enables global pages. If CR4.PGE = 0, no translations are shared across address spaces; if CR4.PGE = 1,
specified translations may be shared across address spaces. See Section 4.10.2.4 for more information.
CR4.PCIDE enables process-context identifiers (PCIDs) for IA-32e paging (CR4.PCIDE can be 1 only when IA-32e
paging is in use). PCIDs allow a logical processor to cache information for multiple linear-address spaces. See
Section 4.10.1 for more information.
CR4.SMEP allows pages to be protected from supervisor-mode instruction fetches. If CR4.SMEP = 1, software
operating in supervisor mode cannot fetch instructions from linear addresses that are accessible in user mode.
Section 4.6 explains how access rights are determined, including the definition of supervisor-mode accesses and
user-mode accessibility.
IA32_EFER.NXE enables execute-disable access rights for PAE paging and IA-32e paging. If IA32_EFER.NXE = 1,
instructions fetches can be prevented from specified linear addresses (even if data reads from the addresses are
allowed). Section 4.6 explains how access rights are determined. (IA32_EFER.NXE has no effect with 32-bit
paging. Software that wants to use this feature to limit instruction fetches from readable pages must use either PAE
paging or IA-32e paging.)
4 .1 .4 E n u m e ra t io n o f P a g in g Fe a t u re s b y C P U I D
Software can discover support for different paging features using the CPUID instruction:
PSE: page-size extensions for 32-bit paging.
If CPUID.01H:EDX.PSE [bit 3] = 1, CR4.PSE may be set to 1, enabling support for 4-MByte pages with 32-bit
paging (see Section 4.3).
PAE: physical-address extension.
If CPUID.01H:EDX.PAE [bit 6] = 1, CR4.PAE may be set to 1, enabling PAE paging (this setting is also required
for IA-32e paging).
PGE: global-page support.
If CPUID.01H:EDX.PGE [bit 13] = 1, CR4.PGE may be set to 1, enabling the global-page feature (see Section
4.10.2.4).
Vol. 3A 4-5
PAGING
PAT: page-attribute table.
If CPUID.01H:EDX.PAT [bit 16] = 1, the 8-entry page-attribute table (PAT) is supported. When the PAT is
supported, three bits in certain paging-structure entries select a memory type (used to determine type of
caching used) from the PAT (see Section 4.9.2).
PSE-36: page-size extensions with 40-bit physical-address extension.
If CPUID.01H:EDX.PSE-36 [bit 17] = 1, the PSE-36 mechanism is supported, indicating that translations using
4-MByte pages with 32-bit paging may produce physical addresses with up to 40 bits (see Section 4.3).
PCID: process-context identifiers.
If CPUID.01H:ECX.PCID [bit 17] = 1, CR4.PCIDE may be set to 1, enabling process-context identifiers (see
Section 4.10.1).
SMEP: supervisor-mode execution prevention.
If CPUID.(EAX=07H,ECX=0H):EBX.SMEP [bit 7] = 1, CR4.SMEP may be set to 1, enabling supervisor-mode
execution prevention (see Section 4.6).
NX: execute disable.
If CPUID.80000001H:EDX.NX [bit 20] = 1, IA32_EFER.NXE may be set to 1, allowing PAE paging and IA-32e
paging to disable execute access to selected pages (see Section 4.6). (Processors that do not support CPUID
function 80000001H do not allow IA32_EFER.NXE to be set to 1.)
Page1GB: 1-GByte pages.
If CPUID.80000001H:EDX.Page1GB [bit 26] = 1, 1-GByte pages are supported with IA-32e paging (see
Section 4.5).
LM: IA-32e mode support.
If CPUID.80000001H:EDX.LM [bit 29] = 1, IA32_EFER.LME may be set to 1, enabling IA-32e paging.
(Processors that do not support CPUID function 80000001H do not allow IA32_EFER.LME to be set to 1.)
CPUID.80000008H:EAX[7:0] reports the physical-address width supported by the processor. (For processors
that do not support CPUID function 80000008H, the width is generally 36 if CPUID.01H:EDX.PAE [bit 6] = 1
and 32 otherwise.) This width is referred to as MAXPHYADDR. MAXPHYADDR is at most 52.
CPUID.80000008H:EAX[15:8] reports the linear-address width supported by the processor. Generally, this
value is 48 if CPUID.80000001H:EDX.LM [bit 29] = 1 and 32 otherwise. (Processors that do not support CPUID
function 80000008H, support a linear-address width of 32.)
4 .2 H I E R AR C H I C AL P AG I N G S T R U C T U R E S : AN O VE R V I E W
All three paging modes translate linear addresses use hierarchical paging structures. This section provides an
overview of their operation. Section 4.3, Section 4.4, and Section 4.5 provide details for the three paging modes.
Every paging structure is 4096 Bytes in size and comprises a number of individual entries. With 32-bit paging,
each entry is 32 bits (4 bytes); there are thus 1024 entries in each structure. With PAE paging and IA-32e paging,
each entry is 64 bits (8 bytes); there are thus 512 entries in each structure. (PAE paging includes one exception, a
paging structure that is 32 bytes in size, containing 4 64-bit entries.)
The processor uses the upper portion of a linear address to identify a series of paging-structure entries. The last of
these entries identifies the physical address of the region to which the linear address translates (called the page
frame). The lower portion of the linear address (called the page offset) identifies the specific address within that
region to which the linear address translates.
Each paging-structure entry contains a physical address, which is either the address of another paging structure or
the address of a page frame. In the first case, the entry is said to reference the other paging structure; in the
latter, the entry is said to map a page.
The first paging structure used for any translation is located at the physical address in CR3. A linear address is
translated using the following iterative procedure. A portion of the linear address (initially the uppermost bits)
select an entry in a paging structure (initially the one located using CR3). If that entry references another paging
structure, the process continues with that paging structure and with the portion of the linear address immediately
below that just used. If instead the entry maps a page, the process completes: the physical address in the entry is
that of the page frame and the remaining lower portion of the linear address is the page offset.
4-6 Vol. 3A
PAGING
The following items give an example for each of the three paging modes (each example locates a 4-KByte page
frame):
With 32-bit paging, each paging structure comprises 1024 = 2
10
entries. For this reason, the translation
process uses 10 bits at a time from a 32-bit linear address. Bits 31:22 identify the first paging-structure entry
and bits 21:12 identify a second. The latter identifies the page frame. Bits 11:0 of the linear address are the
page offset within the 4-KByte page frame. (See Figure 4-2 for an illustration.)
With PAE paging, the first paging structure comprises only 4 = 2
2
entries. Translation thus begins by using
bits 31:30 from a 32-bit linear address to identify the first paging-structure entry. Other paging structures
comprise 512 =2
9
entries, so the process continues by using 9 bits at a time. Bits 29:21 identify a second
paging-structure entry and bits 20:12 identify a third. This last identifies the page frame. (See Figure 4-5 for
an illustration.)
With IA-32e paging, each paging structure comprises 512 = 2
9
entries and translation uses 9 bits at a time
from a 48-bit linear address. Bits 47:39 identify the first paging-structure entry, bits 38:30 identify a second,
bits 29:21 a third, and bits 20:12 identify a fourth. Again, the last identifies the page frame. (See Figure 4-8
for an illustration.)
The translation process in each of the examples above completes by identifying a page frame; the page frame is
part of the translation of the original linear address. In some cases, however, the paging structures may be
configured so that translation process terminates before identifying a page frame. This occurs if process encoun-
ters a paging-structure entry that is marked not present (because its P flag bit 0 is clear) or in which a
reserved bit is set. In this case, there is no translation for the linear address; an access to that address causes a
page-fault exception (see Section 4.7).
In the examples above, a paging-structure entry maps a page with 4-KByte page frame when only 12 bits remain
in the linear address; entries identified earlier always reference other paging structures. That may not apply in
other cases. The following items identify when an entry maps a page and when it references another paging struc-
ture:
If more than 12 bits remain in the linear address, bit 7 (PS page size) of the current paging-structure entry
is consulted. If the bit is 0, the entry references another paging structure; if the bit is 1, the entry maps a page.
If only 12 bits remain in the linear address, the current paging-structure entry always maps a page (bit 7 is
used for other purposes).
If a paging-structure entry maps a page when more than 12 bits remain in the linear address, the entry identifies
a page frame larger than 4 KBytes. For example, 32-bit paging uses the upper 10 bits of a linear address to locate
the first paging-structure entry; 22 bits remain. If that entry maps a page, the page frame is 2
22
Bytes = 4 MBytes.
32-bit paging supports 4-MByte pages if CR4.PSE = 1. PAE paging and IA-32e paging support 2-MByte pages
(regardless of the value of CR4.PSE). IA-32e paging may support 1-GByte pages (see Section 4.1.4).
Paging structures are given different names based their uses in the translation process. Table 4-2 gives the names
of the different paging structures. It also provides, for each structure, the source of the physical address used to
locate it (CR3 or a different paging-structure entry); the bits in the linear address used to select an entry from the
structure; and details of about whether and how such an entry can map a page.
4 .3 3 2 -B I T P AG I N G
A logical processor uses 32-bit paging if CR0.PG = 1 and CR4.PAE = 0. 32-bit paging translates 32-bit linear
addresses to 40-bit physical addresses.
1
Although 40 bits corresponds to 1 TByte, linear addresses are limited to
32 bits; at most 4 GBytes of linear-address space may be accessed at any given time.
32-bit paging uses a hierarchy of paging structures to produce a translation for a linear address. CR3 is used to
locate the first paging-structure, the page directory. Table 4-3 illustrates how CR3 is used with 32-bit paging.
1. Bits in the range 39:32 are 0 in any physical address used by 32-bit paging except those used to map 4-MByte pages. If the proces-
sor does not support the PSE-36 mechanism, this is true also for physical addresses used to map 4-MByte pages. If the processor
does support the PSE-36 mechanism and MAXPHYADDR<40, bits in the range 39:MAXPHYADDR are 0 in any physical address used
to map a 4-MByte page. (The corresponding bits are reserved in PDEs.) See Section 4.1.4 for how to determine MAXPHYADDR and
whether the PSE-36 mechanism is supported.
Vol. 3A 4-7
PAGING
32-bit paging may map linear addresses to either 4-KByte pages or 4-MByte pages. Figure 4-2 illustrates the
translation process when it uses a 4-KByte page; Figure 4-3 covers the case of a 4-MByte page. The following
items describe the 32-bit paging process in more detail as well has how the page size is determined:
A 4-KByte naturally aligned page directory is located at the physical address specified in bits 31:12 of CR3 (see
Table 4-3). A page directory comprises 1024 32-bit entries (PDEs). A PDE is selected using the physical address
defined as follows:
Bits 39:32 are all 0.
Bits 31:12 are from CR3.
Bits 11:2 are bits 31:22 of the linear address.
Bits 1:0 are 0.
Because a PDE is identified using bits 31:22 of the linear address, it controls access to a 4-Mbyte region of the
linear-address space. Use of the PDE depends on CR.PSE and the PDEs PS flag (bit 7):
If CR4.PSE = 1 and the PDEs PS flag is 1, the PDE maps a 4-MByte page (see Table 4-4). The final physical
address is computed as follows:
Bits 39:32 are bits 20:13 of the PDE.
Bits 31:22 are bits 31:22 of the PDE.
1
Bits 21:0 are from the original linear address.
If CR4.PSE = 0 or the PDEs PS flag is 0, a 4-KByte naturally aligned page table is located at the physical
address specified in bits 31:12 of the PDE (see Table 4-5). A page table comprises 1024 32-bit entries (PTEs).
A PTE is selected using the physical address defined as follows:
Bits 39:32 are all 0.
Bits 31:12 are from the PDE.
Bits 11:2 are bits 21:12 of the linear address.
Ta b le 4 -2 . P a g in g S t ru ct u re s in t h e D if fe re n t P a g in g Mo d e s
P a g in g St ru ct u re
E n t ry
N a m e
P a g in g Mo d e
P h y sica l
Ad d re ss o f
S t ru ct u re
B it s S e le ct in g
E n t ry
P a g e Ma p p in g
PML4 table PML4E
32-bit, PAE N/A
IA-32e CR3 47:39 N/A (PS must be 0)
Page-directory-
pointer table
PDPTE
32-bit N/A
PAE CR3 31:30 N/A (PS must be 0)
IA-32e PML4E 38:30 1-GByte page if PS=1
1
Page directory PDE
32-bit CR3 31:22 4-MByte page if PS=1
2
PAE, IA-32e PDPTE 29:21 2-MByte page if PS=1
Page table PTE
32-bit
PDE
21:12 4-KByte page
PAE, IA-32e 20:12 4-KByte page
N O T E S :
1. Not all processors allow the PS flag to be 1 in PDPTEs; see Section 4.1.4 for how to determine whether 1-GByte pages are supported.
2. 32-bit paging ignores the PS flag in a PDE (and uses the entry to reference a page table) unless CR4.PSE=1. Not all processors allow
CR4.PSE to be 1; see Section 4.1.4 for how to determine whether 4-MByte pages are supported with 32-bit paging.
1. The upper bits in the final physical address do not all come fromcorresponding positions in the PDE; the physical-address bits in the
PDE are not all contiguous.
4-8 Vol. 3A
PAGING
Bits 1:0 are 0.
Because a PTE is identified using bits 31:12 of the linear address, every PTE maps a 4-KByte page (see
Table 4-6). The final physical address is computed as follows:
Bits 39:32 are all 0.
Bits 31:12 are from the PTE.
Bits 11:0 are from the original linear address.
If a paging-structure entrys P flag (bit 0) is 0 or if the entry sets any reserved bit, the entry is used neither to refer-
ence another paging-structure entry nor to map a page. There is no translation for a linear address whose transla-
tion would use such a paging-structure entry; a reference to such a linear address causes a page-fault exception
(see Section 4.7).
With 32-bit paging, there are reserved bits only if CR4.PSE = 1:
If the P flag and the PS flag (bit 7) of a PDE are both 1, the bits reserved depend on MAXPHYADDR whether the
PSE-36 mechanism is supported:
1
If the PSE-36 mechanism is not supported, bits 21:13 are reserved.
If the PSE-36 mechanism is supported, bits 21:(M19) are reserved, where M is the minimum of 40 and
MAXPHYADDR.
If the PAT is not supported:
2
If the P flag of a PTE is 1, bit 7 is reserved.
If the P flag and the PS flag of a PDE are both 1, bit 12 is reserved.
(If CR4.PSE = 0, no bits are reserved with 32-bit paging.)
A reference using a linear address that is successfully translated to a physical address is performed only if allowed
by the access rights of the translation; see Section 4.6.
1. See Section 4.1.4 for how to determine MAXPHYADDR and whether the PSE-36 mechanism is supported.
2. See Section 4.1.4 for how to determine whether the PAT is supported.
F ig u re 4 -2 . Lin e a r-Ad d re ss T ra n sla t io n t o a 4 -K B y t e P a g e u sin g 3 2 -B it P a g in g
0
Directory Table Offset
Page Directory
PDE with PS=0
CR3
Page Table
PTE
4-KByte Page
Physical Address
31 21 11 12 22
Linear Address
32
10
12
10
20
20
Vol. 3A 4-9
PAGING
Figure 4-4 gives a summary of the formats of CR3 and the paging-structure entries with 32-bit paging. For the
paging structure entries, it identifies separately the format of entries that map pages, those that reference other
paging structures, and those that do neither because they are not present; bit 0 (P) and bit 7 (PS) are high-
lighted because they determine how such an entry is used.
F ig u re 4 -3 . Lin e a r-Ad d re ss T ra n sla t io n t o a 4 -MB y t e P a g e u sin g 3 2 -B it P a g in g
3 1 3 0 2 9 2 8 2 7 2 6 2 5 2 4 2 3 2 2 2 1 2 0 1 9 1 8 1 7 1 6 1 5 1 4 1 3 1 2 1 1 1 0 9 8 7 6 5 4 3 2 1 0
Address of page directory
1
N O T E S :
1. CR3 has 64 bits on processors supporting the Intel-64 architecture. These bits are ignored with 32-bit paging.
Ignored
P
C
D
PW
T
Ignored C R 3
Bits 31:22 of address
of 4MB page frame
Reserved
(must be 0)
Bits 39:32 of
address
2
2. This example illustrates a processor in which MAXPHYADDR is 36. If this value is larger or smaller, the number of bits reserved in
positions 20:13 of a PDE mapping a 4-MByte will change.
P
A
T
Ignored G 1 D A
P
C
D
PW
T
U
/
S
R
/
W
1
P D E :
4 MB
p a g e
Address of page table Ignored 0
I
g
n
A
P
C
D
PW
T
U
/
S
R
/
W
1
P D E :
p a g e
t a b le
Ignored 0
P D E :
n o t
p re se n t
Address of 4KB page frame Ignored G
P
A
T
D A
P
C
D
PW
T
U
/
S
R
/
W
1
P T E :
4 K B
p a g e
Ignored 0
P T E :
n o t
p re se n t
F ig u re 4 -4 . Fo rm a t s o f C R 3 a n d P a g in g -S t ru ct u re E n t rie s w it h 3 2 -B it P a g in g
0
Directory Offset
Page Directory
PDE with PS=1
CR3
4-MByte Page
Physical Address
31 21 22
Linear Address
10
22
32
18
4-10 Vol. 3A
PAGING
Ta b le 4 -3 . U se o f C R 3 w it h 3 2 -B it P a g in g
B it
P o sit io n (s)
C o n t e n t s
2:0 Ignored
3 (PWT) Page-level write-through; indirectly determines the memory type used to access the page directory during linear-
address translation (see Section 4.9)
4 (PCD) Page-level cache disable; indirectly determines the memory type used to access the page directory during linear-
address translation (see Section 4.9)
11:5 Ignored
31:12 Physical address of the 4-KByte aligned page directory used for linear-address translation
63:32 Ignored (these bits exist only on processors supporting the Intel-64 architecture)
Vol. 3A 4-11
PAGING
Ta b le 4 -4 . Fo rm a t o f a 3 2 -B it P a g e -D ire ct o ry E n t ry t h a t Ma p s a 4 -MB y t e P a g e
B it
P o sit io n (s)
C o n t e n t s
0 (P) Present; must be 1 to map a 4-MByte page
1 (R/W) Read/write; if 0, writes may not be allowed to the 4-MByte page referenced by this entry (see Section 4.6)
2 (U/S) User/supervisor; if 0, user-mode accesses are not allowed to the 4-MByte page referenced by this entry (see Section
4.6)
3 (PWT) Page-level write-through; indirectly determines the memory type used to access the 4-MByte page referenced by
this entry (see Section 4.9)
4 (PCD) Page-level cache disable; indirectly determines the memory type used to access the 4-MByte page referenced by
this entry (see Section 4.9)
5 (A) Accessed; indicates whether software has accessed the 4-MByte page referenced by this entry (see Section 4.8)
6 (D) Dirty; indicates whether software has written to the 4-MByte page referenced by this entry (see Section 4.8)
7 (PS) Page size; must be 1 (otherwise, this entry references a page table; see Table4-5)
8 (G) Global; if CR4.PGE=1, determines whether the translation is global (see Section 4.10); ignored otherwise
11:9 Ignored
12 (PAT) If the PAT is supported, indirectly determines the memory type used to access the 4-MByte page referenced by this
entry (see Section 4.9.2); otherwise, reserved (must be 0)
1
(M20):13 Bits (M1):32 of physical address of the 4-MByte page referenced by this entry
2
21:(M19) Reserved (must be 0)
31:22 Bits 31:22 of physical address of the 4-MByte page referenced by this entry
N O T E S :
1. See Section 4.1.4 for how to determine whether the PAT is supported.
2. If the PSE-36 mechanism is not supported, M is 32, and this row does not apply. If the PSE-36 mechanism is supported, M is the min-
imumof 40 and MAXPHYADDR (this row does not apply if MAXPHYADDR=32). See Section 4.1.4 for how to determine MAXPHY-
ADDR and whether the PSE-36 mechanism is supported.
4-12 Vol. 3A
PAGING
Ta b le 4 -5 . Fo rm a t o f a 3 2 -B it P a g e -D ire ct o ry E n t ry t h a t R e fe re n ce s a P a g e Ta b le
B it
P o sit io n (s)
C o n t e n t s
0 (P) Present; must be 1 to reference a page table
1 (R/W) Read/write; if 0, writes may not be allowed to the 4-MByte region controlled by this entry (see Section 4.6)
2 (U/S) User/supervisor; if 0, user-mode accesses are not allowed to the 4-MByte region controlled by this entry (see Section
4.6)
3 (PWT) Page-level write-through; indirectly determines the memory type used to access the page table referenced by this
entry (see Section 4.9)
4 (PCD) Page-level cache disable; indirectly determines the memory type used to access the page table referenced by this
entry (see Section 4.9)
5 (A) Accessed; indicates whether this entry has been used for linear-address translation (see Section 4.8)
6 Ignored
7 (PS) If CR4.PSE=1, must be 0 (otherwise, this entry maps a 4-MByte page; see Table4-4); otherwise, ignored
11:8 Ignored
31:12 Physical address of 4-KByte aligned page table referenced by this entry
Ta b le 4 -6 . Fo rm a t o f a 3 2 -B it P a g e -Ta b le E n t ry t h a t Ma p s a 4 -K B y t e P a g e
B it
P o sit io n (s)
C o n t e n t s
0 (P) Present; must be 1 to map a 4-KByte page
1 (R/W) Read/write; if 0, writes may not be allowed to the 4-KByte page referenced by this entry (see Section 4.6)
2 (U/S) User/supervisor; if 0, user-mode accesses are not allowed to the 4-KByte page referenced by this entry (see Section
4.6)
3 (PWT) Page-level write-through; indirectly determines the memory type used to access the 4-KByte page referenced by this
entry (see Section 4.9)
4 (PCD) Page-level cache disable; indirectly determines the memory type used to access the 4-KByte page referenced by this
entry (see Section 4.9)
5 (A) Accessed; indicates whether software has accessed the 4-KByte page referenced by this entry (see Section 4.8)
6 (D) Dirty; indicates whether software has written to the 4-KByte page referenced by this entry (see Section 4.8)
7 (PAT) If the PAT is supported, indirectly determines the memory type used to access the 4-KByte page referenced by this
entry (see Section 4.9.2); otherwise, reserved (must be 0)
1
N O T E S :
1. See Section 4.1.4 for how to determine whether the PAT is supported.
8 (G) Global; if CR4.PGE=1, determines whether the translation is global (see Section 4.10); ignored otherwise
11:9 Ignored
31:12 Physical address of the 4-KByte page referenced by this entry
Vol. 3A 4-13
PAGING
4 .4 P AE P AG I N G
A logical processor uses PAE paging if CR0.PG = 1, CR4.PAE = 1, and IA32_EFER.LME = 0. PAE paging translates
32-bit linear addresses to 52-bit physical addresses.
1
Although 52 bits corresponds to 4 PBytes, linear addresses
are limited to 32 bits; at most 4 GBytes of linear-address space may be accessed at any given time.
With PAE paging, a logical processor maintains a set of four (4) PDPTE registers, which are loaded from an address
in CR3. Linear address are translated using 4 hierarchies of in-memory paging structures, each located using one
of the PDPTE registers. (This is different from the other paging modes, in which there is one hierarchy referenced
by CR3.)
Section 4.4.1 discusses the PDPTE registers. Section 4.4.2 describes linear-address translation with PAE paging.
4 .4 .1 P D P T E R e g ist e rs
When PAE paging is used, CR3 references the base of a 32-Byte page-directory-pointer table. Table 4-7 illus-
trates how CR3 is used with PAE paging.
The page-directory-pointer-table comprises four (4) 64-bit entries called PDPTEs. Each PDPTE controls access to a
1-GByte region of the linear-address space. Corresponding to the PDPTEs, the logical processor maintains a set of
four (4) internal, non-architectural PDPTE registers, called PDPTE0, PDPTE1, PDPTE2, and PDPTE3. The logical
processor loads these registers from the PDPTEs in memory as part of certain operations:
If PAE paging would be in use following an execution of MOV to CR0 or MOV to CR4 (see Section 4.1.1) and the
instruction is modifying any of CR0.CD, CR0.NW, CR0.PG, CR4.PAE, CR4.PGE, CR4.PSE, or CR4.SMEP; then the
PDPTEs are loaded from the address in CR3.
If MOV to CR3 is executed while the logical processor is using PAE paging, the PDPTEs are loaded from the
address being loaded into CR3.
If PAE paging is in use and a task switch changes the value of CR3, the PDPTEs are loaded from the address in
the new CR3 value.
Certain VMX transitions load the PDPTE registers. See Section 4.11.1.
Table 4-8 gives the format of a PDPTE. If any of the PDPTEs sets both the P flag (bit 0) and any reserved bit, the
MOV to CR instruction causes a general-protection exception (#GP(0)) and the PDPTEs are not loaded.
2
As shown
in Table 4-8, bits 2:1, 8:5, and 63:MAXPHYADDR are reserved in the PDPTEs.
1. If MAXPHYADDR<52, bits in the range 51:MAXPHYADDR will be 0 in any physical address used by PAE paging. (The corresponding
bits are reserved in the paging-structure entries.) See Section 4.1.4 for how to determine MAXPHYADDR.
Ta b le 4 -7. U se o f C R 3 w it h P AE P a g in g
B it
P o sit io n (s)
C o n t e n t s
4:0 Ignored
31:5 Physical address of the 32-Byte aligned page-directory-pointer table used for linear-address translation
63:32 Ignored (these bits exist only on processors supporting the Intel-64 architecture)
2. On some processors, reserved bits are checked even in PDPTEs in which the P flag (bit 0) is 0.
4-14 Vol. 3A
PAGING
4 .4 .2 Lin e a r-Ad d re ss T ra n sla t io n w it h P AE P a g in g
PAE paging may map linear addresses to either 4-KByte pages or 2-MByte pages. Figure 4-5 illustrates the trans-
lation process when it produces a 4-KByte page; Figure 4-6 covers the case of a 2-MByte page. The following items
describe the PAE paging process in more detail as well has how the page size is determined:
Bits 31:30 of the linear address select a PDPTE register (see Section 4.4.1); this is PDPTEi, where i is the value
of bits 31:30.
1
Because a PDPTE register is identified using bits 31:30 of the linear address, it controls access
to a 1-GByte region of the linear-address space. If the P flag (bit 0) of PDPTEi is 0, the processor ignores bits
63:1, and there is no mapping for the 1-GByte region controlled by PDPTEi. A reference using a linear address
in this region causes a page-fault exception (see Section 4.7).
If the P flag of PDPTEi is 1, 4-KByte naturally aligned page directory is located at the physical address specified
in bits 51:12 of PDPTEi (see Table 4-8 in Section 4.4.1) A page directory comprises 512 64-bit entries (PDEs).
A PDE is selected using the physical address defined as follows:
Bits 51:12 are from PDPTEi.
Bits 11:3 are bits 29:21 of the linear address.
Bits 2:0 are 0.
Because a PDE is identified using bits 31:21 of the linear address, it controls access to a 2-Mbyte region of the
linear-address space. Use of the PDE depends on its PS flag (bit 7):
If the PDEs PS flag is 1, the PDE maps a 2-MByte page (see Table 4-9). The final physical address is computed
as follows:
Bits 51:21 are from the PDE.
Bits 20:0 are from the original linear address.
If the PDEs PS flag is 0, a 4-KByte naturally aligned page table is located at the physical address specified in
bits 51:12 of the PDE (see Table 4-10). A page directory comprises 512 64-bit entries (PTEs). A PTE is selected
using the physical address defined as follows:
Bits 51:12 are from the PDE.
Ta b le 4 -8 . Fo rm a t o f a P AE P a g e -D ire ct o ry -P o in t e r-Ta b le E n t ry (P D P T E )
B it
P o sit io n (s)
C o n t e n t s
0 (P) Present; must be 1 to reference a page directory
2:1 Reserved (must be 0)
3 (PWT) Page-level write-through; indirectly determines the memory type used to access the page directory referenced by
this entry (see Section 4.9)
4 (PCD) Page-level cache disable; indirectly determines the memory type used to access the page directory referenced by
this entry (see Section 4.9)
8:5 Reserved (must be 0)
11:9 Ignored
(M1):12 Physical address of 4-KByte aligned page directory referenced by this entry
1
63:M Reserved (must be 0)
N O T E S :
1. M is an abbreviation for MAXPHYADDR, which is at most 52; see Section 4.1.4.
1. With PAE paging, the processor does not use CR3 when translating a linear address (as it does the other paging modes). It does not
access the PDPTEs in the page-directory-pointer table during linear-address translation.
Vol. 3A 4-15
PAGING
Bits 11:3 are bits 20:12 of the linear address.
Bits 2:0 are 0.
Because a PTE is identified using bits 31:12 of the linear address, every PTE maps a 4-KByte page (see
Table 4-11). The final physical address is computed as follows:
Bits 51:12 are from the PTE.
Bits 11:0 are from the original linear address.
If the P flag (bit 0) of a PDE or a PTE is 0 or if a PDE or a PTE sets any reserved bit, the entry is used neither to
reference another paging-structure entry nor to map a page. There is no translation for a linear address whose
translation would use such a paging-structure entry; a reference to such a linear address causes a page-fault
exception (see Section 4.7).
The following bits are reserved with PAE paging:
If the P flag (bit 0) of a PDE or a PTE is 1, bits 62:MAXPHYADDR are reserved.
If the P flag and the PS flag (bit 7) of a PDE are both 1, bits 20:13 are reserved.
If IA32_EFER.NXE = 0 and the P flag of a PDE or a PTE is 1, the XD flag (bit 63) is reserved.
If the PAT is not supported:
1
If the P flag of a PTE is 1, bit 7 is reserved.
If the P flag and the PS flag of a PDE are both 1, bit 12 is reserved.
A reference using a linear address that is successfully translated to a physical address is performed only if allowed
by the access rights of the translation; see Section 4.6.
1. See Section 4.1.4 for how to determine whether the PAT is supported.
F ig u re 4 -5 . Lin e a r-Ad d re ss T ra n sla t io n t o a 4 -K B y t e P a g e u sin g P AE P a g in g
0
Directory Table Offset
Page Directory
PDE with PS=0
Page Table
PTE
4-KByte Page
Physical Address
31 20 11 12 21
Linear Address
PDPTE value
30 29
PDPTE Registers
Directory Pointer
2
9
12
9
40
40
40
4-16 Vol. 3A
PAGING
F ig u re 4 -6 . Lin e a r-Ad d re ss T ra n sla t io n t o a 2 -MB y t e P a g e u sin g P AE P a g in g
Ta b le 4 -9 . Fo rm a t o f a P AE P a g e -D ire ct o ry E n t ry t h a t Ma p s a 2 -MB y t e P a g e
B it
P o sit io n (s)
C o n t e n t s
0 (P) Present; must be 1 to map a 2-MByte page
1 (R/W) Read/write; if 0, writes may not be allowed to the 2-MByte page referenced by this entry (see Section 4.6)
2 (U/S) User/supervisor; if 0, user-mode accesses are not allowed to the 2-MByte page referenced by this entry (see Section
4.6)
3 (PWT) Page-level write-through; indirectly determines the memory type used to access the 2-MByte page referenced by
this entry (see Section 4.9)
4 (PCD) Page-level cache disable; indirectly determines the memory type used to access the 2-MByte page referenced by this
entry (see Section 4.9)
5 (A) Accessed; indicates whether software has accessed the 2-MByte page referenced by this entry (see Section 4.8)
6 (D) Dirty; indicates whether software has written to the 2-MByte page referenced by this entry (see Section 4.8)
7 (PS) Page size; must be 1 (otherwise, this entry references a page table; see Table4-10)
8 (G) Global; if CR4.PGE=1, determines whether the translation is global (see Section 4.10); ignored otherwise
11:9 Ignored
12 (PAT) If the PAT is supported, indirectly determines the memory type used to access the 2-MByte page referenced by this
entry (see Section 4.9.2); otherwise, reserved (must be 0)
1
N O T E S :
1. See Section 4.1.4 for how to determine whether the PAT is supported.
20:13 Reserved (must be 0)
(M1):21 Physical address of the 2-MByte page referenced by this entry
62:M Reserved (must be 0)
63 (XD) If IA32_EFER.NXE=1, execute-disable (if 1, instruction fetches are not allowed fromthe 2-MByte page controlled by
this entry; see Section 4.6); otherwise, reserved (must be 0)
0
Directory Offset
Page Directory
PDE with PS=1
2-MByte Page
Physical Address
31 20 21
Linear Address
PDPTE value
30 29
PDPTE Registers
Directory
Pointer
2
9
21
31
40
Vol. 3A 4-17
PAGING
Ta b le 4 -1 0 . Fo rm a t o f a P AE P a g e -D ire ct o ry E n t ry t h a t R e fe re n ce s a P a g e Ta b le
B it
P o sit io n (s)
C o n t e n t s
0 (P) Present; must be 1 to reference a page table
1 (R/W) Read/write; if 0, writes may not be allowed to the 2-MByte region controlled by this entry (see Section 4.6)
2 (U/S) User/supervisor; if 0, user-mode accesses are not allowed to the 2-MByte region controlled by this entry (see
Section 4.6)
3 (PWT) Page-level write-through; indirectly determines the memory type used to access the page table referenced by this
entry (see Section 4.9)
4 (PCD) Page-level cache disable; indirectly determines the memory type used to access the page table referenced by this
entry (see Section 4.9)
5 (A) Accessed; indicates whether this entry has been used for linear-address translation (see Section 4.8)
6 Ignored
7 (PS) Page size; must be 0 (otherwise, this entry maps a 2-MByte page; see Table4-9)
11:8 Ignored
(M1):12 Physical address of 4-KByte aligned page table referenced by this entry
62:M Reserved (must be 0)
63 (XD) If IA32_EFER.NXE=1, execute-disable (if 1, instruction fetches are not allowed from the 2-MByte region controlled
by this entry; see Section 4.6); otherwise, reserved (must be 0)
Ta b le 4 -1 1 . Fo rm a t o f a P AE P a g e -Ta b le E n t ry t h a t Ma p s a 4 -K B y t e P a g e
B it
P o sit io n (s)
C o n t e n t s
0 (P) Present; must be 1 to map a 4-KByte page
1 (R/W) Read/write; if 0, writes may not be allowed to the 4-KByte page referenced by this entry (see Section 4.6)
2 (U/S) User/supervisor; if 0, user-mode accesses are not allowed to the 4-KByte page referenced by this entry (see Section
4.6)
3 (PWT) Page-level write-through; indirectly determines the memory type used to access the 4-KByte page referenced by
this entry (see Section 4.9)
4 (PCD) Page-level cache disable; indirectly determines the memory type used to access the 4-KByte page referenced by this
entry (see Section 4.9)
5 (A) Accessed; indicates whether software has accessed the 4-KByte page referenced by this entry (see Section 4.8)
6 (D) Dirty; indicates whether software has written to the 4-KByte page referenced by this entry (see Section 4.8)
7 (PAT) If the PAT is supported, indirectly determines the memory type used to access the 4-KByte page referenced by this
entry (see Section 4.9.2); otherwise, reserved (must be 0)
1
8 (G) Global; if CR4.PGE=1, determines whether the translation is global (see Section 4.10); ignored otherwise
4-18 Vol. 3A
PAGING
Figure 4-7 gives a summary of the formats of CR3 and the paging-structure entries with PAE paging. For the paging
structure entries, it identifies separately the format of entries that map pages, those that reference other paging
structures, and those that do neither because they are not present; bit 0 (P) and bit 7 (PS) are highlighted
because they determine how a paging-structure entry is used.
11:9 Ignored
(M1):12 Physical address of the 4-KByte page referenced by this entry
62:M Reserved (must be 0)
63 (XD) If IA32_EFER.NXE=1, execute-disable (if 1, instruction fetches are not allowed from the 4-KByte page controlled by
this entry; see Section 4.6); otherwise, reserved (must be 0)
N O T E S :
1. See Section 4.1.4 for how to determine whether the PAT is supported.
6
3
6
2
6
1
6
0
5
9
5
8
5
7
5
6
5
5
5
4
5
3
5
2
5
1
M
1
N O T E S :
1. M is an abbreviation for MAXPHYADDR.
M-1
3
2
3
1
3
0
2
9
2
8
2
7
2
6
2
5
2
4
2
3
2
2
2
1
2
0
1
9
1
8
1
7
1
6
1
5
1
4
1
3
1
2
1
1
1
0 9 8 7 6 5 4 3 2 1 0
Ignored
2
2. CR3 has 64 bits only on processors supporting the Intel-64 architecture. These bits are ignored with PAE paging.
Address of page-directory-pointer table Ignored C R 3
Reserved
3
3. Reserved fields must be 0.
Address of page directory Ign. Rsvd.
P
C
D
P
W
T
Rs
vd
1
P D P T E :
p re se n t
Ignored 0
P D T P E :
n o t
p re se n t
X
D
4
4. If IA32_EFER.NXE=0 and the P flag of a PDE or a PTE is 1, the XD flag (bit 63) is reserved.
Reserved
Address of
2MB page frame
Reserved
P
A
T
Ign. G1 DA
P
C
D
P
W
T
U
/S
R
/
W
1
P D E :
2 MB
p a g e
X
D
Reserved Address of page table Ign. 0
I
g
n
A
P
C
D
P
W
T
U
/S
R
/
W
1
P D E :
p a g e
t a b le
Ignored 0
P D E :
n o t
p re se n t
X
D
Reserved Address of 4KB page frame Ign. G
P
A
T
DA
P
C
D
P
W
T
U
/S
R
/
W
1
P T E :
4 K B
p a g e
Ignored 0
P T E :
n o t
p re se n t
F ig u re 4 -7. Fo rm a t s o f C R 3 a n d P a g in g -S t ru ct u re E n t rie s w it h P AE P a g in g
Ta b le 4 -1 1 . Fo rm a t o f a P AE P a g e -Ta b le E n t ry t h a t Ma p s a 4 -K B y t e P a g e (C o n t d .)
B it
P o sit io n (s)
C o n t e n t s
Vol. 3A 4-19
PAGING
4 .5 I A-3 2 E P AG I N G
A logical processor uses IA-32e paging if CR0.PG = 1, CR4.PAE = 1, and IA32_EFER.LME = 1. With IA-32e paging,
linear address are translated using a hierarchy of in-memory paging structures located using the contents of CR3.
IA-32e paging translates 48-bit linear addresses to 52-bit physical addresses.
1
Although 52 bits corresponds to 4
PBytes, linear addresses are limited to 48 bits; at most 256 TBytes of linear-address space may be accessed at any
given time.
IA-32e paging uses a hierarchy of paging structures to produce a translation for a linear address. CR3 is used to
locate the first paging-structure, the PML4 table. Use of CR3 with IA-32e paging depends on whether process-
context identifiers (PCIDs) have been enabled by setting CR4.PCIDE:
Table 4-12 illustrates how CR3 is used with IA-32e paging if CR4.PCIDE = 0.
Table 4-13 illustrates how CR3 is used with IA-32e paging if CR4.PCIDE = 1.
After software modifies the value of CR4.PCIDE, the logical processor immediately begins using CR3 as specified
for the new value. For example, if software changes CR4.PCIDE from 1 to 0, the current PCID immediately changes
1. If MAXPHYADDR<52, bits in the range 51:MAXPHYADDR will be 0 in any physical address used by IA-32e paging. (The correspond-
ing bits are reserved in the paging-structure entries.) See Section 4.1.4 for how to determine MAXPHYADDR.
Ta b le 4 -1 2 . U se o f C R 3 w it h I A-3 2 e P a g in g a n d C R 4 .P C I D E = 0
B it
P o sit io n (s)
C o n t e n t s
2:0 Ignored
3 (PWT) Page-level write-through; indirectly determines the memory type used to access the PML4 table during linear-
address translation (see Section 4.9.2)
4 (PCD) Page-level cache disable; indirectly determines the memory type used to access the PML4 table during linear-address
translation (see Section 4.9.2)
11:5 Ignored
M1:12 Physical address of the 4-KByte aligned PML4 table used for linear-address translation
1
N O T E S :
1. M is an abbreviation for MAXPHYADDR, which is at most 52; see Section 4.1.4.
63:M Reserved (must be 0)
Ta b le 4 -1 3 . U se o f C R 3 w it h I A-3 2 e P a g in g a n d C R 4 .P C I D E = 1
B it
P o sit io n (s)
C o n t e n t s
11:0 PCID (see Section 4.10.1)
1
N O T E S :
1. Section 4.9.2 explains how the processor determines the memory type used to access the PML4 table during linear-address transla-
tion with CR4.PCIDE=1.
M1:12 Physical address of the 4-KByte aligned PML4 table used for linear-address translation
2
2. M is an abbreviation for MAXPHYADDR, which is at most 52; see Section 4.1.4.
63:M Reserved (must be 0)
3
3. See Section 4.10.4.1 for use of bit 63 of the source operand of the MOV to CR3 instruction.
4-20 Vol. 3A
PAGING
from CR3[11:0] to 000H (see also Section 4.10.4.1). In addition, the logical processor subsequently determines
the memory type used to access the PML4 table using CR3.PWT and CR3.PCD, which had been bits 4:3 of the PCID.
IA-32e paging may map linear addresses to 4-KByte pages, 2-MByte pages, or 1-GByte pages.
1
Figure 4-8 illus-
trates the translation process when it produces a 4-KByte page; Figure 4-9 covers the case of a 2-MByte page, and
Figure 4-10 the case of a 1-GByte page.
1. Not all processors support 1-GByte pages; see Section 4.1.4.
F ig u re 4 -8 . Lin e a r-Ad d re ss T ra n sla t io n t o a 4 -K B y t e P a g e u sin g I A-3 2 e P a g in g
Directory Ptr
PTE
Linear Address
Page Table
PDPTE
CR3
39 38
Pointer Table
9
9
40
12
9
40
4-KByte Page
Offset
Physical Addr
PDE with PS=0
Table
0 11 12 20 21
Directory
30 29
Page-Directory-
Page-Directory
PML4
47
9
PML4E
40
40
40
Vol. 3A 4-21
PAGING
F ig u re 4 -9 . Lin e a r-Ad d re ss T ra n sla t io n t o a 2 -MB y t e P a g e u sin g I A-3 2 e P a g in g
F ig u re 4 -1 0 . Lin e a r-Ad d re ss T ra n sla t io n t o a 1 -G B y t e P a g e u sin g I A-3 2 e P a g in g
Directory Ptr
Linear Address
PDPTE
CR3
39 38
Pointer Table
9
9
40
21
31
2-MByte Page
Offset
Physical Addr
PDE with PS=1
0 20 21
Directory
30 29
Page-Directory-
Page-Directory
PML4
47
9
PML4E
40
40
Directory Ptr
Linear Address
PDPTE with PS=1
CR3
39 38
Pointer Table
9
40
30
22
1-GByte Page
Offset
Physical Addr
0 30 29
Page-Directory-
PML4
47
9
PML4E
40
4-22 Vol. 3A
PAGING
The following items describe the IA-32e paging process in more detail as well has how the page size is determined.
A 4-KByte naturally aligned PML4 table is located at the physical address specified in bits 51:12 of CR3 (see
Table 4-12). A PML4 table comprises 512 64-bit entries (PML4Es). A PML4E is selected using the physical
address defined as follows:
Bits 51:12 are from CR3.
Bits 11:3 are bits 47:39 of the linear address.
Bits 2:0 are all 0.
Because a PML4E is identified using bits 47:39 of the linear address, it controls access to a 512-GByte region of
the linear-address space.
A 4-KByte naturally aligned page-directory-pointer table is located at the physical address specified in
bits 51:12 of the PML4E (see Table 4-14). A page-directory-pointer table comprises 512 64-bit entries
(PDPTEs). A PDPTE is selected using the physical address defined as follows:
Bits 51:12 are from the PML4E.
Bits 11:3 are bits 38:30 of the linear address.
Bits 2:0 are all 0.
Because a PDPTE is identified using bits 47:30 of the linear address, it controls access to a 1-GByte region of the
linear-address space. Use of the PDPTE depends on its PS flag (bit 7):
1
If the PDPTEs PS flag is 1, the PDPTE maps a 1-GByte page (see Table 4-15). The final physical address is
computed as follows:
Bits 51:30 are from the PDPTE.
Bits 29:0 are from the original linear address.
If the PDEs PS flag is 0, a 4-KByte naturally aligned page directory is located at the physical address specified
in bits 51:12 of the PDPTE (see Table 4-16). A page directory comprises 512 64-bit entries (PDEs). A PDE is
selected using the physical address defined as follows:
Bits 51:12 are from the PDPTE.
Bits 11:3 are bits 29:21 of the linear address.
Bits 2:0 are all 0.
Because a PDE is identified using bits 47:21 of the linear address, it controls access to a 2-MByte region of the
linear-address space. Use of the PDE depends on its PS flag:
If the PDEs PS flag is 1, the PDE maps a 2-MByte page. The final physical address is computed as shown in
Table 4-17.
Bits 51:21 are from the PDE.
Bits 20:0 are from the original linear address.
If the PDEs PS flag is 0, a 4-KByte naturally aligned page table is located at the physical address specified in
bits 51:12 of the PDE (see Table 4-18). A page table comprises 512 64-bit entries (PTEs). A PTE is selected
using the physical address defined as follows:
Bits 51:12 are from the PDE.
Bits 11:3 are bits 20:12 of the linear address.
Bits 2:0 are all 0.
Because a PTE is identified using bits 47:12 of the linear address, every PTE maps a 4-KByte page (see
Table 4-19). The final physical address is computed as follows:
Bits 51:12 are from the PTE.
Bits 11:0 are from the original linear address.
1. The PS flag of a PDPTE is reserved and must be 0 (if the P flag is 1) if 1-GByte pages are not supported. See Section 4.1.4 for how
to determine whether 1-GByte pages are supported.
Vol. 3A 4-23
PAGING
If a paging-structure entrys P flag (bit 0) is 0 or if the entry sets any reserved bit, the entry is used neither to refer-
ence another paging-structure entry nor to map a page. There is no translation for a linear address whose transla-
tion would use such a paging-structure entry; a reference to such a linear address causes a page-fault exception
(see Section 4.7).
The following bits are reserved with IA-32e paging:
If the P flag of a paging-structure entry is 1, bits 51:MAXPHYADDR are reserved.
If the P flag of a PML4E is 1, the PS flag is reserved.
If 1-GByte pages are not supported and the P flag of a PDPTE is 1, the PS flag is reserved.
1
If the P flag and the PS flag of a PDPTE are both 1, bits 29:13 are reserved.
If the P flag and the PS flag of a PDE are both 1, bits 20:13 are reserved.
If IA32_EFER.NXE = 0 and the P flag of a paging-structure entry is 1, the XD flag (bit 63) is reserved.
A reference using a linear address that is successfully translated to a physical address is performed only if allowed
by the access rights of the translation; see Section 4.6.
Figure 4-11 gives a summary of the formats of CR3 and the IA-32e paging-structure entries. For the paging struc-
ture entries, it identifies separately the format of entries that map pages, those that reference other paging struc-
tures, and those that do neither because they are not present; bit 0 (P) and bit 7 (PS) are highlighted because
they determine how a paging-structure entry is used.
1. See Section 4.1.4 for how to determine whether 1-GByte pages are supported.
Ta b le 4 -1 4 . Fo rm a t o f a n I A-3 2 e P ML4 E n t ry (P ML4 E ) t h a t R e fe re n ce s a P a g e -D ire ct o ry -P o in t e r Ta b le
B it
P o sit io n (s)
C o n t e n t s
0 (P) Present; must be 1 to reference a page-directory-pointer table
1 (R/W) Read/write; if 0, writes may not be allowed to the 512-GByte region controlled by this entry (see Section 4.6)
2 (U/S) User/supervisor; if 0, user-mode accesses are not allowed to the 512-GByte region controlled by this entry (see
Section 4.6)
3 (PWT) Page-level write-through; indirectly determines the memory type used to access the page-directory-pointer table
referenced by this entry (see Section 4.9.2)
4 (PCD) Page-level cache disable; indirectly determines the memory type used to access the page-directory-pointer table
referenced by this entry (see Section 4.9.2)
5 (A) Accessed; indicates whether this entry has been used for linear-address translation (see Section 4.8)
6 Ignored
7 (PS) Reserved (must be 0)
11:8 Ignored
M1:12 Physical address of 4-KByte aligned page-directory-pointer table referenced by this entry
51:M Reserved (must be 0)
62:52 Ignored
63 (XD) If IA32_EFER.NXE=1, execute-disable (if 1, instruction fetches are not allowed from the 512-GByte region
controlled by this entry; see Section 4.6); otherwise, reserved (must be 0)
4-24 Vol. 3A
PAGING
Ta b le 4 -1 5 . Fo rm a t o f a n I A-3 2 e P a g e -D ire ct o ry -P o in t e r-Ta b le E n t ry (P D P T E ) t h a t Ma p s a 1 -G B y t e P a g e
B it
P o sit io n (s)
C o n t e n t s
0 (P) Present; must be 1 to map a 1-GByte page
1 (R/W) Read/write; if 0, writes may not be allowed to the 1-GByte page referenced by this entry (see Section 4.6)
2 (U/S) User/supervisor; if 0, user-mode accesses are not allowed to the 1-GByte page referenced by this entry (see Section
4.6)
3 (PWT) Page-level write-through; indirectly determines the memory type used to access the 1-GByte page referenced by this
entry (see Section 4.9.2)
4 (PCD) Page-level cache disable; indirectly determines the memory type used to access the 1-GByte page referenced by this
entry (see Section 4.9.2)
5 (A) Accessed; indicates whether software has accessed the 1-GByte page referenced by this entry (see Section 4.8)
6 (D) Dirty; indicates whether software has written to the 1-GByte page referenced by this entry (see Section 4.8)
7 (PS) Page size; must be 1 (otherwise, this entry references a page directory; see Table4-16)
8 (G) Global; if CR4.PGE=1, determines whether the translation is global (see Section 4.10); ignored otherwise
11:9 Ignored
12 (PAT) Indirectly determines the memory type used to access the 1-GByte page referenced by this entry (see Section
4.9.2)
1
N O T E S :
1. The PAT is supported on all processors that support IA-32e paging.
29:13 Reserved (must be 0)
(M1):30 Physical address of the 1-GByte page referenced by this entry
51:M Reserved (must be 0)
62:52 Ignored
63 (XD) If IA32_EFER.NXE=1, execute-disable (if 1, instruction fetches are not allowed from the 1-GByte page controlled by
this entry; see Section 4.6); otherwise, reserved (must be 0)
Vol. 3A 4-25
PAGING
Ta b le 4 -1 6 . Fo rm a t o f a n I A-3 2 e P a g e -D ire ct o ry -P o in t e r-Ta b le E n t ry (P D P T E ) t h a t R e fe re n ce s a P a g e D ire ct o ry
B it
P o sit io n (s)
C o n t e n t s
0 (P) Present; must be 1 to reference a page directory
1 (R/W) Read/write; if 0, writes may not be allowed to the 1-GByte region controlled by this entry (see Section 4.6)
2 (U/S) User/supervisor; if 0, user-mode accesses are not allowed to the 1-GByte region controlled by this entry (see Section
4.6)
3 (PWT) Page-level write-through; indirectly determines the memory type used to access the page directory referenced by
this entry (see Section 4.9.2)
4 (PCD) Page-level cache disable; indirectly determines the memory type used to access the page directory referenced by
this entry (see Section 4.9.2)
5 (A) Accessed; indicates whether this entry has been used for linear-address translation (see Section 4.8)
6 Ignored
7 (PS) Page size; must be 0 (otherwise, this entry maps a 1-GByte page; see Table4-15)
11:8 Ignored
(M1):12 Physical address of 4-KByte aligned page directory referenced by this entry
51:M Reserved (must be 0)
62:52 Ignored
63 (XD) If IA32_EFER.NXE=1, execute-disable (if 1, instruction fetches are not allowed from the 1-GByte region controlled
by this entry; see Section 4.6); otherwise, reserved (must be 0)
Ta b le 4 -1 7. Fo rm a t o f a n I A-3 2 e P a g e -D ire ct o ry E n t ry t h a t Ma p s a 2 -MB y t e P a g e
B it
P o sit io n (s)
C o n t e n t s
0 (P) Present; must be 1 to map a 2-MByte page
1 (R/W) Read/write; if 0, writes may not be allowed to the 2-MByte page referenced by this entry (see Section 4.6)
2 (U/S) User/supervisor; if 0, user-mode accesses are not allowed to the 2-MByte page referenced by this entry (see Section
4.6)
3 (PWT) Page-level write-through; indirectly determines the memory type used to access the 2-MByte page referenced by
this entry (see Section 4.9.2)
4 (PCD) Page-level cache disable; indirectly determines the memory type used to access the 2-MByte page referenced by
this entry (see Section 4.9.2)
5 (A) Accessed; indicates whether software has accessed the 2-MByte page referenced by this entry (see Section 4.8)
6 (D) Dirty; indicates whether software has written to the 2-MByte page referenced by this entry (see Section 4.8)
7 (PS) Page size; must be 1 (otherwise, this entry references a page table; see Table4-18)
8 (G) Global; if CR4.PGE=1, determines whether the translation is global (see Section 4.10); ignored otherwise
4-26 Vol. 3A
PAGING
11:9 Ignored
12 (PAT) Indirectly determines the memory type used to access the 2-MByte page referenced by this entry (see Section
4.9.2)
20:13 Reserved (must be 0)
(M1):21 Physical address of the 2-MByte page referenced by this entry
51:M Reserved (must be 0)
62:52 Ignored
63 (XD) If IA32_EFER.NXE=1, execute-disable (if 1, instruction fetches are not allowed from the 2-MByte page controlled by
this entry; see Section 4.6); otherwise, reserved (must be 0)
Ta b le 4 -1 8 . Fo rm a t o f a n I A-3 2 e P a g e -D ire ct o ry E n t ry t h a t R e fe re n ce s a P a g e Ta b le
B it
P o sit io n (s)
C o n t e n t s
0 (P) Present; must be 1 to reference a page table
1 (R/W) Read/write; if 0, writes may not be allowed to the 2-MByte region controlled by this entry (see Section 4.6)
2 (U/S) User/supervisor; if 0, user-mode accesses are not allowed to the 2-MByte region controlled by this entry (see Section
4.6)
3 (PWT) Page-level write-through; indirectly determines the memory type used to access the page table referenced by this
entry (see Section 4.9.2)
4 (PCD) Page-level cache disable; indirectly determines the memory type used to access the page table referenced by this
entry (see Section 4.9.2)
5 (A) Accessed; indicates whether this entry has been used for linear-address translation (see Section 4.8)
6 Ignored
7 (PS) Page size; must be 0 (otherwise, this entry maps a 2-MByte page; see Table4-17)
11:8 Ignored
(M1):12 Physical address of 4-KByte aligned page table referenced by this entry
51:M Reserved (must be 0)
62:52 Ignored
63 (XD) If IA32_EFER.NXE=1, execute-disable (if 1, instruction fetches are not allowed from the 2-MByte region controlled
by this entry; see Section 4.6); otherwise, reserved (must be 0)
Ta b le 4 -1 7. Fo rm a t o f a n I A-3 2 e P a g e -D ire ct o ry E n t ry t h a t Ma p s a 2 -MB y t e P a g e (C o n t d .)
B it
P o sit io n (s)
C o n t e n t s
Vol. 3A 4-27
PAGING
Ta b le 4 -1 9 . Fo rm a t o f a n I A-3 2 e P a g e -Ta b le E n t ry t h a t Ma p s a 4 -K B y t e P a g e
B it
P o sit io n (s)
C o n t e n t s
0 (P) Present; must be 1 to map a 4-KByte page
1 (R/W) Read/write; if 0, writes may not be allowed to the 4-KByte page referenced by this entry (see Section 4.6)
2 (U/S) User/supervisor; if 0, user-mode accesses are not allowed to the 4-KByte page referenced by this entry (see Section
4.6)
3 (PWT) Page-level write-through; indirectly determines the memory type used to access the 4-KByte page referenced by
this entry (see Section 4.9.2)
4 (PCD) Page-level cache disable; indirectly determines the memory type used to access the 4-KByte page referenced by this
entry (see Section 4.9.2)
5 (A) Accessed; indicates whether software has accessed the 4-KByte page referenced by this entry (see Section 4.8)
6 (D) Dirty; indicates whether software has written to the 4-KByte page referenced by this entry (see Section 4.8)
7 (PAT) Indirectly determines the memory type used to access the 4-KByte page referenced by this entry (see Section 4.9.2)
8 (G) Global; if CR4.PGE=1, determines whether the translation is global (see Section 4.10); ignored otherwise
11:9 Ignored
(M1):12 Physical address of the 4-KByte page referenced by this entry
51:M Reserved (must be 0)
62:52 Ignored
63 (XD) If IA32_EFER.NXE=1, execute-disable (if 1, instruction fetches are not allowed from the 4-KByte page controlled by
this entry; see Section 4.6); otherwise, reserved (must be 0)
4-28 Vol. 3A
PAGING
.
4 .6 AC C E S S R I G H T S
There is a translation for a linear address if the processes described in Section 4.3, Section 4.4.2, and Section 4.5
(depending upon the paging mode) completes and produces a physical address. Whether an access is permitted by
a translation is determined by the access rights specified by the paging-structure entries controlling the transla-
tion;
1
paging-mode modifiers in CR0, CR4, and the IA32_EFER MSR; and the mode of the access.
6
3
6
2
6
1
6
0
5
9
5
8
5
7
5
6
5
5
5
4
5
3
5
2
5
1
M
1
N O T E S :
1. M is an abbreviation for MAXPHYADDR.
M-1
3
2
3
1
3
0
2
9
2
8
2
7
2
6
2
5
2
4
2
3
2
2
2
1
2
0
1
9
1
8
1
7
1
6
1
5
1
4
1
3
1
2
1
1
1
0 9 8 7 6 5 4 3 2 1 0
Reserved
2
2. Reserved fields must be 0.
Address of PML4 table Ignored
P
C
D
P
W
T
Ign. C R 3
X
D
3
3. If IA32_EFER.NXE=0 and the P flag of a paging-structure entry is 1, the XD flag (bit 63) is reserved.
Ignored Rsvd. Address of page-directory-pointer table Ign.
Rs
vd
I
g
n
A
P
C
D
P
W
T
U
/S
R
/
W
1
P ML4 E :
p re se n t
Ignored 0
P ML4 E :
n o t
p re se n t
X
D
Ignored Rsvd.
Address of
1GB page frame
Reserved
P
A
T
Ign. G1 DA
P
C
D
P
W
T
U
/S
R
/
W
1
P D P T E :
1 G B
p a g e
X
D
Ignored Rsvd. Address of page directory Ign. 0
I
g
n
A
P
C
D
P
W
T
U
/S
R
/
W
1
P D P T E :
p a g e
d ire cto ry
Ignored 0
P D T P E :
n o t
p re se n t
X
D
Ignored Rsvd.
Address of
2MB page frame
Reserved
P
A
T
Ign. G1 DA
P
C
D
P
W
T
U
/S
R
/
W
1
P D E :
2 MB
p a g e
X
D
Ignored Rsvd. Address of page table Ign. 0
I
g
n
A
P
C
D
P
W
T
U
/S
R
/
W
1
P D E :
p a g e
t a b le
Ignored 0
P D E :
n o t
p re se n t
X
D
Ignored Rsvd. Address of 4KB page frame Ign. G
P
A
T
DA
P
C
D
P
W
T
U
/S
R
/
W
1
P T E :
4 K B
p a g e
Ignored 0
P T E :
n o t
p re se n t
F ig u re 4 -1 1 . Fo rm a t s o f C R 3 a n d P a g in g -S t ru ct u re E n t rie s w it h I A-3 2 e P a g in g
1. With PAE paging, the PDPTEs do not determine access rights.
Vol. 3A 4-29
PAGING
Every access to a linear address is either a supervisor-mode access or a user-mode access. All accesses
performed while the current privilege level (CPL) is less than 3 are supervisor-mode accesses. If CPL = 3, accesses
are generally user-mode accesses. However, some operations implicitly access system data structures with linear
addresses; the resulting accesses to those data structures are supervisor-mode accesses regardless of CPL. Exam-
ples of such implicit supervisor accesses include the following: accesses to the global descriptor table (GDT) or
local descriptor table (LDT) to load a segment descriptor; accesses to the interrupt descriptor table (IDT) when
delivering an interrupt or exception; and accesses to the task-state segment (TSS) as part of a task switch or
change of CPL.
The following items detail how paging determines access rights:
For supervisor-mode accesses:
Data reads.
Data may be read from any linear address with a translation.
Data writes.
If CR0.WP = 0, data may be written to any linear address with a translation.
If CR0.WP = 1, data may be written to any linear address with a translation for which the R/W flag
(bit 1) is 1 in every paging-structure entry controlling the translation.
Instruction fetches.
For 32-bit paging or if IA32_EFER.NXE = 0, access rights depend on the value of CR4.SMEP:
If CR4.SMEP = 0, instructions may be fetched from any linear address with a translation.
If CR4.SMEP = 1, instructions may be fetched from any linear address with a translation for which
the U/S flag (bit 2) is 0 in at least one of the paging-structure entries controlling the translation.
For PAE paging or IA-32e paging with IA32_EFER.NXE = 1, access rights depend on the value of
CR4.SMEP:
If CR4.SMEP = 0, instructions may be fetched from any linear address with a translation for which
the XD flag (bit 63) is 0 in every paging-structure entry controlling the translation.
If CR4.SMEP = 1, instructions may be fetched from any linear address with a translation for which
(1) the U/S flag is 0 in at least one of the paging-structure entries controlling the translation; and
(2) the XD flag is 0 in every paging-structure entry controlling the translation.
For user-mode accesses:
Data reads.
Data may be read from any linear address with a translation for which the U/S flag (bit 2) is 1 in every
paging-structure entry controlling the translation.
Data writes.
Data may be written to any linear address with a translation for which both the R/W flag and the U/S flag
are 1 in every paging-structure entry controlling the translation.
Instruction fetches.
For 32-bit paging or if IA32_EFER.NXE = 0, instructions may be fetched from any linear address with a
translation for which the U/S flag is 1 in every paging-structure entry controlling the translation.
For PAE paging or IA-32e paging with IA32_EFER.NXE = 1, instructions may be fetched from any linear
address with a translation for which the U/S flag is 1 and the XD flag is 0 in every paging-structure entry
controlling the translation.
A processor may cache information from the paging-structure entries in TLBs and paging-structure caches (see
Section 4.10). These structures may include information about access rights. The processor may enforce access
rights based on the TLBs and paging-structure caches instead of on the paging structures in memory.
This fact implies that, if software modifies a paging-structure entry to change access rights, the processor might
not use that change for a subsequent access to an affected linear address (see Section 4.10.4.3). See Section
4.10.4.2 for how software can ensure that the processor uses the modified access rights.
4-30 Vol. 3A
PAGING
4 .7 P AG E -FAU LT E XC E P T I O N S
Accesses using linear addresses may cause page-fault exceptions (#PF; exception 14). An access to a linear
address may cause page-fault exception for either of two reasons: (1) there is no translation for the linear address;
or (2) there is a translation for the linear address, but its access rights do not permit the access.
As noted in Section 4.3, Section 4.4.2, and Section 4.5, there is no translation for a linear address if the translation
process for that address would use a paging-structure entry in which the P flag (bit 0) is 0 or one that sets a
reserved bit. If there is a translation for a linear address, its access rights are determined as specified in Section
4.6.
Figure 4-12 illustrates the error code that the processor provides on delivery of a page-fault exception. The
following items explain how the bits in the error code describe the nature of the page-fault exception:
P flag (bit 0).
This flag is 0 if there is no translation for the linear address because the P flag was 0 in one of the paging-
structure entries used to translate that address.
W/R (bit 1).
If the access causing the page-fault exception was a write, this flag is 1; otherwise, it is 0. This flag describes
the access causing the page-fault exception, not the access rights specified by paging.
U/S (bit 2).
If a user-mode access caused the page-fault exception, this flag is 1; it is 0 if a supervisor-mode access did so.
This flag describes the access causing the page-fault exception, not the access rights specified by paging. User-
mode and supervisor-mode accesses are defined in Section 4.6.
RSVD flag (bit 3).
This flag is 1 if there is no translation for the linear address because a reserved bit was set in one of the paging-
structure entries used to translate that address. (Because reserved bits are not checked in a paging-structure
entry whose P flag is 0, bit 3 of the error code can be set only if bit 0 is also set.)
Bits reserved in the paging-structure entries are reserved for future functionality. Software developers should
be aware that such bits may be used in the future and that a paging-structure entry that causes a page-fault
exception on one processor might not do so in the future.
I/D flag (bit 4).
This flag is 1 if (1) the access causing the page-fault exception was an instruction fetch; and (2) either
(a) CR4.SMEP = 1; or (b) both (i) CR4.PAE = 1 (either PAE paging or IA-32e paging is in use); and
(ii) IA32_EFER.NXE = 1. Otherwise, the flag is 0. This flag describes the access causing the page-fault
exception, not the access rights specified by paging.

F ig u re 4 -1 2 . P a g e -F a u lt E rro r C o d e
The fault was caused by a non-present page.
The fault was caused by a page-level protection violation.
The access causing the fault was a read.
The access causing the fault was a write.
A supervisor-mode access caused the fault.
A user-mode access caused the fault.
31 0
Reserved
1 2 3 4
The fault was not caused by reserved bit violation.
The fault was caused by a reserved bit set to 1 in some
P 0
1
W/R 0
1
U/S 0
RSVD
0
1
1
I
/
D
I/D
0 The fault was not caused by an instruction fetch.
1 The fault was caused by an instruction fetch.
P W
/
R
U
/
S
R
S
V
D
paging-structure entry.
Vol. 3A 4-31
PAGING
Page-fault exceptions occur only due to an attempt to use a linear address. Failures to load the PDPTE registers
with PAE paging (see Section 4.4.1) cause general-protection exceptions (#GP(0)) and not page-fault exceptions.
4 .8 AC C E S S E D AN D D I R T Y F LAG S
For any paging-structure entry that is used during linear-address translation, bit 5 is the accessed flag.
1
For
paging-structure entries that map a page (as opposed to referencing another paging structure), bit 6 is the dirty
flag. These flags are provided for use by memory-management software to manage the transfer of pages and
paging structures into and out of physical memory.
Whenever the processor uses a paging-structure entry as part of linear-address translation, it sets the accessed
flag in that entry (if it is not already set).
Whenever there is a write to a linear address, the processor sets the dirty flag (if it is not already set) in the paging-
structure entry that identifies the final physical address for the linear address (either a PTE or a paging-structure
entry in which the PS flag is 1).
Memory-management software may clear these flags when a page or a paging structure is initially loaded into
physical memory. These flags are sticky, meaning that, once set, the processor does not clear them; only soft-
ware can clear them.
A processor may cache information from the paging-structure entries in TLBs and paging-structure caches (see
Section 4.10). This fact implies that, if software changes an accessed flag or a dirty flag from 1 to 0, the processor
might not set the corresponding bit in memory on a subsequent access using an affected linear address (see
Section 4.10.4.3). See Section 4.10.4.2 for how software can ensure that these bits are updated as desired.
N O T E
The accesses used by the processor to set these flags may or may not be exposed to the
processors self-modifying code detection logic. If the processor is executing code from the same
memory area that is being used for the paging structures, the setting of these flags may or may not
result in an immediate change to the executing code stream.
4 .9 P AG I N G AN D ME MO R Y T YP I N G
The memory type of a memory access refers to the type of caching used for that access. Chapter 11, Memory
Cache Control provides many details regarding memory typing in the Intel-64 and IA-32 architectures. This
section describes how paging contributes to the determination of memory typing.
The way in which paging contributes to memory typing depends on whether the processor supports the Page
Attribute Table (PAT; see Section 11.12).
2
Section 4.9.1 and Section 4.9.2 explain how paging contributes to
memory typing depending on whether the PAT is supported.
4 .9 .1 P a g in g a n d Me m o ry T y p in g Wh e n t h e P AT is N o t S u p p o rt e d (P e n t iu m P ro a n d
P e n t iu m I I P ro ce sso rs)
N O T E
The PAT is supported on all processors that support IA-32e paging. Thus, this section applies only
to 32-bit paging and PAE paging.
1. With PAE paging, the PDPTEs are not used during linear-address translation but only to load the PDPTE registers for some execu-
tions of the MOV CR instruction (see Section 4.4.1). For this reason, the PDPTEs do not contain accessed flags with PAE paging.
2. The PAT is supported on PentiumIII and more recent processor families. See Section 4.1.4 for how to determine whether the PAT is
supported.
4-32 Vol. 3A
PAGING
If the PAT is not supported, paging contributes to memory typing in conjunction with the memory-type range regis-
ters (MTRRs) as specified in Table 11-6 in Section 11.5.2.1.
For any access to a physical address, the table combines the memory type specified for that physical address by
the MTRRs with a PCD value and a PWT value. The latter two values are determined as follows:
For an access to a PDE with 32-bit paging, the PCD and PWT values come from CR3.
For an access to a PDE with PAE paging, the PCD and PWT values come from the relevant PDPTE register.
For an access to a PTE, the PCD and PWT values come from the relevant PDE.
For an access to the physical address that is the translation of a linear address, the PCD and PWT values come
from the relevant PTE (if the translation uses a 4-KByte page) or the relevant PDE (otherwise).
With PAE paging, the UC memory type is used when loading the PDPTEs (see Section 4.4.1).
4 .9 .2 P a g in g a n d Me m o ry T y p in g Wh e n t h e P AT is S u p p o rt e d (P e n t iu m I I I a n d Mo re R e ce n t
P ro ce sso r Fa m ilie s)
If the PAT is supported, paging contributes to memory typing in conjunction with the PAT and the memory-type
range registers (MTRRs) as specified in Table 11-7 in Section 11.5.2.2.
The PAT is a 64-bit MSR (IA32_PAT; MSR index 277H) comprising eight (8) 8-bit entries (entry i comprises
bits 8i+7:8i of the MSR).
For any access to a physical address, the table combines the memory type specified for that physical address by
the MTRRs with a memory type selected from the PAT. Table 11-11 in Section 11.12.3 specifies how a memory type
is selected from the PAT. Specifically, it comes from entry i of the PAT, where i is defined as follows:
For an access to an entry in a paging structure whose address is in CR3 (e.g., the PML4 table with IA-32e
paging):
For IA-32e paging with CR4.PCIDE = 1, i = 0.
Otherwise, i = 2*PCD+PWT, where the PCD and PWT values come from CR3.
For an access to a PDE with PAE paging, i = 2*PCD+PWT, where the PCD and PWT values come from the
relevant PDPTE register.
For an access to a paging-structure entry X whose address is in another paging-structure entry Y, i =
2*PCD+PWT, where the PCD and PWT values come from Y.
For an access to the physical address that is the translation of a linear address, i = 4*PAT+2*PCD+PWT, where
the PAT, PCD, and PWT values come from the relevant PTE (if the translation uses a 4-KByte page), the relevant
PDE (if the translation uses a 2-MByte page or a 4-MByte page), or the relevant PDPTE (if the translation uses
a 1-GByte page).
With PAE paging, the WB memory type is used when loading the PDPTEs (see Section 4.4.1).
1
4 .9 .3 C a ch in g P a g in g -R e la t e d I n fo rm a t io n a b o u t Me m o ry T y p in g
A processor may cache information from the paging-structure entries in TLBs and paging-structure caches (see
Section 4.10). These structures may include information about memory typing. The processor may use memory-
typing information from the TLBs and paging-structure caches instead of from the paging structures in memory.
This fact implies that, if software modifies a paging-structure entry to change the memory-typing bits, the
processor might not use that change for a subsequent translation using that entry or for access to an affected linear
address. See Section 4.10.4.2 for how software can ensure that the processor uses the modified memory typing.
1. Some older IA-32 processors used the UC memory type when loading the PDPTEs. Some processors may use the UC memory type if
CR0.CD=1 or if the MTRRs are disabled. These behaviors are model-specific and not architectural.
Vol. 3A 4-33
PAGING
4 .1 0 C AC H I N G T R AN S LAT I O N I N F O R MAT I O N
The Intel-64 and IA-32 architectures may accelerate the address-translation process by caching data from the
paging structures on the processor. Because the processor does not ensure that the data that it caches are always
consistent with the structures in memory, it is important for software developers to understand how and when the
processor may cache such data. They should also understand what actions software can take to remove cached
data that may be inconsistent and when it should do so. This section provides software developers information
about the relevant processor operation.
Section 4.10.1 introduces process-context identifiers (PCIDs), which a logical processor may use to distinguish
information cached for different linear-address spaces. Section 4.10.2 and Section 4.10.3 describe how the
processor may cache information in translation lookaside buffers (TLBs) and paging-structure caches, respectively.
Section 4.10.4 explains how software can remove inconsistent cached information by invalidating portions of the
TLBs and paging-structure caches. Section 4.10.5 describes special considerations for multiprocessor systems.
4 .1 0 .1 P ro ce ss-C o n t e x t I d e n t ifie rs (P C I D s)
Process-context identifiers (PCIDs) are a facility by which a logical processor may cache information for multiple
linear-address spaces. The processor may retain cached information when software switches to a different linear-
address space with a different PCID (e.g., by loading CR3; see Section 4.10.4.1 for details).
A PCID is a 12-bit identifier. Non-zero PCIDs are enabled by setting the PCIDE flag (bit 17) of CR4. If CR4.PCIDE =
0, the current PCID is always 000H; otherwise, the current PCID is the value of bits 11:0 of CR3. Not all processors
allow CR4.PCIDE to be set to 1; see Section 4.1.4 for how to determine whether this is allowed.
The processor ensures that CR4.PCIDE can be 1 only in IA-32e mode (thus, 32-bit paging and PAE paging use only
PCID 000H). In addition, software can change CR4.PCIDE from 0 to 1 only if CR3[11:0] = 000H. These require-
ments are enforced by the following limitations on the MOV CR instruction:
MOV to CR4 causes a general-protection exception (#GP) if it would change CR4.PCIDE from 0 to 1 and either
IA32_EFER.LMA = 0 or CR3[11:0] 000H.
MOV to CR0 causes a general-protection exception if it would clear CR0.PG to 0 while CR4.PCIDE = 1.
When a logical processor creates entries in the TLBs (Section 4.10.2) and paging-structure caches (Section
4.10.3), it associates those entries with the current PCID. When using entries in the TLBs and paging-structure
caches to translate a linear address, a logical processor uses only those entries associated with the current PCID
(see Section 4.10.2.4 for an exception).
If CR4.PCIDE = 0, a logical processor does not cache information for any PCID other than 000H. This is because
(1) if CR4.PCIDE = 0, the logical processor will associate any newly cached information with the current PCID,
000H; and (2) if MOV to CR4 clears CR4.PCIDE, all cached information is invalidated (see Section 4.10.4.1).
N O T E
In revisions of this manual that were produced when no processors allowed CR4.PCIDE to be set to
1, Section 4.10 discussed the caching of translation information without any reference to PCIDs.
While the section now refers to PCIDs in its specification of this caching, this documentation change
is not intended to imply any change to the behavior of processors that do not allow CR4.PCIDE to
be set to 1.
4 .1 0 .2 T ra n sla t io n Lo o ka sid e B u ffe rs (T LB s)
A processor may cache information about the translation of linear addresses in translation lookaside buffers
(TLBs). In general, TLBs contain entries that map page numbers to page frames; these terms are defined in
Section 4.10.2.1. Section 4.10.2.2 describes how information may be cached in TLBs, and Section 4.10.2.3 gives
details of TLB usage. Section 4.10.2.4 explains the global-page feature, which allows software to indicate that
certain translations should receive special treatment when cached in the TLBs.
4-34 Vol. 3A
PAGING
4 .1 0 .2 .1 P a g e N u m b e rs, P a g e F ra m e s, a n d P a g e O f fse t s
Section 4.3, Section 4.4.2, and Section 4.5 give details of how the different paging modes translate linear
addresses to physical addresses. Specifically, the upper bits of a linear address (called the page number) deter-
mine the upper bits of the physical address (called the page frame); the lower bits of the linear address (called the
page offset) determine the lower bits of the physical address. The boundary between the page number and the
page offset is determined by the page size. Specifically:
32-bit paging:
If the translation does not use a PTE (because CR4.PSE = 1 and the PS flag is 1 in the PDE used), the page
size is 4 MBytes and the page number comprises bits 31:22 of the linear address.
If the translation does use a PTE, the page size is 4 KBytes and the page number comprises bits 31:12 of
the linear address.
PAE paging:
If the translation does not use a PTE (because the PS flag is 1 in the PDE used), the page size is 2 MBytes
and the page number comprises bits 31:21 of the linear address.
If the translation does uses a PTE, the page size is 4 KBytes and the page number comprises bits 31:12 of
the linear address.
IA-32e paging:
If the translation does not use a PDE (because the PS flag is 1 in the PDPTE used), the page size is 1 GBytes
and the page number comprises bits 47:30 of the linear address.
If the translation does use a PDE but does not uses a PTE (because the PS flag is 1 in the PDE used), the
page size is 2 MBytes and the page number comprises bits 47:21 of the linear address.
If the translation does use a PTE, the page size is 4 KBytes and the page number comprises bits 47:12 of
the linear address.
4 .1 0 .2 .2 C a ch in g T ra n sla t io n s in T LB s
The processor may accelerate the paging process by caching individual translations in translation lookaside
buffers (TLBs). Each entry in a TLB is an individual translation. Each translation is referenced by a page number.
It contains the following information from the paging-structure entries used to translate linear addresses with the
page number:
The physical address corresponding to the page number (the page frame).
The access rights from the paging-structure entries used to translate linear addresses with the page number
(see Section 4.6):
The logical-AND of the R/W flags.
The logical-AND of the U/S flags.
The logical-OR of the XD flags (necessary only if IA32_EFER.NXE = 1).
Attributes from a paging-structure entry that identifies the final page frame for the page number (either a PTE
or a paging-structure entry in which the PS flag is 1):
The dirty flag (see Section 4.8).
The memory type (see Section 4.9).
(TLB entries may contain other information as well. A processor may implement multiple TLBs, and some of these
may be for special purposes, e.g., only for instruction fetches. Such special-purpose TLBs may not contain some of
this information if it is not necessary. For example, a TLB used only for instruction fetches need not contain infor-
mation about the R/W and dirty flags.)
As noted in Section 4.10.1, any TLB entries created by a logical processor are associated with the current PCID.
Processors need not implement any TLBs. Processors that do implement TLBs may invalidate any TLB entry at any
time. Software should not rely on the existence of TLBs or on the retention of TLB entries.
Vol. 3A 4-35
PAGING
4 .1 0 .2 .3 D e t a ils o f T LB U se
Because the TLBs cache entries only for linear addresses with translations, there can be a TLB entry for a page
number only if the P flag is 1 and the reserved bits are 0 in each of the paging-structure entries used to translate
that page number. In addition, the processor does not cache a translation for a page number unless the accessed
flag is 1 in each of the paging-structure entries used during translation; before caching a translation, the processor
sets any of these accessed flags that is not already 1.
The processor may cache translations required for prefetches and for accesses that are a result of speculative
execution that would never actually occur in the executed code path.
If the page number of a linear address corresponds to a TLB entry associated with the current PCID, the processor
may use that TLB entry to determine the page frame, access rights, and other attributes for accesses to that linear
address. In this case, the processor may not actually consult the paging structures in memory. The processor may
retain a TLB entry unmodified even if software subsequently modifies the relevant paging-structure entries in
memory. See Section 4.10.4.2 for how software can ensure that the processor uses the modified paging-structure
entries.
If the paging structures specify a translation using a page larger than 4 KBytes, some processors may choose to
cache multiple smaller-page TLB entries for that translation. Each such TLB entry would be associated with a page
number corresponding to the smaller page size (e.g., bits 47:12 of a linear address with IA-32e paging), even
though part of that page number (e.g., bits 20:12) are part of the offset with respect to the page specified by the
paging structures. The upper bits of the physical address in such a TLB entry are derived from the physical address
in the PDE used to create the translation, while the lower bits come from the linear address of the access for which
the translation is created. There is no way for software to be aware that multiple translations for smaller pages
have been used for a large page.
If software modifies the paging structures so that the page size used for a 4-KByte range of linear addresses
changes, the TLBs may subsequently contain multiple translations for the address range (one for each page size).
A reference to a linear address in the address range may use any of these translations. Which translation is used
may vary from one execution to another, and the choice may be implementation-specific.
4 .1 0 .2 .4 G lo b a l P a g e s
The Intel-64 and IA-32 architectures also allow for global pages when the PGE flag (bit 7) is 1 in CR4. If the G flag
(bit 8) is 1 in a paging-structure entry that maps a page (either a PTE or a paging-structure entry in which the PS
flag is 1), any TLB entry cached for a linear address using that paging-structure entry is considered to be global.
Because the G flag is used only in paging-structure entries that map a page, and because information from such
entries are not cached in the paging-structure caches, the global-page feature does not affect the behavior of the
paging-structure caches.
A logical processor may use a global TLB entry to translate a linear address, even if the TLB entry is associated with
a PCID different from the current PCID.
4 .1 0 .3 P a g in g -S t ru ct u re C a ch e s
In addition to the TLBs, a processor may cache other information about the paging structures in memory.
4 .1 0 .3 .1 C a ch e s fo r P a g in g S t ru ct u re s
A processor may support any or of all the following paging-structure caches:
PML4 cache (IA-32e paging only). Each PML4-cache entry is referenced by a 9-bit value and is used for linear
addresses for which bits 47:39 have that value. The entry contains information from the PML4E used to
translate such linear addresses:
The physical address from the PML4E (the address of the page-directory-pointer table).
The value of the R/W flag of the PML4E.
The value of the U/S flag of the PML4E.
The value of the XD flag of the PML4E.
4-36 Vol. 3A
PAGING
The values of the PCD and PWT flags of the PML4E.
The following items detail how a processor may use the PML4 cache:
If the processor has a PML4-cache entry for a linear address, it may use that entry when translating the
linear address (instead of the PML4E in memory).
The processor does not create a PML4-cache entry unless the P flag is 1 and all reserved bits are 0 in the
PML4E in memory.
The processor does not create a PML4-cache entry unless the accessed flag is 1 in the PML4E in memory;
before caching a translation, the processor sets the accessed flag if it is not already 1.
The processor may create a PML4-cache entry even if there are no translations for any linear address that
might use that entry (e.g., because the P flags are 0 in all entries in the referenced page-directory-pointer
table).
If the processor creates a PML4-cache entry, the processor may retain it unmodified even if software subse-
quently modifies the corresponding PML4E in memory.
PDPTE cache (IA-32e paging only).
1
Each PDPTE-cache entry is referenced by an 18-bit value and is used for
linear addresses for which bits 47:30 have that value. The entry contains information from the PML4E and
PDPTE used to translate such linear addresses:
The physical address from the PDPTE (the address of the page directory). (No PDPTE-cache entry is created
for a PDPTE that maps a 1-GByte page.)
The logical-AND of the R/W flags in the PML4E and the PDPTE.
The logical-AND of the U/S flags in the PML4E and the PDPTE.
The logical-OR of the XD flags in the PML4E and the PDPTE.
The values of the PCD and PWT flags of the PDPTE.
The following items detail how a processor may use the PDPTE cache:
If the processor has a PDPTE-cache entry for a linear address, it may use that entry when translating the
linear address (instead of the PML4E and the PDPTE in memory).
The processor does not create a PDPTE-cache entry unless the P flag is 1, the PS flag is 0, and the reserved
bits are 0 in the PML4E and the PDPTE in memory.
The processor does not create a PDPTE-cache entry unless the accessed flags are 1 in the PML4E and the
PDPTE in memory; before caching a translation, the processor sets any accessed flags that are not already
1.
The processor may create a PDPTE-cache entry even if there are no translations for any linear address that
might use that entry.
If the processor creates a PDPTE-cache entry, the processor may retain it unmodified even if software
subsequently modifies the corresponding PML4E or PDPTE in memory.
PDE cache. The use of the PDE cache depends on the paging mode:
For 32-bit paging, each PDE-cache entry is referenced by a 10-bit value and is used for linear addresses for
which bits 31:22 have that value.
For PAE paging, each PDE-cache entry is referenced by an 11-bit value and is used for linear addresses for
which bits 31:21 have that value.
For IA-32e paging, each PDE-cache entry is referenced by a 27-bit value and is used for linear addresses for
which bits 47:21 have that value.
A PDE-cache entry contains information from the PML4E, PDPTE, and PDE used to translate the relevant linear
addresses (for 32-bit paging and PAE paging, only the PDE applies):
The physical address from the PDE (the address of the page table). (No PDE-cache entry is created for a
PDE that maps a page.)
1. With PAE paging, the PDPTEs are stored in internal, non-architectural registers. The operation of these registers is described in Sec-
tion 4.4.1 and differs fromthat described here.
Vol. 3A 4-37
PAGING
The logical-AND of the R/W flags in the PML4E, PDPTE, and PDE.
The logical-AND of the U/S flags in the PML4E, PDPTE, and PDE.
The logical-OR of the XD flags in the PML4E, PDPTE, and PDE.
The values of the PCD and PWT flags of the PDE.
The following items detail how a processor may use the PDE cache (references below to PML4Es and PDPTEs
apply on to IA-32e paging):
If the processor has a PDE-cache entry for a linear address, it may use that entry when translating the
linear address (instead of the PML4E, the PDPTE, and the PDE in memory).
The processor does not create a PDE-cache entry unless the P flag is 1, the PS flag is 0, and the reserved
bits are 0 in the PML4E, the PDPTE, and the PDE in memory.
The processor does not create a PDE-cache entry unless the accessed flag is 1 in the PML4E, the PDPTE,
and the PDE in memory; before caching a translation, the processor sets any accessed flags that are not
already 1.
The processor may create a PDE-cache entry even if there are no translations for any linear address that
might use that entry.
If the processor creates a PDE-cache entry, the processor may retain it unmodified even if software subse-
quently modifies the corresponding PML4E, the PDPTE, or the PDE in memory.
Information from a paging-structure entry can be included in entries in the paging-structure caches for other
paging-structure entries referenced by the original entry. For example, if the R/W flag is 0 in a PML4E, then the R/W
flag will be 0 in any PDPTE-cache entry for a PDPTE from the page-directory-pointer table referenced by that
PML4E. This is because the R/W flag of each such PDPTE-cache entry is the logical-AND of the R/W flags in the
appropriate PML4E and PDPTE.
The paging-structure caches contain information only from paging-structure entries that reference other paging
structures (and not those that map pages). Because the G flag is not used in such paging-structure entries, the
global-page feature does not affect the behavior of the paging-structure caches.
The processor may create entries in paging-structure caches for translations required for prefetches and for
accesses that are a result of speculative execution that would never actually occur in the executed code path.
As noted in Section 4.10.1, any entries created in paging-structure caches by a logical processor are associated
with the current PCID.
A processor may or may not implement any of the paging-structure caches. Software should rely on neither their
presence nor their absence. The processor may invalidate entries in these caches at any time. Because the
processor may create the cache entries at the time of translation and not update them following subsequent modi-
fications to the paging structures in memory, software should take care to invalidate the cache entries appropri-
ately when causing such modifications. The invalidation of TLBs and the paging-structure caches is described in
Section 4.10.4.
4 .1 0 .3 .2 U sin g t h e P a g in g -S t ru ct u re C a ch e s to T ra n sla t e Lin e a r Ad d re sse s
When a linear address is accessed, the processor uses a procedure such as the following to determine the physical
address to which it translates and whether the access should be allowed:
If the processor finds a TLB entry that is for the page number of the linear address and that is associated with
the current PCID (or which is global), it may use the physical address, access rights, and other attributes from
that entry.
If the processor does not find a relevant TLB entry, it may use the upper bits of the linear address to select an
entry from the PDE cache that is associated with the current PCID (Section 4.10.3.1 indicates which bits are
used in each paging mode). It can then use that entry to complete the translation process (locating a PTE, etc.)
as if it had traversed the PDE (and, for IA-32e paging, the PDPTE and PML4) corresponding to the PDE-cache
entry.
The following items apply when IA-32e paging is used:
If the processor does not find a relevant TLB entry or a relevant PDE-cache entry, it may use bits 47:30 of
the linear address to select an entry from the PDPTE cache that is associated with the current PCID. It can
4-38 Vol. 3A
PAGING
then use that entry to complete the translation process (locating a PDE, etc.) as if it had traversed the
PDPTE and the PML4 corresponding to the PDPTE-cache entry.
If the processor does not find a relevant TLB entry, a relevant PDE-cache entry, or a relevant PDPTE-cache
entry, it may use bits 47:39 of the linear address to select an entry from the PML4 cache that is associated
with the current PCID. It can then use that entry to complete the translation process (locating a PDPTE,
etc.) as if it had traversed the corresponding PML4.
(Any of the above steps would be skipped if the processor does not support the cache in question.)
If the processor does not find a TLB or paging-structure-cache entry for the linear address, it uses the linear
address to traverse the entire paging-structure hierarchy, as described in Section 4.3, Section 4.4.2, and Section
4.5.
4 .1 0 .3 .3 Mu lt ip le C a ch e d E n t rie s fo r a S in g le P a g in g -S t ru ct u re E n t ry
The paging-structure caches and TLBs and paging-structure caches may contain multiple entries associated with a
single PCID and with information derived from a single paging-structure entry. The following items give some
examples for IA-32e paging:
Suppose that two PML4Es contain the same physical address and thus reference the same page-directory-
pointer table. Any PDPTE in that table may result in two PDPTE-cache entries, each associated with a different
set of linear addresses. Specifically, suppose that the n
1
th
and n
2
th
entries in the PML4 table contain the same
physical address. This implies that the physical address in the m
th
PDPTE in the page-directory-pointer table
would appear in the PDPTE-cache entries associated with both p
1
and p
2
, where (p
1
9) = n
1
, (p
2
9) = n
2
,
and (p
1
& 1FFH) = (p
2
& 1FFH) = m. This is because both PDPTE-cache entries use the same PDPTE, one
resulting from a reference from the n
1
th
PML4E and one from the n
2
th
PML4E.
Suppose that the first PML4E (i.e., the one in position 0) contains the physical address X in CR3 (the physical
address of the PML4 table). This implies the following:
Any PML4-cache entry associated with linear addresses with 0 in bits 47:39 contains address X.
Any PDPTE-cache entry associated with linear addresses with 0 in bits 47:30 contains address X. This is
because the translation for a linear address for which the value of bits 47:30 is 0 uses the value of
bits 47:39 (0) to locate a page-directory-pointer table at address X (the address of the PML4 table). It then
uses the value of bits 38:30 (also 0) to find address X again and to store that address in the PDPTE-cache
entry.
Any PDE-cache entry associated with linear addresses with 0 in bits 47:21 contains address X for similar
reasons.
Any TLB entry for page number 0 (associated with linear addresses with 0 in bits 47:12) translates to page
frame X 12 for similar reasons.
The same PML4E contributes its address X to all these cache entries because the self-referencing nature of the
entry causes it to be used as a PML4E, a PDPTE, a PDE, and a PTE.
4 .1 0 .4 I n v a lid a t io n o f T LB s a n d P a g in g -S t ru ct u re C a ch e s
As noted in Section 4.10.2 and Section 4.10.3, the processor may create entries in the TLBs and the paging-struc-
ture caches when linear addresses are translated, and it may retain these entries even after the paging structures
used to create them have been modified. To ensure that linear-address translation uses the modified paging struc-
tures, software should take action to invalidate any cached entries that may contain information that has since
been modified.
4 .1 0 .4 .1 O p e ra t io n s t h a t I n v a lid a t e T LB s a n d P a g in g -S t ru ct u re C a ch e s
The following instructions invalidate entries in the TLBs and the paging-structure caches:
INVLPG. This instruction takes a single operand, which is a linear address. The instruction invalidates any TLB
entries that are for a page number corresponding to the linear address and that are associated with the current
PCID. It also invalidates any global TLB entries with that page number, regardless of PCID (see Section
Vol. 3A 4-39
PAGING
4.10.2.4).
1
INVLPG also invalidates all entries in all paging-structure caches associated with the current PCID,
regardless of the linear addresses to which they correspond.
INVPCID. The operation of this instruction is based on instruction operands, called the INVPCID type and the
INVPCID descriptor. Four INVPCID types are currently defined:
Individual-address. If the INVPCID type is 0, the logical processor invalidates mappingsexcept global
translationsassociated with the PCID specified in the INVPCID descriptor and that would be used to
translate the linear address specified in the INVPCID descriptor. (The instruction may also invalidate global
translations, as well as mappings associated with other PCIDs and for other linear addresses.)
Single-context. If the INVPCID type is 1, the logical processor invalidates all mappingsexcept global
translationsassociated with the PCID specified in the INVPCID descriptor. (The instruction may also
invalidate global translations, as well as mappings associated with other PCIDs.)
All-context, including globals. If the INVPCID type is 2, the logical processor invalidates
mappingsincluding global translationsassociated with all PCIDs.
All-context. If the INVPCID type is 3, the logical processor invalidates mappingsexcept global transla-
tionsassociated with all PCIDs. (The instruction may also invalidate global translations.)
See Chapter 3 of the Intel 64 and IA-32 Architecture Software Developers Manual, Volume 2A for details of the
INVPCID instruction.
MOV to CR0. The instruction invalidates all TLB entries (including global entries) and all entries in all paging-
structure caches (for all PCIDs) if it changes the value of CR0.PG from 1 to 0.
MOV to CR3. The behavior of the instruction depends on the value of CR4.PCIDE:
If CR4.PCIDE = 0, the instruction invalidates all TLB entries associated with PCID 000H except those for
global pages. It also invalidates all entries in all paging-structure caches associated with PCID 000H.
If CR4.PCIDE = 1 and bit 63 of the instructions source operand is 0, the instruction invalidates all TLB
entries associated with the PCID specified in bits 11:0 of the instructions source operand except those for
global pages. It also invalidates all entries in all paging-structure caches associated with that PCID. It is not
required to invalidate entries in the TLBs and paging-structure caches that are associated with other PCIDs.
If CR4.PCIDE = 1 and bit 63 of the instructions source operand is 1, the instruction is not required to
invalidate any TLB entries or entries in paging-structure caches.
MOV to CR4. The behavior of the instruction depends on the bits being modified:
The instruction invalidates all TLB entries (including global entries) and all entries in all paging-structure
caches (for all PCIDs) if (1) it changes the value of CR4.PGE;
2
or (2) it changes the value of the CR4.PCIDE
from 1 to 0.
The instruction invalidates all TLB entries and all entries in all paging-structure caches for the current PCID
if (1) it changes the value of CR4.PAE; or (2) it changes the value of CR4.SMEP from 0 to 1.
Task switch. If a task switch changes the value of CR3, it invalidates all TLB entries associated with PCID 000H
except those for global pages. It also invalidates all entries in all paging-structure caches for associated with
PCID 000H.
3
VMX transitions. See Section 4.11.1.
The processor is always free to invalidate additional entries in the TLBs and paging-structure caches. The following
are some examples:
INVLPG may invalidate TLB entries for pages other than the one corresponding to its linear-address operand. It
may invalidate TLB entries and paging-structure-cache entries associated with PCIDs other than the current
PCID.
1. If the paging structures map the linear address using a page larger than 4 KBytes and there are multiple TLB entries for that page
(see Section 4.10.2.3), the instruction invalidates all of them.
2. If CR4.PGE is changing from0 to 1, there were no global TLB entries before the execution; if CR4.PGE is changing from1 to 0, there
will be no global TLB entries after the execution.
3. Task switches do not occur in IA-32e mode and thus cannot occur with IA-32e paging. Since CR4.PCIDE can be set only with IA-32e
paging, task switches occur only with CR4.PCIDE=0.
4-40 Vol. 3A
PAGING
INVPCID may invalidate TLB entries for pages other than the one corresponding to the specified linear address.
It may invalidate TLB entries and paging-structure-cache entries associated with PCIDs other than the specified
PCID.
MOV to CR0 may invalidate TLB entries even if CR0.PG is not changing. For example, this may occur if either
CR0.CD or CR0.NW is modified.
MOV to CR3 may invalidate TLB entries for global pages. If CR4.PCIDE = 1 and bit 63 of the instructions source
operand is 0, it may invalidate TLB entries and entries in the paging-structure caches associated with PCIDs
other than the current PCID. It may invalidate entries if CR4.PCIDE = 1 and bit 63 of the instructions source
operand is 1.
MOV to CR4 may invalidate TLB entries when changing CR4.PSE or when changing CR4.SMEP from 1 to 0.
On a processor supporting Hyper-Threading Technology, invalidations performed on one logical processor may
invalidate entries in the TLBs and paging-structure caches used by other logical processors.
(Other instructions and operations may invalidate entries in the TLBs and the paging-structure caches, but the
instructions identified above are recommended.)
In addition to the instructions identified above, page faults invalidate entries in the TLBs and paging-structure
caches. In particular, a page-fault exception resulting from an attempt to use a linear address will invalidate any
TLB entries that are for a page number corresponding to that linear address and that are associated with the
current PCID. it also invalidates all entries in the paging-structure caches that would be used for that linear address
and that are associated with the current PCID.
1
These invalidations ensure that the page-fault exception will not
recur (if the faulting instruction is re-executed) if it would not be caused by the contents of the paging structures
in memory (and if, therefore, it resulted from cached entries that were not invalidated after the paging structures
were modified in memory).
As noted in Section 4.10.2, some processors may choose to cache multiple smaller-page TLB entries for a transla-
tion specified by the paging structures to use a page larger than 4 KBytes. There is no way for software to be aware
that multiple translations for smaller pages have been used for a large page. The INVLPG instruction and page
faults provide the same assurances that they provide when a single TLB entry is used: they invalidate all TLB
entries corresponding to the translation specified by the paging structures.
4 .1 0 .4 .2 R e co m m e n d e d I n v a lid a t io n
The following items provide some recommendations regarding when software should perform invalidations:
If software modifies a paging-structure entry that identifies the final page frame for a page number (either a
PTE or a paging-structure entry in which the PS flag is 1), it should execute INVLPG for any linear address with
a page number whose translation uses that PTE.
2
(If the paging-structure entry may be used in the translation of different page numbers see Section 4.10.3.3
software should execute INVLPG for linear addresses with each of those page numbers; alternatively, it could
use MOV to CR3 or MOV to CR4.)
If software modifies a paging-structure entry that references another paging structure, it may use one of the
following approaches depending upon the types and number of translations controlled by the modified entry:
Execute INVLPG for linear addresses with each of the page numbers with translations that would use the
entry. However, if no page numbers that would use the entry have translations (e.g., because the P flags are
0 in all entries in the paging structure referenced by the modified entry), it remains necessary to execute
INVLPG at least once.
Execute MOV to CR3 if the modified entry controls no global pages.
Execute MOV to CR4 to modify CR4.PGE.
If CR4.PCIDE = 1 and software modifies a paging-structure entry that does not map a page or in which the G
flag (bit 8) is 0, additional steps are required if the entry may be used for PCIDs other than the current one. Any
one of the following suffices:
1. Unlike INVLPG, page faults need not invalidate all entries in the paging-structure caches, only those that would be used to translate
the faulting linear address.
2. One execution of INVLPG is sufficient even for a page with size greater than 4 KBytes.
Vol. 3A 4-41
PAGING
Execute MOV to CR4 to modify CR4.PGE, either immediately or before again using any of the affected
PCIDs. For example, software could use different (previously unused) PCIDs for the processes that used the
affected PCIDs.
For each affected PCID, execute MOV to CR3 to make that PCID current (and to load the address of the
appropriate PML4 table). If the modified entry controls no global pages and bit 63 of the source operand to
MOV to CR3 was 0, no further steps are required. Otherwise, execute INVLPG for linear addresses with each
of the page numbers with translations that would use the entry; if no page numbers that would use the
entry have translations, execute INVLPG at least once.
If software using PAE paging modifies a PDPTE, it should reload CR3 with the registers current value to ensure
that the modified PDPTE is loaded into the corresponding PDPTE register (see Section 4.4.1).
If the nature of the paging structures is such that a single entry may be used for multiple purposes (see Section
4.10.3.3), software should perform invalidations for all of these purposes. For example, if a single entry might
serve as both a PDE and PTE, it may be necessary to execute INVLPG with two (or more) linear addresses, one
that uses the entry as a PDE and one that uses it as a PTE. (Alternatively, software could use MOV to CR3 or
MOV to CR4.)
As noted in Section 4.10.2, the TLBs may subsequently contain multiple translations for the address range if
software modifies the paging structures so that the page size used for a 4-KByte range of linear addresses
changes. A reference to a linear address in the address range may use any of these translations.
Software wishing to prevent this uncertainty should not write to a paging-structure entry in a way that would
change, for any linear address, both the page size and either the page frame, access rights, or other attributes.
It can instead use the following algorithm: first clear the P flag in the relevant paging-structure entry (e.g.,
PDE); then invalidate any translations for the affected linear addresses (see above); and then modify the
relevant paging-structure entry to set the P flag and establish modified translation(s) for the new page size.
Software should clear bit 63 of the source operand to a MOV to CR3 instruction that establishes a PCID that had
been used earlier for a different linear-address space (e.g., with a different value in bits 51:12 of CR3). This
ensures invalidation of any information that may have been cached for the previous linear-address space.
This assumes that both linear-address spaces use the same global pages and that it is thus not necessary to
invalidate any global TLB entries. If that is not the case, software should invalidate those entries by executing
MOV to CR4 to modify CR4.PGE.
4 .1 0 .4 .3 O p t io n a l I n v a lid a t io n
The following items describe cases in which software may choose not to invalidate and the potential consequences
of that choice:
If a paging-structure entry is modified to change the P flag from 0 to 1, no invalidation is necessary. This is
because no TLB entry or paging-structure cache entry is created with information from a paging-structure
entry in which the P flag is 0.
1
If a paging-structure entry is modified to change the accessed flag from 0 to 1, no invalidation is necessary
(assuming that an invalidation was performed the last time the accessed flag was changed from 1 to 0). This is
because no TLB entry or paging-structure cache entry is created with information from a paging-structure
entry in which the accessed flag is 0.
If a paging-structure entry is modified to change the R/W flag from 0 to 1, failure to perform an invalidation
may result in a spurious page-fault exception (e.g., in response to an attempted write access) but no other
adverse behavior. Such an exception will occur at most once for each affected linear address (see Section
4.10.4.1).
If CR4.SMEP = 0 and a paging-structure entry is modified to change the U/S flag from 0 to 1, failure to perform
an invalidation may result in a spurious page-fault exception (e.g., in response to an attempted user-mode
access) but no other adverse behavior. Such an exception will occur at most once for each affected linear
address (see Section 4.10.4.1).
If a paging-structure entry is modified to change the XD flag from 1 to 0, failure to perform an invalidation may
result in a spurious page-fault exception (e.g., in response to an attempted instruction fetch) but no other
1. If it is also the case that no invalidation was performed the last time the P flag was changed from 1 to 0, the processor may use a
TLB entry or paging-structure cache entry that was created when the P flag had earlier been 1.
4-42 Vol. 3A
PAGING
adverse behavior. Such an exception will occur at most once for each affected linear address (see Section
4.10.4.1).
If a paging-structure entry is modified to change the accessed flag from 1 to 0, failure to perform an invali-
dation may result in the processor not setting that bit in response to a subsequent access to a linear address
whose translation uses the entry. Software cannot interpret the bit being clear as an indication that such an
access has not occurred.
If software modifies a paging-structure entry that identifies the final physical address for a linear address
(either a PTE or a paging-structure entry in which the PS flag is 1) to change the dirty flag from 1 to 0, failure
to perform an invalidation may result in the processor not setting that bit in response to a subsequent write to
a linear address whose translation uses the entry. Software cannot interpret the bit being clear as an indication
that such a write has not occurred.
The read of a paging-structure entry in translating an address being used to fetch an instruction may appear to
execute before an earlier write to that paging-structure entry if there is no serializing instruction between the
write and the instruction fetch. Note that the invalidating instructions identified in Section 4.10.4.1 are all
serializing instructions.
Section 4.10.3.3 describes situations in which a single paging-structure entry may contain information cached
in multiple entries in the paging-structure caches. Because all entries in these caches are invalidated by any
execution of INVLPG, it is not necessary to follow the modification of such a paging-structure entry by
executing INVLPG multiple times solely for the purpose of invalidating these multiple cached entries. (It may be
necessary to do so to invalidate multiple TLB entries.)
4 .1 0 .4 .4 D e la y e d I n v a lid a t io n
Required invalidations may be delayed under some circumstances. Software developers should understand that,
between the modification of a paging-structure entry and execution of the invalidation instruction recommended in
Section 4.10.4.2, the processor may use translations based on either the old value or the new value of the paging-
structure entry. The following items describe some of the potential consequences of delayed invalidation:
If a paging-structure entry is modified to change from 1 to 0 the P flag from 1 to 0, an access to a linear address
whose translation is controlled by this entry may or may not cause a page-fault exception.
If a paging-structure entry is modified to change the R/W flag from 0 to 1, write accesses to linear addresses
whose translation is controlled by this entry may or may not cause a page-fault exception.
If a paging-structure entry is modified to change the U/S flag from 0 to 1, user-mode accesses to linear
addresses whose translation is controlled by this entry may or may not cause a page-fault exception.
If a paging-structure entry is modified to change the XD flag from 1 to 0, instruction fetches from linear
addresses whose translation is controlled by this entry may or may not cause a page-fault exception.
As noted in Section 8.1.1, an x87 instruction or an SSE instruction that accesses data larger than a quadword may
be implemented using multiple memory accesses. If such an instruction stores to memory and invalidation has
been delayed, some of the accesses may complete (writing to memory) while another causes a page-fault excep-
tion.
1
In this case, the effects of the completed accesses may be visible to software even though the overall instruc-
tion caused a fault.
In some cases, the consequences of delayed invalidation may not affect software adversely. For example, when
freeing a portion of the linear-address space (by marking paging-structure entries not present), invalidation
using INVLPG may be delayed if software does not re-allocate that portion of the linear-address space or the
memory that had been associated with it. However, because of speculative execution (or errant software), there
may be accesses to the freed portion of the linear-address space before the invalidations occur. In this case, the
following can happen:
Reads can occur to the freed portion of the linear-address space. Therefore, invalidation should not be delayed
for an address range that has read side effects.
The processor may retain entries in the TLBs and paging-structure caches for an extended period of time.
Software should not assume that the processor will not use entries associated with a linear address simply
because time has passed.
1. If the accesses are to different pages, this may occur even if invalidation has not been delayed.
Vol. 3A 4-43
PAGING
As noted in Section 4.10.3.1, the processor may create an entry in a paging-structure cache even if there are
no translations for any linear address that might use that entry. Thus, if software has marked not present all
entries in page table, the processor may subsequently create a PDE-cache entry for the PDE that references
that page table (assuming that the PDE itself is marked present).
If software attempts to write to the freed portion of the linear-address space, the processor might not generate
a page fault. (Such an attempt would likely be the result of a software error.) For that reason, the page frames
previously associated with the freed portion of the linear-address space should not be reallocated for another
purpose until the appropriate invalidations have been performed.
4 .1 0 .5 P ro p a g a t io n o f P a g in g -S t ru ct u re C h a n g e s t o Mu lt ip le P ro ce sso rs
As noted in Section 4.10.4, software that modifies a paging-structure entry may need to invalidate entries in the
TLBs and paging-structure caches that were derived from the modified entry before it was modified. In a system
containing more than one logical processor, software must account for the fact that there may be entries in the
TLBs and paging-structure caches of logical processors other than the one used to modify the paging-structure
entry. The process of propagating the changes to a paging-structure entry is commonly referred to as TLB shoot-
down.
TLB shootdown can be done using memory-based semaphores and/or interprocessor interrupts (IPI). The
following items describe a simple but inefficient example of a TLB shootdown algorithm for processors supporting
the Intel-64 and IA-32 architectures:
1. Begin barrier: Stop all but one logical processor; that is, cause all but one to execute the HLT instruction or to
enter a spin loop.
2. Allow the active logical processor to change the necessary paging-structure entries.
3. Allow all logical processors to perform invalidations appropriate to the modifications to the paging-structure
entries.
4. Allow all logical processors to resume normal operation.
Alternative, performance-optimized, TLB shootdown algorithms may be developed; however, software developers
must take care to ensure that the following conditions are met:
All logical processors that are using the paging structures that are being modified must participate and perform
appropriate invalidations after the modifications are made.
If the modifications to the paging-structure entries are made before the barrier or if there is no barrier, the
operating system must ensure one of the following: (1) that the affected linear-address range is not used
between the time of modification and the time of invalidation; or (2) that it is prepared to deal with the conse-
quences of the affected linear-address range being used during that period. For example, if the operating
system does not allow pages being freed to be reallocated for another purpose until after the required invalida-
tions, writes to those pages by errant software will not unexpectedly modify memory that is in use.
Software must be prepared to deal with reads, instruction fetches, and prefetch requests to the affected linear-
address range that are a result of speculative execution that would never actually occur in the executed code
path.
When multiple logical processors are using the same linear-address space at the same time, they must coordinate
before any request to modify the paging-structure entries that control that linear-address space. In these cases,
the barrier in the TLB shootdown routine may not be required. For example, when freeing a range of linear
addresses, some other mechanism can assure no logical processor is using that range before the request to free it
is made. In this case, a logical processor freeing the range can clear the P flags in the PTEs associated with the
range, free the physical page frames associated with the range, and then signal the other logical processors using
that linear-address space to perform the necessary invalidations. All the affected logical processors must complete
their invalidations before the linear-address range and the physical page frames previously associated with that
range can be reallocated.
4-44 Vol. 3A
PAGING
4 .1 1 I N T E R AC T I O N S WI T H V I R T U AL-MAC H I N E E XT E N S I O N S (V MX)
The architecture for virtual-machine extensions (VMX) includes features that interact with paging. Section 4.11.1
discusses ways in which VMX-specific control transfers, called VMX transitions specially affect paging. Section
4.11.2 gives an overview of VMX features specifically designed to support address translation.
4 .1 1 .1 VMX T ra n sit io n s
The VMX architecture defines two control transfers called VM entries and VM exits; collectively, these are called
VMX transitions. VM entries and VM exits are described in detail in Chapter 26 and Chapter 27, respectively, in
the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 3C. The following items identify
paging-related details:
VMX transitions modify the CR0 and CR4 registers and the IA32_EFER MSR concurrently. For this reason, they
allow transitions between paging modes that would not otherwise be possible:
VM entries allow transitions from IA-32e paging directly to either 32-bit paging or PAE paging.
VM exits allow transitions from either 32-bit paging or PAE paging directly to IA-32e paging.
VMX transitions that result in PAE paging load the PDPTE registers (see Section 4.4.1) as follows:
VM entries load the PDPTE registers either from the physical address being loaded into CR3 or from the
virtual-machine control structure (VMCS); see Section 26.3.2.4.
VM exits load the PDPTE registers from the physical address being loaded into CR3; see Section 27.5.4.
VMX transitions invalidate the TLBs and paging-structure caches based on certain control settings. See Section
26.3.2.5 and Section 27.5.5 in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume
3C.
4 .1 1 .2 VMX S u p p o rt fo r Ad d re ss T ra n sla t io n
Chapter 28, VMX Support for Address Translation, in the Intel 64 and IA-32 Architectures Software Developers
Manual, Volume 3C describe two features of the virtual-machine extensions (VMX) that interact directly with
paging. These are virtual-processor identifiers (VPIDs) and the extended page table mechanism (EPT).
VPIDs provide a way for software to identify to the processor the address spaces for different virtual processors.
The processor may use this identification to maintain concurrently information for multiple address spaces in its
TLBs and paging-structure caches, even when non-zero PCIDs are not being used. See Section 28.1 for details.
When EPT is in use, the addresses in the paging-structures are not used as physical addresses to access memory
and memory-mapped I/O. Instead, they are treated as guest-physical addresses and are translated through a set
of EPT paging structures to produce physical addresses. EPT can also specify its own access rights and memory
typing; these are used on conjunction with those specified in this chapter. See Section 28.2 for more information.
Both VPIDs and EPT may change the way that a processor maintains information in TLBs and paging structure
caches and the ways in which software can manage that information. Some of the behaviors documented in Section
4.10 may change. See Section 28.3 for details.
4 .1 2 U S I N G P AG I N G F O R V I R T U AL ME MO R Y
With paging, portions of the linear-address space need not be mapped to the physical-address space; data for the
unmapped addresses can be stored externally (e.g., on disk). This method of mapping the linear-address space is
referred to as virtual memory or demand-paged virtual memory.
Paging divides the linear address space into fixed-size pages that can be mapped into the physical-address space
and/or external storage. When a program (or task) references a linear address, the processor uses paging to trans-
late the linear address into a corresponding physical address if such an address is defined.
If the page containing the linear address is not currently mapped into the physical-address space, the processor
generates a page-fault exception as described in Section 4.7. The handler for page-fault exceptions typically
Vol. 3A 4-45
PAGING
directs the operating system or executive to load data for the unmapped page from external storage into physical
memory (perhaps writing a different page from physical memory out to external storage in the process) and to
map it using paging (by updating the paging structures). When the page has been loaded into physical memory, a
return from the exception handler causes the instruction that generated the exception to be restarted.
Paging differs from segmentation through its use of fixed-size pages. Unlike segments, which usually are the same
size as the code or data structures they hold, pages have a fixed size. If segmentation is the only form of address
translation used, a data structure present in physical memory will have all of its parts in memory. If paging is used,
a data structure can be partly in memory and partly in disk storage.
4 .1 3 MAP P I N G S E G ME N T S T O P AG E S
The segmentation and paging mechanisms provide in the support a wide variety of approaches to memory
management. When segmentation and paging are combined, segments can be mapped to pages in several ways.
To implement a flat (unsegmented) addressing environment, for example, all the code, data, and stack modules
can be mapped to one or more large segments (up to 4-GBytes) that share same range of linear addresses (see
Figure 3-2 in Section 3.2.2). Here, segments are essentially invisible to applications and the operating-system or
executive. If paging is used, the paging mechanism can map a single linear-address space (contained in a single
segment) into virtual memory. Alternatively, each program (or task) can have its own large linear-address space
(contained in its own segment), which is mapped into virtual memory through its own paging structures.
Segments can be smaller than the size of a page. If one of these segments is placed in a page which is not shared
with another segment, the extra memory is wasted. For example, a small data structure, such as a 1-Byte sema-
phore, occupies 4 KBytes if it is placed in a page by itself. If many semaphores are used, it is more efficient to pack
them into a single page.
The Intel-64 and IA-32 architectures do not enforce correspondence between the boundaries of pages and
segments. A page can contain the end of one segment and the beginning of another. Similarly, a segment can
contain the end of one page and the beginning of another.
Memory-management software may be simpler and more efficient if it enforces some alignment between page and
segment boundaries. For example, if a segment which can fit in one page is placed in two pages, there may be
twice as much paging overhead to support access to that segment.
One approach to combining paging and segmentation that simplifies memory-management software is to give
each segment its own page table, as shown in Figure 4-13. This convention gives the segment a single entry in the
page directory, and this entry provides the access control information for paging the entire segment.
F ig u re 4 -1 3 . Me m o ry Ma n a g e m e n t C o n v e n t io n T h a t Assig n s a P a g e Ta b le
to E a ch S e g m e n t
Seg. Descript.
LDT
Seg. Descript.
PDE
Page Directory
PDE
PTE
PTE
PTE
PTE
PTE
Page Tables
Page Frames
4-46 Vol. 3A
PAGING
Vol. 3A 5-1
C H AP T E R 5
P R O T E C T I O N
In protected mode, the Intel 64 and IA-32 architectures provide a protection mechanism that operates at both the
segment level and the page level. This protection mechanism provides the ability to limit access to certain
segments or pages based on privilege levels (four privilege levels for segments and two privilege levels for pages).
For example, critical operating-system code and data can be protected by placing them in more privileged
segments than those that contain applications code. The processors protection mechanism will then prevent appli-
cation code from accessing the operating-system code and data in any but a controlled, defined manner.
Segment and page protection can be used at all stages of software development to assist in localizing and detecting
design problems and bugs. It can also be incorporated into end-products to offer added robustness to operating
systems, utilities software, and applications software.
When the protection mechanism is used, each memory reference is checked to verify that it satisfies various
protection checks. All checks are made before the memory cycle is started; any violation results in an exception.
Because checks are performed in parallel with address translation, there is no performance penalty. The protection
checks that are performed fall into the following categories:
Limit checks.
Type checks.
Privilege level checks.
Restriction of addressable domain.
Restriction of procedure entry-points.
Restriction of instruction set.
All protection violation results in an exception being generated. See Chapter 6, Interrupt and Exception Handling,
for an explanation of the exception mechanism. This chapter describes the protection mechanism and the viola-
tions which lead to exceptions.
The following sections describe the protection mechanism available in protected mode. See Chapter 20, 8086
Emulation, for information on protection in real-address and virtual-8086 mode.
5 .1 E N AB LI N G AN D D I S AB LI N G S E G ME N T AN D P AG E P R O T E C T I O N
Setting the PE flag in register CR0 causes the processor to switch to protected mode, which in turn enables the
segment-protection mechanism. Once in protected mode, there is no control bit for turning the protection mecha-
nism on or off. The part of the segment-protection mechanism that is based on privilege levels can essentially be
disabled while still in protected mode by assigning a privilege level of 0 (most privileged) to all segment selectors
and segment descriptors. This action disables the privilege level protection barriers between segments, but other
protection checks such as limit checking and type checking are still carried out.
Page-level protection is automatically enabled when paging is enabled (by setting the PG flag in register CR0). Here
again there is no mode bit for turning off page-level protection once paging is enabled. However, page-level protec-
tion can be disabled by performing the following operations:
Clear the WP flag in control register CR0.
Set the read/write (R/W) and user/supervisor (U/S) flags for each page-directory and page-table entry.
This action makes each page a writable, user page, which in effect disables page-level protection.
5-2 Vol. 3A
PROTECTION
5 .2 F I E LD S AN D F LAG S U S E D F O R S E G ME N T -LE VE L AN D
P AG E -LE VE L P R O T E C T I O N
The processors protection mechanism uses the following fields and flags in the system data structures to control
access to segments and pages:
Descriptor type (S) flag (Bit 12 in the second doubleword of a segment descriptor.) Determines if the
segment descriptor is for a system segment or a code or data segment.
Type field (Bits 8 through 11 in the second doubleword of a segment descriptor.) Determines the type of
code, data, or system segment.
Limit field (Bits 0 through 15 of the first doubleword and bits 16 through 19 of the second doubleword of a
segment descriptor.) Determines the size of the segment, along with the G flag and E flag (for data segments).
G flag (Bit 23 in the second doubleword of a segment descriptor.) Determines the size of the segment, along
with the limit field and E flag (for data segments).
E flag (Bit 10 in the second doubleword of a data-segment descriptor.) Determines the size of the segment,
along with the limit field and G flag.
Descriptor privilege level (DPL) field (Bits 13 and 14 in the second doubleword of a segment descriptor.)
Determines the privilege level of the segment.
Requested privilege level (RPL) field (Bits 0 and 1 of any segment selector.) Specifies the requested
privilege level of a segment selector.
Current privilege level (CPL) field (Bits 0 and 1 of the CS segment register.) Indicates the privilege level
of the currently executing program or procedure. The term current privilege level (CPL) refers to the setting of
this field.
User/supervisor (U/S) flag (Bit 2 of paging-structure entries.) Determines the type of page: user or
supervisor.
Read/write (R/W) flag (Bit 1 of paging-structure entries.) Determines the type of access allowed to a
page: read-only or read/write.
Execute-disable (XD) flag (Bit 63 of certain paging-structure entries.) Determines the type of access
allowed to a page: executable or not-executable.
Figure 5-1 shows the location of the various fields and flags in the data, code, and system- segment descriptors;
Figure 3-6 shows the location of the RPL (or CPL) field in a segment selector (or the CS register); and Chapter 4
identifies the locations of the U/S, R/W, and XD flags in the paging-structure entries.
Vol. 3A 5-3
PROTECTION
Many different styles of protection schemes can be implemented with these fields and flags. When the operating
system creates a descriptor, it places values in these fields and flags in keeping with the particular protection style
chosen for an operating system or executive. Application program do not generally access or modify these fields
and flags.
The following sections describe how the processor uses these fields and flags to perform the various categories of
checks described in the introduction to this chapter.
5 .2 .1 C o d e S e g m e n t D e scrip t o r in 6 4 -b it Mo d e
Code segments continue to exist in 64-bit mode even though, for address calculations, the segment base is treated
as zero. Some code-segment (CS) descriptor content (the base address and limit fields) is ignored; the remaining
fields function normally (except for the readable bit in the type field).
Code segment descriptors and selectors are needed in IA-32e mode to establish the processors operating mode
and execution privilege-level. The usage is as follows:
F ig u re 5 -1 . D e scrip t o r F ie ld s U se d fo r P ro t e ct io n
Base 23:16
31 24 23 22 2120 19 16 15 13 14 12 11 8 7 0
P Base 31:24 G
D
P
L
Type
1
0 4
31 16 15 0
Base Address 15:00 Segment Limit 15:00 0
Base 23:16
A
V
L
Limit
19:16
B
A W E 0
Data-Segment Descriptor
31 24 23 22 2120 19 16 15 13 14 12 11 8 7 0
P Base 31:24 G
D
P
L
Type
1
0 4
31 16 15 0
Base Address 15:00 Segment Limit 15:00 0
Base 23:16
A
V
L
Limit
19:16
D
A R C 1
Code-Segment Descriptor
31 24 23 22 2120 19 16 15 13 14 12 11 8 7 0
P Base 31:24 G
D
P
L
Type 0 4
31 16 15 0
Base Address 15:00 Segment Limit 15:00 0
Limit
19:16
System-Segment Descriptor
A
B
C
D
DPL
Accessed
Big
Conforming
Default
Descriptor Privilege Level
Reserved
E
G
R
LIMIT
W
P
Expansion Direction
Granularity
Readable
Segment Limit
Writable
Present
0
AVL Available to Sys. Programmers
5-4 Vol. 3A
PROTECTION
IA-32e mode uses a previously unused bit in the CS descriptor. Bit 53 is defined as the 64-bit (L) flag and is
used to select between 64-bit mode and compatibility mode when IA-32e mode is active (IA32_EFER.LMA = 1).
See Figure 5-2.
If CS.L = 0 and IA-32e mode is active, the processor is running in compatibility mode. In this case, CS.D
selects the default size for data and addresses. If CS.D = 0, the default data and address size is 16 bits. If
CS.D = 1, the default data and address size is 32 bits.
If CS.L = 1 and IA-32e mode is active, the only valid setting is CS.D = 0. This setting indicates a default
operand size of 32 bits and a default address size of 64 bits. The CS.L = 1 and CS.D = 1 bit combination is
reserved for future use and a #GP fault will be generated on an attempt to use a code segment with these
bits set in IA-32e mode.
In IA-32e mode, the CS descriptors DPL is used for execution privilege checks (as in legacy 32-bit mode).
5 .3 LI MI T C H E C K I N G
The limit field of a segment descriptor prevents programs or procedures from addressing memory locations outside
the segment. The effective value of the limit depends on the setting of the G (granularity) flag (see Figure 5-1). For
data segments, the limit also depends on the E (expansion direction) flag and the B (default stack pointer size
and/or upper bound) flag. The E flag is one of the bits in the type field when the segment descriptor is for a data-
segment type.
When the G flag is clear (byte granularity), the effective limit is the value of the 20-bit limit field in the segment
descriptor. Here, the limit ranges from 0 to FFFFFH (1 MByte). When the G flag is set (4-KByte page granularity),
the processor scales the value in the limit field by a factor of 2
12
(4 KBytes). In this case, the effective limit ranges
from FFFH (4 KBytes) to FFFFFFFFH (4 GBytes). Note that when scaling is used (G flag is set), the lower 12 bits of
a segment offset (address) are not checked against the limit; for example, note that if the segment limit is 0,
offsets 0 through FFFH are still valid.
For all types of segments except expand-down data segments, the effective limit is the last address that is allowed
to be accessed in the segment, which is one less than the size, in bytes, of the segment. The processor causes a
general-protection exception (or, if the segment is SS, a stack-fault exception) any time an attempt is made to
access the following addresses in a segment:
A byte at an offset greater than the effective limit
A word at an offset greater than the (effective-limit 1)
A doubleword at an offset greater than the (effective-limit 3)
A quadword at an offset greater than the (effective-limit 7)
F ig u re 5 -2 . D e scrip t o r F ie ld s w it h F la g s u se d in I A-3 2 e Mo d e
31 24 23 22 21 20 19 16 15 13 14 12 11 8 7 0
P G
D
P
L
Type
1
L 4
0
0
A
V
L
D
A R C 1
Code-Segment Descriptor
31
A
C
D
DPL
Accessed
Conforming
Default
Descriptor Privilege Level
G
R
Granularity
Readable
AVL Available to Sys. Programmers
L 64-Bit Flag
P Present
Vol. 3A 5-5
PROTECTION
A double quadword at an offset greater than the (effective limit 15)
When the effective limit is FFFFFFFFH (4 GBytes), these accesses may or may not cause the indicated exceptions.
Behavior is implementation-specific and may vary from one execution to another.
For expand-down data segments, the segment limit has the same function but is interpreted differently. Here, the
effective limit specifies the last address that is not allowed to be accessed within the segment; the range of valid
offsets is from (effective-limit + 1) to FFFFFFFFH if the B flag is set and from (effective-limit + 1) to FFFFH if the B
flag is clear. An expand-down segment has maximum size when the segment limit is 0.
Limit checking catches programming errors such as runaway code, runaway subscripts, and invalid pointer calcu-
lations. These errors are detected when they occur, so identification of the cause is easier. Without limit checking,
these errors could overwrite code or data in another segment.
In addition to checking segment limits, the processor also checks descriptor table limits. The GDTR and IDTR regis-
ters contain 16-bit limit values that the processor uses to prevent programs from selecting a segment descriptors
outside the respective descriptor tables. The LDTR and task registers contain 32-bit segment limit value (read from
the segment descriptors for the current LDT and TSS, respectively). The processor uses these segment limits to
prevent accesses beyond the bounds of the current LDT and TSS. See Section 3.5.1, Segment Descriptor Tables,
for more information on the GDT and LDT limit fields; see Section 6.10, Interrupt Descriptor Table (IDT), for more
information on the IDT limit field; and see Section 7.2.4, Task Register, for more information on the TSS segment
limit field.
5 .3 .1 Lim it C h e ck in g in 6 4 -b it Mo d e
In 64-bit mode, the processor does not perform runtime limit checking on code or data segments. However, the
processor does check descriptor-table limits.
5 .4 T YP E C H E C K I N G
Segment descriptors contain type information in two places:
The S (descriptor type) flag.
The type field.
The processor uses this information to detect programming errors that result in an attempt to use a segment or
gate in an incorrect or unintended manner.
The S flag indicates whether a descriptor is a system type or a code or data type. The type field provides 4 addi-
tional bits for use in defining various types of code, data, and system descriptors. Table 3-1 shows the encoding of
the type field for code and data descriptors; Table 3-2 shows the encoding of the field for system descriptors.
The processor examines type information at various times while operating on segment selectors and segment
descriptors. The following list gives examples of typical operations where type checking is performed (this list is not
exhaustive):
When a segment selector is loaded into a segment register Certain segment registers can contain only
certain descriptor types, for example:
The CS register only can be loaded with a selector for a code segment.
Segment selectors for code segments that are not readable or for system segments cannot be loaded into
data-segment registers (DS, ES, FS, and GS).
Only segment selectors of writable data segments can be loaded into the SS register.
When a segment selector is loaded into the LDTR or task register For example:
The LDTR can only be loaded with a selector for an LDT.
The task register can only be loaded with a segment selector for a TSS.
When instructions access segments whose descriptors are already loaded into segment registers
Certain segments can be used by instructions only in certain predefined ways, for example:
No instruction may write into an executable segment.
5-6 Vol. 3A
PROTECTION
No instruction may write into a data segment if it is not writable.
No instruction may read an executable segment unless the readable flag is set.
When an instruction operand contains a segment selector Certain instructions can access segments
or gates of only a particular type, for example:
A far CALL or far JMP instruction can only access a segment descriptor for a conforming code segment,
nonconforming code segment, call gate, task gate, or TSS.
The LLDT instruction must reference a segment descriptor for an LDT.
The LTR instruction must reference a segment descriptor for a TSS.
The LAR instruction must reference a segment or gate descriptor for an LDT, TSS, call gate, task gate, code
segment, or data segment.
The LSL instruction must reference a segment descriptor for a LDT, TSS, code segment, or data segment.
IDT entries must be interrupt, trap, or task gates.
During certain internal operations For example:
On a far call or far jump (executed with a far CALL or far JMP instruction), the processor determines the
type of control transfer to be carried out (call or jump to another code segment, a call or jump through a
gate, or a task switch) by checking the type field in the segment (or gate) descriptor pointed to by the
segment (or gate) selector given as an operand in the CALL or JMP instruction. If the descriptor type is for
a code segment or call gate, a call or jump to another code segment is indicated; if the descriptor type is for
a TSS or task gate, a task switch is indicated.
On a call or jump through a call gate (or on an interrupt- or exception-handler call through a trap or
interrupt gate), the processor automatically checks that the segment descriptor being pointed to by the
gate is for a code segment.
On a call or jump to a new task through a task gate (or on an interrupt- or exception-handler call to a new
task through a task gate), the processor automatically checks that the segment descriptor being pointed to
by the task gate is for a TSS.
On a call or jump to a new task by a direct reference to a TSS, the processor automatically checks that the
segment descriptor being pointed to by the CALL or JMP instruction is for a TSS.
On return from a nested task (initiated by an IRET instruction), the processor checks that the previous task
link field in the current TSS points to a TSS.
5 .4 .1 N u ll S e g m e n t S e le ct o r C h e ck in g
Attempting to load a null segment selector (see Section 3.4.2, Segment Selectors) into the CS or SS segment
register generates a general-protection exception (#GP). A null segment selector can be loaded into the DS, ES,
FS, or GS register, but any attempt to access a segment through one of these registers when it is loaded with a null
segment selector results in a #GP exception being generated. Loading unused data-segment registers with a null
segment selector is a useful method of detecting accesses to unused segment registers and/or preventing
unwanted accesses to data segments.
5 .4 .1 .1 N U LL S e g m e n t C h e ck in g in 6 4 -b it Mo d e
In 64-bit mode, the processor does not perform runtime checking on NULL segment selectors. The processor does
not cause a #GP fault when an attempt is made to access memory where the referenced segment register has a
NULL segment selector.
5 .5 P R I V I LE G E LE VE LS
The processors segment-protection mechanism recognizes 4 privilege levels, numbered from 0 to 3. The greater
numbers mean lesser privileges. Figure 5-3 shows how these levels of privilege can be interpreted as rings of
protection.
Vol. 3A 5-7
PROTECTION
The center (reserved for the most privileged code, data, and stacks) is used for the segments containing the critical
software, usually the kernel of an operating system. Outer rings are used for less critical software. (Systems that
use only 2 of the 4 possible privilege levels should use levels 0 and 3.)
The processor uses privilege levels to prevent a program or task operating at a lesser privilege level from accessing
a segment with a greater privilege, except under controlled situations. When the processor detects a privilege level
violation, it generates a general-protection exception (#GP).
To carry out privilege-level checks between code segments and data segments, the processor recognizes the
following three types of privilege levels:
Current privilege level (CPL) The CPL is the privilege level of the currently executing program or task. It
is stored in bits 0 and 1 of the CS and SS segment registers. Normally, the CPL is equal to the privilege level of
the code segment from which instructions are being fetched. The processor changes the CPL when program
control is transferred to a code segment with a different privilege level. The CPL is treated slightly differently
when accessing conforming code segments. Conforming code segments can be accessed from any privilege
level that is equal to or numerically greater (less privileged) than the DPL of the conforming code segment.
Also, the CPL is not changed when the processor accesses a conforming code segment that has a different
privilege level than the CPL.
Descriptor privilege level (DPL) The DPL is the privilege level of a segment or gate. It is stored in the DPL
field of the segment or gate descriptor for the segment or gate. When the currently executing code segment
attempts to access a segment or gate, the DPL of the segment or gate is compared to the CPL and RPL of the
segment or gate selector (as described later in this section). The DPL is interpreted differently, depending on
the type of segment or gate being accessed:
Data segment The DPL indicates the numerically highest privilege level that a program or task can have
to be allowed to access the segment. For example, if the DPL of a data segment is 1, only programs running
at a CPL of 0 or 1 can access the segment.
Nonconforming code segment (without using a call gate) The DPL indicates the privilege level that
a program or task must be at to access the segment. For example, if the DPL of a nonconforming code
segment is 0, only programs running at a CPL of 0 can access the segment.
Call gate The DPL indicates the numerically highest privilege level that the currently executing program
or task can be at and still be able to access the call gate. (This is the same access rule as for a data
segment.)
Conforming code segment and nonconforming code segment accessed through a call gate The
DPL indicates the numerically lowest privilege level that a program or task can have to be allowed to access
the segment. For example, if the DPL of a conforming code segment is 2, programs running at a CPL of 0 or
1 cannot access the segment.
F ig u re 5 -3 . P ro t e ct io n R in g s
Level 0
Level 1
Level 2
Level 3
Protection Rings
Operating
Operating System
Services
System
Kernel
Applications
5-8 Vol. 3A
PROTECTION
TSS The DPL indicates the numerically highest privilege level that the currently executing program or
task can be at and still be able to access the TSS. (This is the same access rule as for a data segment.)
Requested privilege level (RPL) The RPL is an override privilege level that is assigned to segment
selectors. It is stored in bits 0 and 1 of the segment selector. The processor checks the RPL along with the CPL
to determine if access to a segment is allowed. Even if the program or task requesting access to a segment has
sufficient privilege to access the segment, access is denied if the RPL is not of sufficient privilege level. That is,
if the RPL of a segment selector is numerically greater than the CPL, the RPL overrides the CPL, and vice versa.
The RPL can be used to insure that privileged code does not access a segment on behalf of an application
program unless the program itself has access privileges for that segment. See Section 5.10.4, Checking Caller
Access Privileges (ARPL Instruction), for a detailed description of the purpose and typical use of the RPL.
Privilege levels are checked when the segment selector of a segment descriptor is loaded into a segment register.
The checks used for data access differ from those used for transfers of program control among code segments;
therefore, the two kinds of accesses are considered separately in the following sections.
5 .6 P R I V I LE G E LE VE L C H E C K I N G WH E N AC C E S S I N G D AT A S E G ME N T S
To access operands in a data segment, the segment selector for the data segment must be loaded into the data-
segment registers (DS, ES, FS, or GS) or into the stack-segment register (SS). (Segment registers can be loaded
with the MOV, POP, LDS, LES, LFS, LGS, and LSS instructions.) Before the processor loads a segment selector into
a segment register, it performs a privilege check (see Figure 5-4) by comparing the privilege levels of the currently
running program or task (the CPL), the RPL of the segment selector, and the DPL of the segments segment
descriptor. The processor loads the segment selector into the segment register if the DPL is numerically greater
than or equal to both the CPL and the RPL. Otherwise, a general-protection fault is generated and the segment
register is not loaded.
Figure 5-5 shows four procedures (located in codes segments A, B, C, and D), each running at different privilege
levels and each attempting to access the same data segment.
1. The procedure in code segment A is able to access data segment E using segment selector E1, because the CPL
of code segment A and the RPL of segment selector E1 are equal to the DPL of data segment E.
2. The procedure in code segment B is able to access data segment E using segment selector E2, because the CPL
of code segment B and the RPL of segment selector E2 are both numerically lower than (more privileged) than
the DPL of data segment E. A code segment B procedure can also access data segment E using segment
selector E1.
3. The procedure in code segment C is not able to access data segment E using segment selector E3 (dotted line),
because the CPL of code segment C and the RPL of segment selector E3 are both numerically greater than (less
privileged) than the DPL of data segment E. Even if a code segment C procedure were to use segment selector
F ig u re 5 -4 . P riv ile g e C h e ck fo r D a t a Acce ss
CPL
RPL
DPL
Privilege
Check
Data-Segment Descriptor
CS Register
Segment Selector
For Data Segment
Vol. 3A 5-9
PROTECTION
E1 or E2, such that the RPL would be acceptable, it still could not access data segment E because its CPL is not
privileged enough.
4. The procedure in code segment D should be able to access data segment E because code segment Ds CPL is
numerically less than the DPL of data segment E. However, the RPL of segment selector E3 (which the code
segment D procedure is using to access data segment E) is numerically greater than the DPL of data segment
E, so access is not allowed. If the code segment D procedure were to use segment selector E1 or E2 to access
the data segment, access would be allowed.
As demonstrated in the previous examples, the addressable domain of a program or task varies as its CPL changes.
When the CPL is 0, data segments at all privilege levels are accessible; when the CPL is 1, only data segments at
privilege levels 1 through 3 are accessible; when the CPL is 3, only data segments at privilege level 3 are acces-
sible.
The RPL of a segment selector can always override the addressable domain of a program or task. When properly
used, RPLs can prevent problems caused by accidental (or intensional) use of segment selectors for privileged data
segments by less privileged programs or procedures.
It is important to note that the RPL of a segment selector for a data segment is under software control. For
example, an application program running at a CPL of 3 can set the RPL for a data- segment selector to 0. With the
RPL set to 0, only the CPL checks, not the RPL checks, will provide protection against deliberate, direct attempts to
violate privilege-level security for the data segment. To prevent these types of privilege-level-check violations, a
program or procedure can check access privileges whenever it receives a data-segment selector from another
procedure (see Section 5.10.4, Checking Caller Access Privileges (ARPL Instruction)).
5 .6 .1 Acce ssin g D a t a in C o d e S e g m e n t s
In some instances it may be desirable to access data structures that are contained in a code segment. The
following methods of accessing data in code segments are possible:
Load a data-segment register with a segment selector for a nonconforming, readable, code segment.
Load a data-segment register with a segment selector for a conforming, readable, code segment.
Use a code-segment override prefix (CS) to read a readable, code segment whose selector is already loaded in
the CS register.
The same rules for accessing data segments apply to method 1. Method 2 is always valid because the privilege
level of a conforming code segment is effectively the same as the CPL, regardless of its DPL. Method 3 is always
valid because the DPL of the code segment selected by the CS register is the same as the CPL.
F ig u re 5 -5 . E x a m p le s o f Acce ssin g D a t a S e g m e n t s F ro m Va rio u s P riv ile g e Le v e ls
Data
Lowest Privilege
Highest Privilege
Segment E
3
2
1
0
CPL=1
CPL=3
CPL=0
DPL=2
CPL=2
Segment Sel. E3
RPL=3
Segment Sel. E1
RPL=2
Segment Sel. E2
RPL=1
Code
Segment C
Code
Segment A
Code
Segment B
Code
Segment D
5-10 Vol. 3A
PROTECTION
5 .7 P R I V I LE G E LE VE L C H E C K I N G WH E N LO AD I N G T H E S S R E G I S T E R
Privilege level checking also occurs when the SS register is loaded with the segment selector for a stack segment.
Here all privilege levels related to the stack segment must match the CPL; that is, the CPL, the RPL of the stack-
segment selector, and the DPL of the stack-segment descriptor must be the same. If the RPL and DPL are not equal
to the CPL, a general-protection exception (#GP) is generated.
5 .8 P R I V I LE G E LE VE L C H E C K I N G WH E N T R AN S F E R R I N G P R O G R AM C O N T R O L
B E T WE E N C O D E S E G ME N T S
To transfer program control from one code segment to another, the segment selector for the destination code
segment must be loaded into the code-segment register (CS). As part of this loading process, the processor exam-
ines the segment descriptor for the destination code segment and performs various limit, type, and privilege
checks. If these checks are successful, the CS register is loaded, program control is transferred to the new code
segment, and program execution begins at the instruction pointed to by the EIP register.
Program control transfers are carried out with the JMP, CALL, RET, SYSENTER, SYSEXIT, SYSCALL, SYSRET, INT n,
and IRET instructions, as well as by the exception and interrupt mechanisms. Exceptions, interrupts, and the IRET
instruction are special cases discussed in Chapter 6, Interrupt and Exception Handling. This chapter discusses
only the JMP, CALL, RET, SYSENTER, SYSEXIT, SYSCALL, and SYSRET instructions.
A JMP or CALL instruction can reference another code segment in any of four ways:
The target operand contains the segment selector for the target code segment.
The target operand points to a call-gate descriptor, which contains the segment selector for the target code
segment.
The target operand points to a TSS, which contains the segment selector for the target code segment.
The target operand points to a task gate, which points to a TSS, which in turn contains the segment selector for
the target code segment.
The following sections describe first two types of references. See Section 7.3, Task Switching, for information on
transferring program control through a task gate and/or TSS.
The SYSENTER and SYSEXIT instructions are special instructions for making fast calls to and returns from operating
system or executive procedures. These instructions are discussed in Section 5.8.7, Performing Fast Calls to
System Procedures with the SYSENTER and SYSEXIT Instructions.
The SYCALL and SYSRET instructions are special instructions for making fast calls to and returns from operating
system or executive procedures in 64-bit mode. These instructions are discussed in Section 5.8.8, Fast System
Calls in 64-Bit Mode.
5 .8 .1 D ire ct C a lls o r Ju m p s t o C o d e S e g m e n t s
The near forms of the JMP, CALL, and RET instructions transfer program control within the current code segment,
so privilege-level checks are not performed. The far forms of the JMP, CALL, and RET instructions transfer control
to other code segments, so the processor does perform privilege-level checks.
When transferring program control to another code segment without going through a call gate, the processor
examines four kinds of privilege level and type information (see Figure 5-6):
The CPL. (Here, the CPL is the privilege level of the calling code segment; that is, the code segment that
contains the procedure that is making the call or jump.)
Vol. 3A 5-11
PROTECTION
The DPL of the segment descriptor for the destination code segment that contains the called procedure.
The RPL of the segment selector of the destination code segment.
The conforming (C) flag in the segment descriptor for the destination code segment, which determines whether
the segment is a conforming (C flag is set) or nonconforming (C flag is clear) code segment. See Section
3.4.5.1, Code- and Data-Segment Descriptor Types, for more information about this flag.
The rules that the processor uses to check the CPL, RPL, and DPL depends on the setting of the C flag, as described
in the following sections.
5 .8 .1 .1 Acce ssin g N o n co n fo rm in g C o d e S e g m e n t s
When accessing nonconforming code segments, the CPL of the calling procedure must be equal to the DPL of the
destination code segment; otherwise, the processor generates a general-protection exception (#GP). For example
in Figure 5-7:
Code segment C is a nonconforming code segment. A procedure in code segment A can call a procedure in code
segment C (using segment selector C1) because they are at the same privilege level (CPL of code segment A is
equal to the DPL of code segment C).
A procedure in code segment B cannot call a procedure in code segment C (using segment selector C2 or C1)
because the two code segments are at different privilege levels.
F ig u re 5 -6 . P riv ile g e C h e ck fo r C o n t ro l T ra n sfe r Wit h o u t U sin g a G a t e
CPL
RPL
DPL
Privilege
Check
CS Register
Segment Selector
For Code Segment
Destination Code
Segment Descriptor
C
5-12 Vol. 3A
PROTECTION
The RPL of the segment selector that points to a nonconforming code segment has a limited effect on the privilege
check. The RPL must be numerically less than or equal to the CPL of the calling procedure for a successful control
transfer to occur. So, in the example in Figure 5-7, the RPLs of segment selectors C1 and C2 could legally be set to
0, 1, or 2, but not to 3.
When the segment selector of a nonconforming code segment is loaded into the CS register, the privilege level field
is not changed; that is, it remains at the CPL (which is the privilege level of the calling procedure). This is true, even
if the RPL of the segment selector is different from the CPL.
5 .8 .1 .2 Acce ssin g C o n fo rm in g C o d e S e g m e n t s
When accessing conforming code segments, the CPL of the calling procedure may be numerically equal to or
greater than (less privileged) the DPL of the destination code segment; the processor generates a general-protec-
tion exception (#GP) only if the CPL is less than the DPL. (The segment selector RPL for the destination code
segment is not checked if the segment is a conforming code segment.)
In the example in Figure 5-7, code segment D is a conforming code segment. Therefore, calling procedures in both
code segment A and B can access code segment D (using either segment selector D1 or D2, respectively), because
they both have CPLs that are greater than or equal to the DPL of the conforming code segment. For conforming
code segments, the DPL represents the numerically lowest privilege level that a calling procedure may
be at to successfully make a call to the code segment.
(Note that segments selectors D1 and D2 are identical except for their respective RPLs. But since RPLs are not
checked when accessing conforming code segments, the two segment selectors are essentially interchangeable.)
When program control is transferred to a conforming code segment, the CPL does not change, even if the DPL of
the destination code segment is less than the CPL. This situation is the only one where the CPL may be different
from the DPL of the current code segment. Also, since the CPL does not change, no stack switch occurs.
Conforming segments are used for code modules such as math libraries and exception handlers, which support
applications but do not require access to protected system facilities. These modules are part of the operating
system or executive software, but they can be executed at numerically higher privilege levels (less privileged
levels). Keeping the CPL at the level of a calling code segment when switching to a conforming code segment
F ig u re 5 -7. E x a m p le s o f Acce ssin g C o n fo rm in g a n d N o n co n fo rm in g C o d e S e g m e n t s F ro m Va rio u s P riv ile g e Le v e ls
Code
Segment D
Code
Segment C
Code
Segment A
Lowest Privilege
Highest Privilege
CPL=3
Code
Segment B
Nonconforming
Code Segment
Conforming
Code Segment
3
2
1
0
CPL=2
DPL=2
DPL=1
Segment Sel. D1
RPL=2
Segment Sel. D2
RPL=3
Segment Sel. C2
RPL=3
Segment Sel. C1
RPL=2
Vol. 3A 5-13
PROTECTION
prevents an application program from accessing nonconforming code segments while at the privilege level (DPL) of
a conforming code segment and thus prevents it from accessing more privileged data.
Most code segments are nonconforming. For these segments, program control can be transferred only to code
segments at the same level of privilege, unless the transfer is carried out through a call gate, as described in the
following sections.
5 .8 .2 G a t e D e scrip t o rs
To provide controlled access to code segments with different privilege levels, the processor provides special set of
descriptors called gate descriptors. There are four kinds of gate descriptors:
Call gates
Trap gates
Interrupt gates
Task gates
Task gates are used for task switching and are discussed in Chapter 7, Task Management. Trap and interrupt
gates are special kinds of call gates used for calling exception and interrupt handlers. The are described in Chapter
6, Interrupt and Exception Handling. This chapter is concerned only with call gates.
5 .8 .3 C a ll G a t e s
Call gates facilitate controlled transfers of program control between different privilege levels. They are typically
used only in operating systems or executives that use the privilege-level protection mechanism. Call gates are also
useful for transferring program control between 16-bit and 32-bit code segments, as described in Section 21.4,
Transferring Control Among Mixed-Size Code Segments.
Figure 5-8 shows the format of a call-gate descriptor. A call-gate descriptor may reside in the GDT or in an LDT, but
not in the interrupt descriptor table (IDT). It performs six functions:
It specifies the code segment to be accessed.
It defines an entry point for a procedure in the specified code segment.
It specifies the privilege level required for a caller trying to access the procedure.
If a stack switch occurs, it specifies the number of optional parameters to be copied between stacks.
It defines the size of values to be pushed onto the target stack: 16-bit gates force 16-bit pushes and 32-bit
gates force 32-bit pushes.
It specifies whether the call-gate descriptor is valid.
The segment selector field in a call gate specifies the code segment to be accessed. The offset field specifies the
entry point in the code segment. This entry point is generally to the first instruction of a specific procedure. The
DPL field indicates the privilege level of the call gate, which in turn is the privilege level required to access the
F ig u re 5 -8 . C a ll-G a t e D e scrip t o r
31 16 15 13 14 12 11 8 7 0
P Offset in Segment 31:16
D
P
L
Type
0
4
31 16 15 0
Segment Selector Offset in Segment 15:00 0
Param.
0 0 1 1
P
DPL
Gate Valid
Descriptor Privilege Level
Count
4 5 6
0 0 0
5-14 Vol. 3A
PROTECTION
selected procedure through the gate. The P flag indicates whether the call-gate descriptor is valid. (The presence
of the code segment to which the gate points is indicated by the P flag in the code segments descriptor.) The
parameter count field indicates the number of parameters to copy from the calling procedures stack to the new
stack if a stack switch occurs (see Section 5.8.5, Stack Switching). The parameter count specifies the number of
words for 16-bit call gates and doublewords for 32-bit call gates.
Note that the P flag in a gate descriptor is normally always set to 1. If it is set to 0, a not present (#NP) exception
is generated when a program attempts to access the descriptor. The operating system can use the P flag for special
purposes. For example, it could be used to track the number of times the gate is used. Here, the P flag is initially
set to 0 causing a trap to the not-present exception handler. The exception handler then increments a counter and
sets the P flag to 1, so that on returning from the handler, the gate descriptor will be valid.
5 .8 .3 .1 I A-3 2 e Mo d e C a ll G a t e s
Call-gate descriptors in 32-bit mode provide a 32-bit offset for the instruction pointer (EIP); 64-bit extensions
double the size of 32-bit mode call gates in order to store 64-bit instruction pointers (RIP). See Figure 5-9:
The first eight bytes (bytes 7:0) of a 64-bit mode call gate are similar but not identical to legacy 32-bit mode
call gates. The parameter-copy-count field has been removed.
Bytes 11:8 hold the upper 32 bits of the target-segment offset in canonical form. A general-protection
exception (#GP) is generated if software attempts to use a call gate with a target offset that is not in canonical
form.
16-byte descriptors may reside in the same descriptor table with 16-bit and 32-bit descriptors. A type field,
used for consistency checking, is defined in bits 12:8 of the 64-bit descriptors highest dword (cleared to zero).
A general-protection exception (#GP) results if an attempt is made to access the upper half of a 64-bit mode
descriptor as a 32-bit mode descriptor.
Target code segments referenced by a 64-bit call gate must be 64-bit code segments (CS.L = 1, CS.D = 0). If
not, the reference generates a general-protection exception, #GP (CS selector).
Only 64-bit mode call gates can be referenced in IA-32e mode (64-bit mode and compatibility mode). The
legacy 32-bit mode call gate type (0CH) is redefined in IA-32e mode as a 64-bit call-gate type; no 32-bit call-
gate type exists in IA-32e mode.
F ig u re 5 -9 . C a ll-G a t e D e scrip t o r in I A-3 2 e Mo d e
31 8 7 0
P Offset in Segment 31:16
D
P
L
Type
0
4
31 16 15 0
Segment Selector Offset in Segment 15:00 0
.
0 0 1 1
P
DPL
Gate Valid
Descriptor Privilege Level
31 0
0
16
31 0
Offset in Segment 63:31 8
0 0 0 0
0
13 12 11 10 9 8 7
16 15 14 13 12 11
Reserved
Reserved
Type
Vol. 3A 5-15
PROTECTION
If a far call references a 16-bit call gate type (04H) in IA-32e mode, a general-protection exception (#GP) is
generated.
When a call references a 64-bit mode call gate, actions taken are identical to those taken in 32-bit mode, with the
following exceptions:
Stack pushes are made in eight-byte increments.
A 64-bit RIP is pushed onto the stack.
Parameter copying is not performed.
Use a matching far-return instruction size for correct operation (returns from 64-bit calls must be performed with
a 64-bit operand-size return to process the stack correctly).
5 .8 .4 Acce ssin g a C o d e S e g m e n t T h ro u g h a C a ll G a t e
To access a call gate, a far pointer to the gate is provided as a target operand in a CALL or JMP instruction. The
segment selector from this pointer identifies the call gate (see Figure 5-10); the offset from the pointer is required,
but not used or checked by the processor. (The offset can be set to any value.)
When the processor has accessed the call gate, it uses the segment selector from the call gate to locate the
segment descriptor for the destination code segment. (This segment descriptor can be in the GDT or the LDT.) It
then combines the base address from the code-segment descriptor with the offset from the call gate to form the
linear address of the procedure entry point in the code segment.
As shown in Figure 5-11, four different privilege levels are used to check the validity of a program control transfer
through a call gate:
The CPL (current privilege level).
The RPL (requestor's privilege level) of the call gates selector.
The DPL (descriptor privilege level) of the call gate descriptor.
The DPL of the segment descriptor of the destination code segment.
The C flag (conforming) in the segment descriptor for the destination code segment is also checked.
F ig u re 5 -1 0 . C a ll-G a t e Me ch a n ism
Offset Segment Selector
Far Pointer to Call Gate
Required but not used by processor
Call-Gate
Descriptor
Code-Segment
Descriptor
Descriptor Table
Offset
Base
Base
Offset
Base
Segment Selector
+
Procedure
Entry Point
5-16 Vol. 3A
PROTECTION
The privilege checking rules are different depending on whether the control transfer was initiated with a CALL or a
JMP instruction, as shown in Table 5-1.
The DPL field of the call-gate descriptor specifies the numerically highest privilege level from which a calling proce-
dure can access the call gate; that is, to access a call gate, the CPL of a calling procedure must be equal to or less
than the DPL of the call gate. For example, in Figure 5-15, call gate A has a DPL of 3. So calling procedures at all
CPLs (0 through 3) can access this call gate, which includes calling procedures in code segments A, B, and C. Call
gate B has a DPL of 2, so only calling procedures at a CPL or 0, 1, or 2 can access call gate B, which includes calling
procedures in code segments B and C. The dotted line shows that a calling procedure in code segment A cannot
access call gate B.
The RPL of the segment selector to a call gate must satisfy the same test as the CPL of the calling procedure; that
is, the RPL must be less than or equal to the DPL of the call gate. In the example in Figure 5-15, a calling procedure
in code segment C can access call gate B using gate selector B2 or B1, but it could not use gate selector B3 to
access call gate B.
If the privilege checks between the calling procedure and call gate are successful, the processor then checks the
DPL of the code-segment descriptor against the CPL of the calling procedure. Here, the privilege check rules vary
between CALL and JMP instructions. Only CALL instructions can use call gates to transfer program control to more
privileged (numerically lower privilege level) nonconforming code segments; that is, to nonconforming code
segments with a DPL less than the CPL. A JMP instruction can use a call gate only to transfer program control to a
nonconforming code segment with a DPL equal to the CPL. CALL and JMP instruction can both transfer program
control to a more privileged conforming code segment; that is, to a conforming code segment with a DPL less than
or equal to the CPL.
F ig u re 5 -1 1 . P riv ile g e C h e ck fo r C o n t ro l T ra n sfe r w it h C a ll G a t e
Ta b le 5 -1 . P riv ile g e C h e ck R u le s fo r C a ll G a t e s
I n st ru ct io n P riv ile g e C h e ck R u le s
CALL CPL call gate DPL; RPL call gate DPL
Destination conforming code segment DPL CPL
Destination nonconforming code segment DPL CPL
JMP CPL call gate DPL; RPL call gate DPL
Destination conforming code segment DPL CPL
Destination nonconforming code segment DPL =CPL
CPL
RPL
DPL
DPL
Privilege
Check
Call Gate (Descriptor)
Destination Code-
CS Register
Call-Gate Selector
Segment Descriptor
Vol. 3A 5-17
PROTECTION
If a call is made to a more privileged (numerically lower privilege level) nonconforming destination code segment,
the CPL is lowered to the DPL of the destination code segment and a stack switch occurs (see Section 5.8.5, Stack
Switching). If a call or jump is made to a more privileged conforming destination code segment, the CPL is not
changed and no stack switch occurs.
Call gates allow a single code segment to have procedures that can be accessed at different privilege levels. For
example, an operating system located in a code segment may have some services which are intended to be used
by both the operating system and application software (such as procedures for handling character I/O). Call gates
for these procedures can be set up that allow access at all privilege levels (0 through 3). More privileged call gates
(with DPLs of 0 or 1) can then be set up for other operating system services that are intended to be used only by
the operating system (such as procedures that initialize device drivers).
5 .8 .5 S t a ck S w it ch in g
Whenever a call gate is used to transfer program control to a more privileged nonconforming code segment (that
is, when the DPL of the nonconforming destination code segment is less than the CPL), the processor automatically
switches to the stack for the destination code segments privilege level. This stack switching is carried out to
prevent more privileged procedures from crashing due to insufficient stack space. It also prevents less privileged
procedures from interfering (by accident or intent) with more privileged procedures through a shared stack.
Each task must define up to 4 stacks: one for applications code (running at privilege level 3) and one for each of
the privilege levels 2, 1, and 0 that are used. (If only two privilege levels are used [3 and 0], then only two stacks
must be defined.) Each of these stacks is located in a separate segment and is identified with a segment selector
and an offset into the stack segment (a stack pointer).
The segment selector and stack pointer for the privilege level 3 stack is located in the SS and ESP registers, respec-
tively, when privilege-level-3 code is being executed and is automatically stored on the called procedures stack
when a stack switch occurs.
Pointers to the privilege level 0, 1, and 2 stacks are stored in the TSS for the currently running task (see
Figure 7-2). Each of these pointers consists of a segment selector and a stack pointer (loaded into the ESP
register). These initial pointers are strictly read-only values. The processor does not change them while the task is
running. They are used only to create new stacks when calls are made to more privileged levels (numerically lower
F ig u re 5 -1 2 . E x a m p le o f Acce ssin g C a ll G a t e s At Va rio u s P riv ile g e Le v e ls
Code
Segment A
Stack Switch No Stack
Switch Occurs Occurs
Lowest Privilege
Highest Privilege
3
2
1
0
Call
Gate A
Code
Segment B
Call
Gate B
Code
Segment C
Code
Segment D
Code
Segment E
Nonconforming
Code Segment
Conforming
Code Segment
Gate Selector A
RPL=3
Gate Selector B1
RPL=2
Gate Selector B2
RPL=1
CPL=3
CPL=2
CPL=1
DPL=3
DPL=2
DPL=0 DPL=0
Gate Selector B3
RPL=3
5-18 Vol. 3A
PROTECTION
privilege levels). These stacks are disposed of when a return is made from the called procedure. The next time the
procedure is called, a new stack is created using the initial stack pointer. (The TSS does not specify a stack for priv-
ilege level 3 because the processor does not allow a transfer of program control from a procedure running at a CPL
of 0, 1, or 2 to a procedure running at a CPL of 3, except on a return.)
The operating system is responsible for creating stacks and stack-segment descriptors for all the privilege levels to
be used and for loading initial pointers for these stacks into the TSS. Each stack must be read/write accessible (as
specified in the type field of its segment descriptor) and must contain enough space (as specified in the limit field)
to hold the following items:
The contents of the SS, ESP, CS, and EIP registers for the calling procedure.
The parameters and temporary variables required by the called procedure.
The EFLAGS register and error code, when implicit calls are made to an exception or interrupt handler.
The stack will need to require enough space to contain many frames of these items, because procedures often call
other procedures, and an operating system may support nesting of multiple interrupts. Each stack should be large
enough to allow for the worst case nesting scenario at its privilege level.
(If the operating system does not use the processors multitasking mechanism, it still must create at least one TSS
for this stack-related purpose.)
When a procedure call through a call gate results in a change in privilege level, the processor performs the
following steps to switch stacks and begin execution of the called procedure at a new privilege level:
1. Uses the DPL of the destination code segment (the new CPL) to select a pointer to the new stack (segment
selector and stack pointer) from the TSS.
2. Reads the segment selector and stack pointer for the stack to be switched to from the current TSS. Any limit
violations detected while reading the stack-segment selector, stack pointer, or stack-segment descriptor cause
an invalid TSS (#TS) exception to be generated.
3. Checks the stack-segment descriptor for the proper privileges and type and generates an invalid TSS (#TS)
exception if violations are detected.
4. Temporarily saves the current values of the SS and ESP registers.
5. Loads the segment selector and stack pointer for the new stack in the SS and ESP registers.
6. Pushes the temporarily saved values for the SS and ESP registers (for the calling procedure) onto the new stack
(see Figure 5-13).
7. Copies the number of parameter specified in the parameter count field of the call gate from the calling
procedures stack to the new stack. If the count is 0, no parameters are copied.
8. Pushes the return instruction pointer (the current contents of the CS and EIP registers) onto the new stack.
9. Loads the segment selector for the new code segment and the new instruction pointer from the call gate into
the CS and EIP registers, respectively, and begins execution of the called procedure.
See the description of the CALL instruction in Chapter 3, Instruction Set Reference, in the IA-32 Intel Architecture
Software Developers Manual, Volume 2, for a detailed description of the privilege level checks and other protection
checks that the processor performs on a far call through a call gate.
Vol. 3A 5-19
PROTECTION
The parameter count field in a call gate specifies the number of data items (up to 31) that the processor should
copy from the calling procedures stack to the stack of the called procedure. If more than 31 data items need to be
passed to the called procedure, one of the parameters can be a pointer to a data structure, or the saved contents
of the SS and ESP registers may be used to access parameters in the old stack space. The size of the data items
passed to the called procedure depends on the call gate size, as described in Section 5.8.3, Call Gates.
5 .8 .5 .1 S t a ck S w it ch in g in 6 4 -b it Mo d e
Although protection-check rules for call gates are unchanged from 32-bit mode, stack-switch changes in 64-bit
mode are different.
When stacks are switched as part of a 64-bit mode privilege-level change through a call gate, a new SS (stack
segment) descriptor is not loaded; 64-bit mode only loads an inner-level RSP from the TSS. The new SS is forced
to NULL and the SS selectors RPL field is forced to the new CPL. The new SS is set to NULL in order to handle
nested far transfers (CALLF, INTn, interrupts and exceptions). The old SS and RSP are saved on the new stack.
On a subsequent RETF, the old SS is popped from the stack and loaded into the SS register. See Table 5-2.
In 64-bit mode, stack operations resulting from a privilege-level-changing far call or far return are eight-bytes wide
and change the RSP by eight. The mode does not support the automatic parameter-copy feature found in 32-bit
mode. The call-gate count field is ignored. Software can access the old stack, if necessary, by referencing the old
stack-segment selector and stack pointer saved on the new process stack.
In 64-bit mode, RETF is allowed to load a NULL SS under certain conditions. If the target mode is 64-bit mode and
the target CPL< >3, IRET allows SS to be loaded with a NULL selector. If the called procedure itself is interrupted,
the NULL SS is pushed on the stack frame. On the subsequent RETF, the NULL SS on the stack acts as a flag to tell
the processor not to load a new SS descriptor.
F ig u re 5 -1 3 . S t a ck S w it ch in g D u rin g a n I n t e rp riv ile g e -Le v e l C a ll
Ta b le 5 -2 . 6 4 -B it -Mo d e S t a ck La y o u t Af t e r C ALLF w it h C P L C h a n g e
3 2 -b it Mo d e I A-3 2 e m o d e
Old SS Selector +12 +24 Old SS Selector
Old ESP +8 +16 Old RSP
CS Selector +4 +8 Old CS Selector
EIP 0 E S P R S P 0 RIP
<4 Bytes > <8 Bytes >
Parameter 1
Parameter 2
Parameter 3
Calling SS
Calling ESP
Parameter 1
Parameter 2
Parameter 3
Calling CS
Calling EIP
Called Procedures Stack
ESP
ESP
Calling Procedures Stack
5-20 Vol. 3A
PROTECTION
5 .8 .6 R e t u rn in g fro m a C a lle d P ro ce d u re
The RET instruction can be used to perform a near return, a far return at the same privilege level, and a far return
to a different privilege level. This instruction is intended to execute returns from procedures that were called with
a CALL instruction. It does not support returns from a JMP instruction, because the JMP instruction does not save a
return instruction pointer on the stack.
A near return only transfers program control within the current code segment; therefore, the processor performs
only a limit check. When the processor pops the return instruction pointer from the stack into the EIP register, it
checks that the pointer does not exceed the limit of the current code segment.
On a far return at the same privilege level, the processor pops both a segment selector for the code segment being
returned to and a return instruction pointer from the stack. Under normal conditions, these pointers should be
valid, because they were pushed on the stack by the CALL instruction. However, the processor performs privilege
checks to detect situations where the current procedure might have altered the pointer or failed to maintain the
stack properly.
A far return that requires a privilege-level change is only allowed when returning to a less privileged level (that is,
the DPL of the return code segment is numerically greater than the CPL). The processor uses the RPL field from the
CS register value saved for the calling procedure (see Figure 5-13) to determine if a return to a numerically higher
privilege level is required. If the RPL is numerically greater (less privileged) than the CPL, a return across privilege
levels occurs.
The processor performs the following steps when performing a far return to a calling procedure (see Figures 6-2
and 6-4 in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1, for an illustration of the
stack contents prior to and after a return):
1. Checks the RPL field of the saved CS register value to determine if a privilege level change is required on the
return.
2. Loads the CS and EIP registers with the values on the called procedures stack. (Type and privilege level checks
are performed on the code-segment descriptor and RPL of the code- segment selector.)
3. (If the RET instruction includes a parameter count operand and the return requires a privilege level change.)
Adds the parameter count (in bytes obtained from the RET instruction) to the current ESP register value (after
popping the CS and EIP values), to step past the parameters on the called procedures stack. The resulting
value in the ESP register points to the saved SS and ESP values for the calling procedures stack. (Note that the
byte count in the RET instruction must be chosen to match the parameter count in the call gate that the calling
procedure referenced when it made the original call multiplied by the size of the parameters.)
4. (If the return requires a privilege level change.) Loads the SS and ESP registers with the saved SS and ESP
values and switches back to the calling procedures stack. The SS and ESP values for the called procedures
stack are discarded. Any limit violations detected while loading the stack-segment selector or stack pointer
cause a general-protection exception (#GP) to be generated. The new stack-segment descriptor is also
checked for type and privilege violations.
5. (If the RET instruction includes a parameter count operand.) Adds the parameter count (in bytes obtained from
the RET instruction) to the current ESP register value, to step past the parameters on the calling procedures
stack. The resulting ESP value is not checked against the limit of the stack segment. If the ESP value is beyond
the limit, that fact is not recognized until the next stack operation.
6. (If the return requires a privilege level change.) Checks the contents of the DS, ES, FS, and GS segment
registers. If any of these registers refer to segments whose DPL is less than the new CPL (excluding conforming
code segments), the segment register is loaded with a null segment selector.
See the description of the RET instruction in Chapter 4 of the Intel 64 and IA-32 Architectures Software Devel-
opers Manual, Volume 2B, for a detailed description of the privilege level checks and other protection checks that
the processor performs on a far return.
5 .8 .7 P e rfo rm in g Fa st C a lls t o S y st e m P ro ce d u re s w it h t h e
S YS E N T E R a n d S YS E XI T I n st ru ct io n s
The SYSENTER and SYSEXIT instructions were introduced into the IA-32 architecture in the Pentium II processors
for the purpose of providing a fast (low overhead) mechanism for calling operating system or executive procedures.
Vol. 3A 5-21
PROTECTION
SYSENTER is intended for use by user code running at privilege level 3 to access operating system or executive
procedures running at privilege level 0. SYSEXIT is intended for use by privilege level 0 operating system or exec-
utive procedures for fast returns to privilege level 3 user code. SYSENTER can be executed from privilege levels 3,
2, 1, or 0; SYSEXIT can only be executed from privilege level 0.
The SYSENTER and SYSEXIT instructions are companion instructions, but they do not constitute a call/return pair.
This is because SYSENTER does not save any state information for use by SYSEXIT on a return.
The target instruction and stack pointer for these instructions are not specified through instruction operands.
Instead, they are specified through parameters entered in MSRs and general-purpose registers.
For SYSENTER, target fields are generated using the following sources:
Target code segment Reads this from IA32_SYSENTER_CS.
Target instruction Reads this from IA32_SYSENTER_EIP.
Stack segment Computed by adding 8 to the value in IA32_SYSENTER_CS.
Stack pointer Reads this from the IA32_SYSENTER_ESP.
For SYSEXIT, target fields are generated using the following sources:
Target code segment Computed by adding 16 to the value in the IA32_SYSENTER_CS.
Target instruction Reads this from EDX.
Stack segment Computed by adding 24 to the value in IA32_SYSENTER_CS.
Stack pointer Reads this from ECX.
The SYSENTER and SYSEXIT instructions preform fast calls and returns because they force the processor into a
predefined privilege level 0 state when SYSENTER is executed and into a predefined privilege level 3 state when
SYSEXIT is executed. By forcing predefined and consistent processor states, the number of privilege checks ordi-
narily required to perform a far call to another privilege levels are greatly reduced. Also, by predefining the target
context state in MSRs and general-purpose registers eliminates all memory accesses except when fetching the
target code.
Any additional state that needs to be saved to allow a return to the calling procedure must be saved explicitly by
the calling procedure or be predefined through programming conventions.
5 .8 .7.1 S YS E N T E R a n d S YS E XI T I n st ru ct io n s in I A-3 2 e Mo d e
For Intel 64 processors, the SYSENTER and SYSEXIT instructions are enhanced to allow fast system calls from user
code running at privilege level 3 (in compatibility mode or 64-bit mode) to 64-bit executive procedures running at
privilege level 0. IA32_SYSENTER_EIP MSR and IA32_SYSENTER_ESP MSR are expanded to hold 64-bit addresses.
If IA-32e mode is inactive, only the lower 32-bit addresses stored in these MSRs are used. The WRMSR instruction
ensures that the addresses stored in these MSRs are canonical. Note that, in 64-bit mode, IA32_SYSENTER_CS
must not contain a NULL selector.
When SYSENTER transfers control, the following fields are generated and bits set:
Target code segment Reads non-NULL selector from IA32_SYSENTER_CS.
New CS attributes CS base = 0, CS limit = FFFFFFFFH.
Target instruction Reads 64-bit canonical address from IA32_SYSENTER_EIP.
Stack segment Computed by adding 8 to the value from IA32_SYSENTER_CS.
Stack pointer Reads 64-bit canonical address from IA32_SYSENTER_ESP.
New SS attributes SS base = 0, SS limit = FFFFFFFFH.
When the SYSEXIT instruction transfers control to 64-bit mode user code using REX.W, the following fields are
generated and bits set:
Target code segment Computed by adding 32 to the value in IA32_SYSENTER_CS.
New CS attributes L-bit = 1 (go to 64-bit mode).
Target instruction Reads 64-bit canonical address in RDX.
Stack segment Computed by adding 40 to the value of IA32_SYSENTER_CS.
5-22 Vol. 3A
PROTECTION
Stack pointer Update RSP using 64-bit canonical address in RCX.
When SYSEXIT transfers control to compatibility mode user code when the operand size attribute is 32 bits, the
following fields are generated and bits set:
Target code segment Computed by adding 16 to the value in IA32_SYSENTER_CS.
New CS attributes L-bit = 0 (go to compatibility mode).
Target instruction Fetch the target instruction from 32-bit address in EDX.
Stack segment Computed by adding 24 to the value in IA32_SYSENTER_CS.
Stack pointer Update ESP from 32-bit address in ECX.
5 .8 .8 Fa st S y st e m C a lls in 6 4 -B it Mo d e
The SYSCALL and SYSRET instructions are designed for operating systems that use a flat memory model (segmen-
tation is not used). The instructions, along with SYSENTER and SYSEXIT, are suited for IA-32e mode operation.
SYSCALL and SYSRET, however, are not supported in compatibility mode (or in protected mode). Use CPUID to
check if SYSCALL and SYSRET are available (CPUID.80000001H.EDX[bit 11] = 1).
SYSCALL is intended for use by user code running at privilege level 3 to access operating system or executive
procedures running at privilege level 0. SYSRET is intended for use by privilege level 0 operating system or execu-
tive procedures for fast returns to privilege level 3 user code.
Stack pointers for SYSCALL/SYSRET are not specified through model specific registers. The clearing of bits in
RFLAGS is programmable rather than fixed. SYSCALL/SYSRET save and restore the RFLAGS register.
For SYSCALL, the processor saves RFLAGS into R11 and the RIP of the next instruction into RCX; it then gets the
privilege-level 0 target code segment, instruction pointer, stack segment, and flags as follows:
Target code segment Reads a non-NULL selector from IA32_STAR[47:32].
Target instruction pointer Reads a 64-bit address from IA32_LSTAR. (The WRMSR instruction ensures
that the value of the IA32_LSTAR MSR is canonical.)
Stack segment Computed by adding 8 to the value in IA32_STAR[47:32].
Flags The processor sets RFLAGS to the logical-AND of its current value with the complement of the value in
the IA32_FMASK MSR.
When SYSRET transfers control to 64-bit mode user code using REX.W, the processor gets the privilege level 3
target code segment, instruction pointer, stack segment, and flags as follows:
Target code segment Reads a non-NULL selector from IA32_STAR[63:48] + 16.
Target instruction pointer Copies the value in RCX into RIP.
Stack segment IA32_STAR[63:48] + 8.
EFLAGS Loaded from R11.
When SYSRET transfers control to 32-bit mode user code using a 32-bit operand size, the processor gets the priv-
ilege level 3 target code segment, instruction pointer, stack segment, and flags as follows:
Target code segment Reads a non-NULL selector from IA32_STAR[63:48].
Target instruction pointer Copies the value in ECX into EIP.
Stack segment IA32_STAR[63:48] + 8.
EFLAGS Loaded from R11.
It is the responsibility of the OS to ensure the descriptors in the GDT/LDT correspond to the selectors loaded by
SYSCALL/SYSRET (consistent with the base, limit, and attribute values forced by the instructions).
See Figure 5-14 for the layout of IA32_STAR, IA32_LSTAR and IA32_FMASK.
Vol. 3A 5-23
PROTECTION
The SYSCALL instruction does not save the stack pointer, and the SYSRET instruction does not restore it. It is likely
that the OS system-call handler will change the stack pointer from the user stack to the OS stack. If so, it is the
responsibility of software first to save the user stack pointer. This might be done by user code, prior to executing
SYSCALL, or by the OS system-call handler after SYSCALL.
Because the SYSRET instruction does not modify the stack pointer, it is necessary for software to switch back to the
user stack. The OS may load the user stack pointer (if it was saved after SYSCALL) before executing SYSRET; alter-
natively, user code may load the stack pointer (if it was saved before SYSCALL) after receiving control from
SYSRET.
If the OS loads the stack pointer before executing SYSRET, it must ensure that the handler of any interrupt or
exception delivered between restoring the stack pointer and successful execution of SYSRET is not invoked with the
user stack. It can do so using approaches such as the following:
External interrupts. The OS can prevent an external interrupt from being delivered by clearing EFLAGS.IF
before loading the user stack pointer.
Nonmaskable interrupts (NMIs). The OS can ensure that the NMI handler is invoked with the correct stack by
using the interrupt stack table (IST) mechanism for gate 2 (NMI) in the IDT (see Section 6.14.5, Interrupt
Stack Table).
General-protection exceptions (#GP). The SYSRET instruction generates #GP(0) if the value of RCX is not
canonical. The OS can address this possibility using one or more of the following approaches:
Confirming that the value of RCX is canonical before executing SYSRET.
Using paging to ensure that the SYSCALL instruction will never save a non-canonical value into RCX.
Using the IST mechanism for gate 13 (#GP) in the IDT.
5 .9 P R I V I LE G E D I N S T R U C T I O N S
Some of the system instructions (called privileged instructions) are protected from use by application programs.
The privileged instructions control system functions (such as the loading of system registers). They can be
executed only when the CPL is 0 (most privileged). If one of these instructions is executed when the CPL is not 0,
a general-protection exception (#GP) is generated. The following system instructions are privileged instructions:
LGDT Load GDT register.
LLDT Load LDT register.
F ig u re 5 -1 4 . MS R s U se d b y S YS C ALL a n d S YS R E T
63 32 31 0
63 0
63 0
Target RIP for 64-bit Mode Calling Program
SYSRET CS and SS SYSCALL CS and SS
48 47
IA32_STAR
IA32_LSTAR
IA32_FMASK
32 31
SYSCALL EFLAGS Mask
Reserved
Reserved
5-24 Vol. 3A
PROTECTION
LTR Load task register.
LIDT Load IDT register.
MOV (control registers) Load and store control registers.
LMSW Load machine status word.
CLTS Clear task-switched flag in register CR0.
MOV (debug registers) Load and store debug registers.
INVD Invalidate cache, without writeback.
WBINVD Invalidate cache, with writeback.
INVLPG Invalidate TLB entry.
HLT Halt processor.
RDMSR Read Model-Specific Registers.
WRMSR Write Model-Specific Registers.
RDPMC Read Performance-Monitoring Counter.
RDTSC Read Time-Stamp Counter.
Some of the privileged instructions are available only in the more recent families of Intel 64 and IA-32 processors
(see Section 22.13, New Instructions In the Pentium and Later IA-32 Processors).
The PCE and TSD flags in register CR4 (bits 4 and 2, respectively) enable the RDPMC and RDTSC instructions,
respectively, to be executed at any CPL.
5 .1 0 P O I N T E R VALI D AT I O N
When operating in protected mode, the processor validates all pointers to enforce protection between segments
and maintain isolation between privilege levels. Pointer validation consists of the following checks:
1. Checking access rights to determine if the segment type is compatible with its use.
2. Checking read/write rights.
3. Checking if the pointer offset exceeds the segment limit.
4. Checking if the supplier of the pointer is allowed to access the segment.
5. Checking the offset alignment.
The processor automatically performs first, second, and third checks during instruction execution. Software must
explicitly request the fourth check by issuing an ARPL instruction. The fifth check (offset alignment) is performed
automatically at privilege level 3 if alignment checking is turned on. Offset alignment does not affect isolation of
privilege levels.
5 .1 0 .1 C h e ck in g Acce ss R ig h t s (LAR I n st ru ct io n )
When the processor accesses a segment using a far pointer, it performs an access rights check on the segment
descriptor pointed to by the far pointer. This check is performed to determine if type and privilege level (DPL) of the
segment descriptor are compatible with the operation to be performed. For example, when making a far call in
protected mode, the segment-descriptor type must be for a conforming or nonconforming code segment, a call
gate, a task gate, or a TSS. Then, if the call is to a nonconforming code segment, the DPL of the code segment must
be equal to the CPL, and the RPL of the code segments segment selector must be less than or equal to the DPL. If
type or privilege level are found to be incompatible, the appropriate exception is generated.
To prevent type incompatibility exceptions from being generated, software can check the access rights of a
segment descriptor using the LAR (load access rights) instruction. The LAR instruction specifies the segment
selector for the segment descriptor whose access rights are to be checked and a destination register. The instruc-
tion then performs the following operations:
1. Check that the segment selector is not null.
Vol. 3A 5-25
PROTECTION
2. Checks that the segment selector points to a segment descriptor that is within the descriptor table limit (GDT
or LDT).
3. Checks that the segment descriptor is a code, data, LDT, call gate, task gate, or TSS segment-descriptor type.
4. If the segment is not a conforming code segment, checks if the segment descriptor is visible at the CPL (that
is, if the CPL and the RPL of the segment selector are less than or equal to the DPL).
5. If the privilege level and type checks pass, loads the second doubleword of the segment descriptor into the
destination register (masked by the value 00FXFF00H, where X indicates that the corresponding 4 bits are
undefined) and sets the ZF flag in the EFLAGS register. If the segment selector is not visible at the current
privilege level or is an invalid type for the LAR instruction, the instruction does not modify the destination
register and clears the ZF flag.
Once loaded in the destination register, software can preform additional checks on the access rights information.
5 .1 0 .2 C h e ck in g R e a d /Writ e R ig h t s (VE R R a n d VE R W I n st ru ct io n s)
When the processor accesses any code or data segment it checks the read/write privileges assigned to the
segment to verify that the intended read or write operation is allowed. Software can check read/write rights using
the VERR (verify for reading) and VERW (verify for writing) instructions. Both these instructions specify the
segment selector for the segment being checked. The instructions then perform the following operations:
1. Check that the segment selector is not null.
2. Checks that the segment selector points to a segment descriptor that is within the descriptor table limit (GDT
or LDT).
3. Checks that the segment descriptor is a code or data-segment descriptor type.
4. If the segment is not a conforming code segment, checks if the segment descriptor is visible at the CPL (that
is, if the CPL and the RPL of the segment selector are less than or equal to the DPL).
5. Checks that the segment is readable (for the VERR instruction) or writable (for the VERW) instruction.
The VERR instruction sets the ZF flag in the EFLAGS register if the segment is visible at the CPL and readable; the
VERW sets the ZF flag if the segment is visible and writable. (Code segments are never writable.) The ZF flag is
cleared if any of these checks fail.
5 .1 0 .3 C h e ck in g T h a t t h e P o in t e r O ffse t I s Wit h in Lim it s (LS L I n st ru ct io n )
When the processor accesses any segment it performs a limit check to insure that the offset is within the limit of
the segment. Software can perform this limit check using the LSL (load segment limit) instruction. Like the LAR
instruction, the LSL instruction specifies the segment selector for the segment descriptor whose limit is to be
checked and a destination register. The instruction then performs the following operations:
1. Check that the segment selector is not null.
2. Checks that the segment selector points to a segment descriptor that is within the descriptor table limit (GDT
or LDT).
3. Checks that the segment descriptor is a code, data, LDT, or TSS segment-descriptor type.
4. If the segment is not a conforming code segment, checks if the segment descriptor is visible at the CPL (that
is, if the CPL and the RPL of the segment selector less than or equal to the DPL).
5. If the privilege level and type checks pass, loads the unscrambled limit (the limit scaled according to the setting
of the G flag in the segment descriptor) into the destination register and sets the ZF flag in the EFLAGS register.
If the segment selector is not visible at the current privilege level or is an invalid type for the LSL instruction,
the instruction does not modify the destination register and clears the ZF flag.
Once loaded in the destination register, software can compare the segment limit with the offset of a pointer.
5-26 Vol. 3A
PROTECTION
5 .1 0 .4 C h e ck in g C a lle r Acce ss P riv ile g e s (AR P L I n st ru ct io n )
The requestors privilege level (RPL) field of a segment selector is intended to carry the privilege level of a calling
procedure (the calling procedures CPL) to a called procedure. The called procedure then uses the RPL to determine
if access to a segment is allowed. The RPL is said to weaken the privilege level of the called procedure to that of
the RPL.
Operating-system procedures typically use the RPL to prevent less privileged application programs from accessing
data located in more privileged segments. When an operating-system procedure (the called procedure) receives a
segment selector from an application program (the calling procedure), it sets the segment selectors RPL to the
privilege level of the calling procedure. Then, when the operating system uses the segment selector to access its
associated segment, the processor performs privilege checks using the calling procedures privilege level (stored in
the RPL) rather than the numerically lower privilege level (the CPL) of the operating-system procedure. The RPL
thus insures that the operating system does not access a segment on behalf of an application program unless that
program itself has access to the segment.
Figure 5-15 shows an example of how the processor uses the RPL field. In this example, an application program
(located in code segment A) possesses a segment selector (segment selector D1) that points to a privileged data
structure (that is, a data structure located in a data segment D at privilege level 0).
The application program cannot access data segment D, because it does not have sufficient privilege, but the oper-
ating system (located in code segment C) can. So, in an attempt to access data segment D, the application
program executes a call to the operating system and passes segment selector D1 to the operating system as a
parameter on the stack. Before passing the segment selector, the (well behaved) application program sets the RPL
of the segment selector to its current privilege level (which in this example is 3). If the operating system attempts
to access data segment D using segment selector D1, the processor compares the CPL (which is now 0 following
the call), the RPL of segment selector D1, and the DPL of data segment D (which is 0). Since the RPL is greater than
the DPL, access to data segment D is denied. The processors protection mechanism thus protects data segment D
from access by the operating system, because application programs privilege level (represented by the RPL of
segment selector B) is greater than the DPL of data segment D.
F ig u re 5 -1 5 . U se o f R P L t o We a ke n P riv ile g e Le v e l o f C a lle d P ro ce d u re
Passed as a
parameter on
the stack.
Access
allowed
Access
allowed
Application Program
Operating
System
Lowest Privilege
Highest Privilege
3
2
1
0
Data
Segment D
not
Segment Sel. D1
RPL=3
Segment Sel. D2
RPL=0
Gate Selector B
RPL=3
Code
Segment A
CPL=3
Code
Segment C
DPL=0
Call
Gate B
DPL=3
DPL=0
Vol. 3A 5-27
PROTECTION
Now assume that instead of setting the RPL of the segment selector to 3, the application program sets the RPL to
0 (segment selector D2). The operating system can now access data segment D, because its CPL and the RPL of
segment selector D2 are both equal to the DPL of data segment D.
Because the application program is able to change the RPL of a segment selector to any value, it can potentially use
a procedure operating at a numerically lower privilege level to access a protected data structure. This ability to
lower the RPL of a segment selector breaches the processors protection mechanism.
Because a called procedure cannot rely on the calling procedure to set the RPL correctly, operating-system proce-
dures (executing at numerically lower privilege-levels) that receive segment selectors from numerically higher
privilege-level procedures need to test the RPL of the segment selector to determine if it is at the appropriate level.
The ARPL (adjust requested privilege level) instruction is provided for this purpose. This instruction adjusts the RPL
of one segment selector to match that of another segment selector.
The example in Figure 5-15 demonstrates how the ARPL instruction is intended to be used. When the operating-
system receives segment selector D2 from the application program, it uses the ARPL instruction to compare the
RPL of the segment selector with the privilege level of the application program (represented by the code-segment
selector pushed onto the stack). If the RPL is less than application programs privilege level, the ARPL instruction
changes the RPL of the segment selector to match the privilege level of the application program (segment selector
D1). Using this instruction thus prevents a procedure running at a numerically higher privilege level from
accessing numerically lower privilege-level (more privileged) segments by lowering the RPL of a segment selector.
Note that the privilege level of the application program can be determined by reading the RPL field of the segment
selector for the application-programs code segment. This segment selector is stored on the stack as part of the call
to the operating system. The operating system can copy the segment selector from the stack into a register for
use as an operand for the ARPL instruction.
5 .1 0 .5 C h e ck in g Alig n m e n t
When the CPL is 3, alignment of memory references can be checked by setting the AM flag in the CR0 register and
the AC flag in the EFLAGS register. Unaligned memory references generate alignment exceptions (#AC). The
processor does not generate alignment exceptions when operating at privilege level 0, 1, or 2. See Table 6-7 for a
description of the alignment requirements when alignment checking is enabled.
5 .1 1 P AG E -LE VE L P R O T E C T I O N
Page-level protection can be used alone or applied to segments. When page-level protection is used with the flat
memory model, it allows supervisor code and data (the operating system or executive) to be protected from user
code and data (application programs). It also allows pages containing code to be write protected. When the
segment- and page-level protection are combined, page-level read/write protection allows more protection granu-
larity within segments.
With page-level protection (as with segment-level protection) each memory reference is checked to verify that
protection checks are satisfied. All checks are made before the memory cycle is started, and any violation prevents
the cycle from starting and results in a page-fault exception being generated. Because checks are performed in
parallel with address translation, there is no performance penalty.
The processor performs two page-level protection checks:
Restriction of addressable domain (supervisor and user modes).
Page type (read only or read/write).
Violations of either of these checks results in a page-fault exception being generated. See Chapter 6, Interrupt
14Page-Fault Exception (#PF), for an explanation of the page-fault exception mechanism. This chapter
describes the protection violations which lead to page-fault exceptions.
5-28 Vol. 3A
PROTECTION
5 .1 1 .1 P a g e -P ro t e ct io n F la g s
Protection information for pages is contained in two flags in a paging-structure entry (see Chapter 4): the
read/write flag (bit 1) and the user/supervisor flag (bit 2). The protection checks use the flags in all paging struc-
tures.
5 .1 1 .2 R e st rict in g Ad d re ssa b le D o m a in
The page-level protection mechanism allows restricting access to pages based on two privilege levels:
Supervisor mode (U/S flag is 0)(Most privileged) For the operating system or executive, other system
software (such as device drivers), and protected system data (such as page tables).
User mode (U/S flag is 1)(Least privileged) For application code and data.
The segment privilege levels map to the page privilege levels as follows. If the processor is currently operating at
a CPL of 0, 1, or 2, it is in supervisor mode; if it is operating at a CPL of 3, it is in user mode. When the processor is
in supervisor mode, it can access all pages; when in user mode, it can access only user-level pages. (Note that the
WP flag in control register CR0 modifies the supervisor permissions, as described in Section 5.11.3, Page Type.)
Note that to use the page-level protection mechanism, code and data segments must be set up for at least two
segment-based privilege levels: level 0 for supervisor code and data segments and level 3 for user code and data
segments. (In this model, the stacks are placed in the data segments.) To minimize the use of segments, a flat
memory model can be used (see Section 3.2.1, Basic Flat Model).
Here, the user and supervisor code and data segments all begin at address zero in the linear address space and
overlay each other. With this arrangement, operating-system code (running at the supervisor level) and application
code (running at the user level) can execute as if there are no segments. Protection between operating-system and
application code and data is provided by the processors page-level protection mechanism.
5 .1 1 .3 P a g e T y p e
The page-level protection mechanism recognizes two page types:
Read-only access (R/W flag is 0).
Read/write access (R/W flag is 1).
When the processor is in supervisor mode and the WP flag in register CR0 is clear (its state following reset initial-
ization), all pages are both readable and writable (write-protection is ignored). When the processor is in user
mode, it can write only to user-mode pages that are read/write accessible. User-mode pages which are read/write
or read-only are readable; supervisor-mode pages are neither readable nor writable from user mode. A page-fault
exception is generated on any attempt to violate the protection rules.
Starting with the P6 family, Intel processors allow user-mode pages to be write-protected against supervisor-mode
access. Setting CR0.WP = 1 enables supervisor-mode sensitivity to write protected pages. If CR0.WP = 1, read-
only pages are not writable from any privilege level. This supervisor write-protect feature is useful for imple-
menting a copy-on-write strategy used by some operating systems, such as UNIX*, for task creation (also called
forking or spawning). When a new task is created, it is possible to copy the entire address space of the parent task.
This gives the child task a complete, duplicate set of the parent's segments and pages. An alternative copy-on-
write strategy saves memory space and time by mapping the child's segments and pages to the same segments
and pages used by the parent task. A private copy of a page gets created only when one of the tasks writes to the
page. By using the WP flag and marking the shared pages as read-only, the supervisor can detect an attempt to
write to a page, and can copy the page at that time.
5 .1 1 .4 C o m b in in g P ro t e ct io n o f B o t h Le v e ls o f P a g e Ta b le s
For any one page, the protection attributes of its page-directory entry (first-level page table) may differ from those
of its page-table entry (second-level page table). The processor checks the protection for a page in both its page-
directory and the page-table entries. Table 5-3 shows the protection provided by the possible combinations of
protection attributes when the WP flag is clear.
Vol. 3A 5-29
PROTECTION
5 .1 1 .5 O v e rrid e s t o P a g e P ro t e ct io n
The following types of memory accesses are checked as if they are privilege-level 0 accesses, regardless of the CPL
at which the processor is currently operating:
Access to segment descriptors in the GDT, LDT, or IDT.
Access to an inner-privilege-level stack during an inter-privilege-level call or a call to in exception or interrupt
handler, when a change of privilege level occurs.
5 .1 2 C O MB I N I N G P AG E AN D S E G ME N T P R O T E C T I O N
When paging is enabled, the processor evaluates segment protection first, then evaluates page protection. If the
processor detects a protection violation at either the segment level or the page level, the memory access is not
carried out and an exception is generated. If an exception is generated by segmentation, no paging exception is
generated.
Page-level protections cannot be used to override segment-level protection. For example, a code segment is by
definition not writable. If a code segment is paged, setting the R/W flag for the pages to read-write does not make
the pages writable. Attempts to write into the pages will be blocked by segment-level protection checks.
Page-level protection can be used to enhance segment-level protection. For example, if a large read-write data
segment is paged, the page-protection mechanism can be used to write-protect individual pages.
Ta b le 5 -3 . C o m b in e d P a g e -D ire ct o ry a n d P a g e -Ta b le P ro t e ct io n
P a g e -D ire cto ry E n t ry P a g e -Ta b le E n t ry C o m b in e d E ffe ct
P riv ile g e Acce ss T y p e P riv ile g e Acce ss T y p e P riv ile g e Acce ss T y p e
User Read-Only User Read-Only User Read-Only
User Read-Only User Read-Write User Read-Only
User Read-Write User Read-Only User Read-Only
User Read-Write User Read-Write User Read/Write
User Read-Only Supervisor Read-Only Supervisor Read/Write*
User Read-Only Supervisor Read-Write Supervisor Read/Write*
User Read-Write Supervisor Read-Only Supervisor Read/Write*
User Read-Write Supervisor Read-Write Supervisor Read/Write
Supervisor Read-Only User Read-Only Supervisor Read/Write*
Supervisor Read-Only User Read-Write Supervisor Read/Write*
Supervisor Read-Write User Read-Only Supervisor Read/Write*
Supervisor Read-Write User Read-Write Supervisor Read/Write
Supervisor Read-Only Supervisor Read-Only Supervisor Read/Write*
Supervisor Read-Only Supervisor Read-Write Supervisor Read/Write*
Supervisor Read-Write Supervisor Read-Only Supervisor Read/Write*
Supervisor Read-Write Supervisor Read-Write Supervisor Read/Write
N O T E :
* If CR0.WP =1, access type is determined by the R/W flags of the page-directory and page-table entries. IF CR0.WP =0, supervisor
privilege permits read-write access.
5-30 Vol. 3A
PROTECTION
5 .1 3 P AG E -LE VE L P R O T E C T I O N AN D E XE C U T E -D I S AB LE B I T
In addition to page-level protection offered by the U/S and R/W flags, paging structures used with PAE paging and
IA-32e paging (see Chapter 4) provide the execute-disable bit. This bit offers additional protection for data pages.
An Intel 64 or IA-32 processor with the execute-disable bit capability can prevent data pages from being used by
malicious software to execute code. This capability is provided in:
32-bit protected mode with PAE enabled.
IA-32e mode.
While the execute-disable bit capability does not introduce new instructions, it does require operating systems to
use a PAE-enabled environment and establish a page-granular protection policy for memory pages.
If the execute-disable bit of a memory page is set, that page can be used only as data. An attempt to execute code
from a memory page with the execute-disable bit set causes a page-fault exception.
The execute-disable capability is supported only with PAE paging and IA-32e paging. It is not supported with 32-bit
paging. Existing page-level protection mechanisms (see Section 5.11, Page-Level Protection) continue to apply
to memory pages independent of the execute-disable setting.
5 .1 3 .1 D e t e ct in g a n d E n a b lin g t h e E xe cu t e -D isa b le C a p a b ilit y
Software can detect the presence of the execute-disable capability using the CPUID instruction.
CPUID.80000001H:EDX.NX [bit 20] = 1 indicates the capability is available.
If the capability is available, software can enable it by setting IA32_EFER.NXE[bit 11] to 1. IA32_EFER is available
if CPUID.80000001H.EDX[bit 20 or 29] = 1.
If the execute-disable capability is not available, a write to set IA32_EFER.NXE produces a #GP exception. See
Table 5-4.
5 .1 3 .2 E xe cu t e -D isa b le P a g e P ro t e ct io n
The execute-disable bit in the paging structures enhances page protection for data pages. Instructions cannot be
fetched from a memory page if IA32_EFER.NXE =1 and the execute-disable bit is set in any of the paging-structure
entries used to map the page. Table 5-5 lists the valid usage of a page in relation to the value of execute-disable bit
(bit 63) of the corresponding entry in each level of the paging structures. Execute-disable protection can be acti-
vated using the execute-disable bit at any level of the paging structure, irrespective of the corresponding entry in
other levels. When execute-disable protection is not activated, the page can be used as code or data.
Ta b le 5 -4 . E x t e n d e d F e a t u re E n a b le MS R (I A3 2 _E F E R )
6 3 :1 2 1 1 1 0 9 8 7 :1 0
Reserved Execute-disable bit
enable (NXE)
IA-32e mode
active (LMA)
Reserved IA-32e mode
enable (LME)
Reserved SysCall enable (SCE)
Vol. 3A 5-31
PROTECTION
In legacy PAE-enabled mode, Table 5-6 and Table 5-7 show the effect of setting the execute-disable bit for code
and data pages.

5 .1 3 .3 R e se rv e d B it C h e ck in g
The processor enforces reserved bit checking in paging data structure entries. The bits being checked varies with
paging mode and may vary with the size of physical address space.
Table 5-8 shows the reserved bits that are checked when the execute disable bit capability is enabled (CR4.PAE = 1
and IA32_EFER.NXE = 1). Table 5-8 and Table 5-9 show the following paging modes:
Non-PAE 4-KByte paging: 4-KByte-page only paging (CR4.PAE = 0, CR4.PSE = 0).
PSE36: 4-KByte and 4-MByte pages (CR4.PAE = 0, CR4.PSE = 1).
PAE: 4-KByte and 2-MByte pages (CR4.PAE = 1, CR4.PSE = X).
The reserved bit checking depends on the physical address size supported by the implementation, which is
reported in CPUID.80000008H. See the table note.
Ta b le 5 -5 . I A-3 2 e Mo d e P a g e Le v e l P ro t e ct io n Ma t rix
w it h E xe cu t e -D isa b le B it C a p a b ilit y
E xe cu t e D isa b le B it Va lu e (B it 6 3 ) Va lid U sa g e
PML4 PDP PDE PTE
Bit 63 =1 * * * Data
* Bit 63 =1 * * Data
* * Bit 63 =1 * Data
* * * Bit 63 =1 Data
Bit 63 =0 Bit 63 =0 Bit 63 =0 Bit 63 =0 Data/Code
N O T E S :
* Value not checked.
Ta b le 5 -6 . Le g a cy P AE -E n a b le d 4 -K B y t e P a g e Le v e l P ro t e ct io n Ma t rix
w it h E xe cu t e -D isa b le B it C a p a b ilit y
E xe cu t e D isa b le B it Va lu e (B it 6 3 ) Va lid U sa g e
PDE PTE
Bit 63 =1 * Data
* Bit 63 =1 Data
Bit 63 =0 Bit 63 =0 Data/Code
N O T E :
* Value not checked.
Ta b le 5 -7. Le g a cy P AE -E n a b le d 2 -MB y t e P a g e Le v e l P ro t e ct io n
w it h E xe cu t e -D isa b le B it C a p a b ilit y
E xe cu t e D isa b le B it Va lu e (B it 6 3 ) Va lid U sa g e
PDE
Bit 63 =1 Data
Bit 63 =0 Data/Code
5-32 Vol. 3A
PROTECTION
If execute disable bit capability is not enabled or not available, reserved bit checking in 64-bit mode includes bit 63
and additional bits. This and reserved bit checking for legacy 32-bit paging modes are shown in Table 5-10.

5 .1 3 .4 E x ce p t io n H a n d lin g
When execute disable bit capability is enabled (IA32_EFER.NXE = 1), conditions for a page fault to occur include
the same conditions that apply to an Intel 64 or IA-32 processor without execute disable bit capability plus the
following new condition: an instruction fetch to a linear address that translates to physical address in a memory
page that has the execute-disable bit set.
Ta b le 5 -8 . I A-3 2 e Mo d e P a g e Le v e l P ro t e ct io n Ma t rix w it h E xe cu t e -D isa b le B it C a p a b ilit y E n a b le d
Mo d e P a g in g Mo d e C h e ck B it s
32-bit 4-KByte paging (non-PAE) No reserved bits checked
PSE36 - PDE, 4-MByte page Bit [21]
PSE36 - PDE, 4-KByte page No reserved bits checked
PSE36 - PTE No reserved bits checked
PAE - PDP table entry Bits [63:MAXPHYADDR] & [8:5] & [2:1] *
PAE - PDE, 2-MByte page Bits [62:MAXPHYADDR] & [20:13] *
PAE - PDE, 4-KByte page Bits [62:MAXPHYADDR] *
PAE - PTE Bits [62:MAXPHYADDR] *
64-bit PML4E Bits [51:MAXPHYADDR] *
PDPTE Bits [51:MAXPHYADDR] *
PDE, 2-MByte page Bits [51:MAXPHYADDR] & [20:13] *
PDE, 4-KByte page Bits [51:MAXPHYADDR] *
PTE Bits [51:MAXPHYADDR] *
N O T E S :
* MAXPHYADDR is the maximum physical address size and is indicated by CPUID.80000008H:EAX[bits 7-0].
Ta b le 5 -9 . R e se rv e d B it C h e ck in g WI t h E xe cu t e -D isa b le B it C a p a b ilit y N o t E n a b le d
Mo d e P a g in g Mo d e C h e ck B it s
32-bit KByte paging (non-PAE) No reserved bits checked
PSE36 - PDE, 4-MByte page Bit [21]
PSE36 - PDE, 4-KByte page No reserved bits checked
PSE36 - PTE No reserved bits checked
PAE - PDP table entry Bits [63:MAXPHYADDR] & [8:5] & [2:1]*
PAE - PDE, 2-MByte page Bits [63:MAXPHYADDR] & [20:13]*
PAE - PDE, 4-KByte page Bits [63:MAXPHYADDR]*
PAE - PTE Bits [63:MAXPHYADDR]*
64-bit PML4E Bit [63], bits [51:MAXPHYADDR]*
PDPTE Bit [63], bits [51:MAXPHYADDR]*
PDE, 2-MByte page Bit [63], bits [51:MAXPHYADDR] & [20:13]*
PDE, 4-KByte page Bit [63], bits [51:MAXPHYADDR]*
PTE Bit [63], bits [51:MAXPHYADDR]*
N O T E S :
* MAXPHYADDR is the maximum physical address size and is indicated by CPUID.80000008H:EAX[bits 7-0].
Vol. 3A 5-33
PROTECTION
An Execute Disable Bit page fault can occur at all privilege levels. It can occur on any instruction fetch, including
(but not limited to): near branches, far branches, CALL/RET/INT/IRET execution, sequential instruction fetches,
and task switches. The execute-disable bit in the page translation mechanism is checked only when:
IA32_EFER.NXE = 1.
The instruction translation look-aside buffer (ITLB) is loaded with a page that is not already present in the ITLB.
5-34 Vol. 3A
PROTECTION
Vol. 3A 6-1
C H AP T E R 6
I N T E R R U P T AN D E XC E P T I O N H AN D LI N G
This chapter describes the interrupt and exception-handling mechanism when operating in protected mode on an
Intel 64 or IA-32 processor. Most of the information provided here also applies to interrupt and exception mecha-
nisms used in real-address, virtual-8086 mode, and 64-bit mode.
Chapter 20, 8086 Emulation, describes information specific to interrupt and exception mechanisms in real-
address and virtual-8086 mode. Section 6.14, Exception and Interrupt Handling in 64-bit Mode, describes infor-
mation specific to interrupt and exception mechanisms in IA-32e mode and 64-bit sub-mode.
6 .1 I N T E R R U P T AN D E XC E P T I O N O VE R VI E W
Interrupts and exceptions are events that indicate that a condition exists somewhere in the system, the processor,
or within the currently executing program or task that requires the attention of a processor. They typically result in
a forced transfer of execution from the currently running program or task to a special software routine or task
called an interrupt handler or an exception handler. The action taken by a processor in response to an interrupt or
exception is referred to as servicing or handling the interrupt or exception.
Interrupts occur at random times during the execution of a program, in response to signals from hardware. System
hardware uses interrupts to handle events external to the processor, such as requests to service peripheral devices.
Software can also generate interrupts by executing the INT n instruction.
Exceptions occur when the processor detects an error condition while executing an instruction, such as division by
zero. The processor detects a variety of error conditions including protection violations, page faults, and internal
machine faults. The machine-check architecture of the Pentium 4, Intel Xeon, P6 family, and Pentium processors
also permits a machine-check exception to be generated when internal hardware errors and bus errors are
detected.
When an interrupt is received or an exception is detected, the currently running procedure or task is suspended
while the processor executes an interrupt or exception handler. When execution of the handler is complete, the
processor resumes execution of the interrupted procedure or task. The resumption of the interrupted procedure or
task happens without loss of program continuity, unless recovery from an exception was not possible or an inter-
rupt caused the currently running program to be terminated.
This chapter describes the processors interrupt and exception-handling mechanism, when operating in protected
mode. A description of the exceptions and the conditions that cause them to be generated is given at the end of this
chapter.
6 .2 E XC E P T I O N AN D I N T E R R U P T VE C T O R S
To aid in handling exceptions and interrupts, each architecturally defined exception and each interrupt condition
requiring special handling by the processor is assigned a unique identification number, called a vector number. The
processor uses the vector number assigned to an exception or interrupt as an index into the interrupt descriptor
table (IDT). The table provides the entry point to an exception or interrupt handler (see Section 6.10, Interrupt
Descriptor Table (IDT)).
The allowable range for vector numbers is 0 to 255. Vector numbers in the range 0 through 31 are reserved by the
Intel 64 and IA-32 architectures for architecture-defined exceptions and interrupts. Not all of the vector numbers
in this range have a currently defined function. The unassigned vector numbers in this range are reserved. Do not
use the reserved vector numbers.
Vector numbers in the range 32 to 255 are designated as user-defined interrupts and are not reserved by the Intel
64 and IA-32 architecture. These interrupts are generally assigned to external I/O devices to enable those devices
to send interrupts to the processor through one of the external hardware interrupt mechanisms (see Section 6.3,
Sources of Interrupts).
6-2 Vol. 3A
INTERRUPT AND EXCEPTION HANDLING
Table 6-1 shows vector number assignments for architecturally defined exceptions and for the NMI interrupt. This
table gives the exception type (see Section 6.5, Exception Classifications) and indicates whether an error code is
saved on the stack for the exception. The source of each predefined exception and the NMI interrupt is also given.
6 .3 S O U R C E S O F I N T E R R U P T S
The processor receives interrupts from two sources:
External (hardware generated) interrupts.
Software-generated interrupts.
6 .3 .1 E x t e rn a l I n t e rru p t s
External interrupts are received through pins on the processor or through the local APIC. The primary interrupt pins
on Pentium 4, Intel Xeon, P6 family, and Pentium processors are the LINT[1:0] pins, which are connected to the
local APIC (see Chapter 10, Advanced Programmable Interrupt Controller (APIC)). When the local APIC is
enabled, the LINT[1:0] pins can be programmed through the APICs local vector table (LVT) to be associated with
any of the processors exception or interrupt vectors.
When the local APIC is global/hardware disabled, these pins are configured as INTR and NMI pins, respectively.
Asserting the INTR pin signals the processor that an external interrupt has occurred. The processor reads from the
system bus the interrupt vector number provided by an external interrupt controller, such as an 8259A (see Section
6.2, Exception and Interrupt Vectors). Asserting the NMI pin signals a non-maskable interrupt (NMI), which is
assigned to interrupt vector 2.
Ta b le 6 -1 . P ro t e ct e d -Mo d e E x ce p t io n s a n d I n t e rru p t s
Ve cto r
N o .
Mn e -
m o n ic
D e scrip t io n T y p e E rro r
C o d e
S o u rce
0 #DE Divide Error Fault No DIV and IDIV instructions.
1 #DB RESERVED Fault/ Trap No For Intel use only.
2 NMI Interrupt Interrupt No Nonmaskable external interrupt.
3 #BP Breakpoint Trap No INT 3 instruction.
4 #OF Overflow Trap No INTO instruction.
5 #BR BOUND Range Exceeded Fault No BOUND instruction.
6 #UD Invalid Opcode (Undefined Opcode) Fault No UD2 instruction or reserved opcode.
1
7 #NM Device Not Available (No Math
Coprocessor)
Fault No Floating-point or WAIT/FWAIT instruction.
8 #DF Double Fault Abort Yes
(zero)
Any instruction that can generate an
exception, an NMI, or an INTR.
9 Coprocessor Segment Overrun
(reserved)
Fault No Floating-point instruction.
2
10 #TS Invalid TSS Fault Yes Task switch or TSS access.
11 #NP Segment Not Present Fault Yes Loading segment registers or accessing
system segments.
12 #SS Stack-Segment Fault Fault Yes Stack operations and SS register loads.
13 #GP General Protection Fault Yes Any memory reference and other
protection checks.
14 #PF Page Fault Fault Yes Any memory reference.
15 (Intel reserved. Do not use.) No
Vol. 3A 6-3
INTERRUPT AND EXCEPTION HANDLING
The processors local APIC is normally connected to a system-based I/O APIC. Here, external interrupts received at
the I/O APICs pins can be directed to the local APIC through the system bus (Pentium 4, Intel Core Duo, Intel Core
2, Intel

Atom, and Intel Xeon processors) or the APIC serial bus (P6 family and Pentium processors). The I/O
APIC determines the vector number of the interrupt and sends this number to the local APIC. When a system
contains multiple processors, processors can also send interrupts to one another by means of the system bus
(Pentium 4, Intel Core Duo, Intel Core 2, Intel Atom, and Intel Xeon processors) or the APIC serial bus (P6 family
and Pentium processors).
The LINT[1:0] pins are not available on the Intel486 processor and earlier Pentium processors that do not contain
an on-chip local APIC. These processors have dedicated NMI and INTR pins. With these processors, external inter-
rupts are typically generated by a system-based interrupt controller (8259A), with the interrupts being signaled
through the INTR pin.
Note that several other pins on the processor can cause a processor interrupt to occur. However, these interrupts
are not handled by the interrupt and exception mechanism described in this chapter. These pins include the
RESET#, FLUSH#, STPCLK#, SMI#, R/S#, and INIT# pins. Whether they are included on a particular processor is
implementation dependent. Pin functions are described in the data books for the individual processors. The SMI#
pin is described in Chapter 34, System Management Mode.
6 .3 .2 Ma ska b le H a rd w a re I n t e rru p t s
Any external interrupt that is delivered to the processor by means of the INTR pin or through the local APIC is called
a maskable hardware interrupt. Maskable hardware interrupts that can be delivered through the INTR pin include
all IA-32 architecture defined interrupt vectors from 0 through 255; those that can be delivered through the local
APIC include interrupt vectors 16 through 255.
The IF flag in the EFLAGS register permits all maskable hardware interrupts to be masked as a group (see Section
6.8.1, Masking Maskable Hardware Interrupts). Note that when interrupts 0 through 15 are delivered through the
local APIC, the APIC indicates the receipt of an illegal vector.
16 #MF x87 FPU Floating-Point Error (Math
Fault)
Fault No x87 FPU floating-point or WAIT/FWAIT
instruction.
17 #AC Alignment Check Fault Yes
(Zero)
Any data reference in memory.
3
18 #MC Machine Check Abort No Error codes (if any) and source are model
dependent.
4
19 #XM SIMD Floating-Point Exception Fault No SSE/SSE2/SSE3 floating-point
instructions
5
20 #VE Virtualization Exception Fault No EPT violations
6
21-31 Intel reserved. Do not use.
32-255 User Defined (Non-reserved)
Interrupts
Interrupt External interrupt or INT n instruction.
N O T E S :
1. The UD2 instruction was introduced in the PentiumPro processor.
2. Processors after the Intel386 processor do not generate this exception.
3. This exception was introduced in the Intel486 processor.
4. This exception was introduced in the Pentiumprocessor and enhanced in the P6 family processors.
5. This exception was introduced in the PentiumIII processor.
6. This exception can occur only on processors that support the 1-setting of the EPT-violation #VE VM-execution control.
Ta b le 6 -1 . P ro t e ct e d -Mo d e E x ce p t io n s a n d I n t e rru p t s (C o n t d .)
6-4 Vol. 3A
INTERRUPT AND EXCEPTION HANDLING
6 .3 .3 S o ft w a re -G e n e ra t e d I n t e rru p t s
The INT n instruction permits interrupts to be generated from within software by supplying an interrupt vector
number as an operand. For example, the INT 35 instruction forces an implicit call to the interrupt handler for inter-
rupt 35.
Any of the interrupt vectors from 0 to 255 can be used as a parameter in this instruction. If the processors
predefined NMI vector is used, however, the response of the processor will not be the same as it would be from an
NMI interrupt generated in the normal manner. If vector number 2 (the NMI vector) is used in this instruction, the
NMI interrupt handler is called, but the processors NMI-handling hardware is not activated.
Interrupts generated in software with the INT n instruction cannot be masked by the IF flag in the EFLAGS register.
6 .4 S O U R C E S O F E XC E P T I O N S
The processor receives exceptions from three sources:
Processor-detected program-error exceptions.
Software-generated exceptions.
Machine-check exceptions.
6 .4 .1 P ro g ra m -E rro r E x ce p t io n s
The processor generates one or more exceptions when it detects program errors during the execution in an appli-
cation program or the operating system or executive. Intel 64 and IA-32 architectures define a vector number for
each processor-detectable exception. Exceptions are classified as faults, traps, and aborts (see Section 6.5,
Exception Classifications).
6 .4 .2 S o ft w a re -G e n e ra t e d E x ce p t io n s
The INTO, INT 3, and BOUND instructions permit exceptions to be generated in software. These instructions allow
checks for exception conditions to be performed at points in the instruction stream. For example, INT 3 causes a
breakpoint exception to be generated.
The INT n instruction can be used to emulate exceptions in software; but there is a limitation. If INT n provides a
vector for one of the architecturally-defined exceptions, the processor generates an interrupt to the correct vector
(to access the exception handler) but does not push an error code on the stack. This is true even if the associated
hardware-generated exception normally produces an error code. The exception handler will still attempt to pop an
error code from the stack while handling the exception. Because no error code was pushed, the handler will pop off
and discard the EIP instead (in place of the missing error code). This sends the return to the wrong location.
6 .4 .3 Ma ch in e -C h e ck E x ce p t io n s
The P6 family and Pentium processors provide both internal and external machine-check mechanisms for checking
the operation of the internal chip hardware and bus transactions. These mechanisms are implementation depen-
dent. When a machine-check error is detected, the processor signals a machine-check exception (vector 18) and
returns an error code.
See Chapter 6, Interrupt 18Machine-Check Exception (#MC) and Chapter 15, Machine-Check Architecture,
for more information about the machine-check mechanism.
6 .5 E XC E P T I O N C LAS S I F I C AT I O N S
Exceptions are classified as faults, traps, or aborts depending on the way they are reported and whether the
instruction that caused the exception can be restarted without loss of program or task continuity.
Vol. 3A 6-5
INTERRUPT AND EXCEPTION HANDLING
Faults A fault is an exception that can generally be corrected and that, once corrected, allows the program
to be restarted with no loss of continuity. When a fault is reported, the processor restores the machine state to
the state prior to the beginning of execution of the faulting instruction. The return address (saved contents of
the CS and EIP registers) for the fault handler points to the faulting instruction, rather than to the instruction
following the faulting instruction.
Traps A trap is an exception that is reported immediately following the execution of the trapping instruction.
Traps allow execution of a program or task to be continued without loss of program continuity. The return
address for the trap handler points to the instruction to be executed after the trapping instruction.
Aborts An abort is an exception that does not always report the precise location of the instruction causing
the exception and does not allow a restart of the program or task that caused the exception. Aborts are used
to report severe errors, such as hardware errors and inconsistent or illegal values in system tables.
N O T E
One exception subset normally reported as a fault is not restartable. Such exceptions result in loss
of some processor state. For example, executing a POPAD instruction where the stack frame
crosses over the end of the stack segment causes a fault to be reported. In this situation, the
exception handler sees that the instruction pointer (CS:EIP) has been restored as if the POPAD
instruction had not been executed. However, internal processor state (the general-purpose
registers) will have been modified. Such cases are considered programming errors. An application
causing this class of exceptions should be terminated by the operating system.
6 .6 P R O G R AM O R TAS K R E S T AR T
To allow the restarting of program or task following the handling of an exception or an interrupt, all exceptions
(except aborts) are guaranteed to report exceptions on an instruction boundary. All interrupts are guaranteed to be
taken on an instruction boundary.
For fault-class exceptions, the return instruction pointer (saved when the processor generates an exception) points
to the faulting instruction. So, when a program or task is restarted following the handling of a fault, the faulting
instruction is restarted (re-executed). Restarting the faulting instruction is commonly used to handle exceptions
that are generated when access to an operand is blocked. The most common example of this type of fault is a page-
fault exception (#PF) that occurs when a program or task references an operand located on a page that is not in
memory. When a page-fault exception occurs, the exception handler can load the page into memory and resume
execution of the program or task by restarting the faulting instruction. To insure that the restart is handled trans-
parently to the currently executing program or task, the processor saves the necessary registers and stack pointers
to allow a restart to the state prior to the execution of the faulting instruction.
For trap-class exceptions, the return instruction pointer points to the instruction following the trapping instruction.
If a trap is detected during an instruction which transfers execution, the return instruction pointer reflects the
transfer. For example, if a trap is detected while executing a JMP instruction, the return instruction pointer points
to the destination of the JMP instruction, not to the next address past the JMP instruction. All trap exceptions allow
program or task restart with no loss of continuity. For example, the overflow exception is a trap exception. Here,
the return instruction pointer points to the instruction following the INTO instruction that tested EFLAGS.OF (over-
flow) flag. The trap handler for this exception resolves the overflow condition. Upon return from the trap handler,
program or task execution continues at the instruction following the INTO instruction.
The abort-class exceptions do not support reliable restarting of the program or task. Abort handlers are designed
to collect diagnostic information about the state of the processor when the abort exception occurred and then shut
down the application and system as gracefully as possible.
Interrupts rigorously support restarting of interrupted programs and tasks without loss of continuity. The return
instruction pointer saved for an interrupt points to the next instruction to be executed at the instruction boundary
where the processor took the interrupt. If the instruction just executed has a repeat prefix, the interrupt is taken
at the end of the current iteration with the registers set to execute the next iteration.
The ability of a P6 family processor to speculatively execute instructions does not affect the taking of interrupts by
the processor. Interrupts are taken at instruction boundaries located during the retirement phase of instruction
execution; so they are always taken in the in-order instruction stream. See Chapter 2, Intel 64 and IA-32
6-6 Vol. 3A
INTERRUPT AND EXCEPTION HANDLING
Architectures, in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 1, for more infor-
mation about the P6 family processors microarchitecture and its support for out-of-order instruction execution.
Note that the Pentium processor and earlier IA-32 processors also perform varying amounts of prefetching and
preliminary decoding. With these processors as well, exceptions and interrupts are not signaled until actual in-
order execution of the instructions. For a given code sample, the signaling of exceptions occurs uniformly when
the code is executed on any family of IA-32 processors (except where new exceptions or new opcodes have been
defined).
6 .7 N O N MAS K AB LE I N T E R R U P T (N MI )
The nonmaskable interrupt (NMI) can be generated in either of two ways:
External hardware asserts the NMI pin.
The processor receives a message on the system bus (Pentium 4, Intel Core Duo, Intel Core 2, Intel Atom, and
Intel Xeon processors) or the APIC serial bus (P6 family and Pentium processors) with a delivery mode NMI.
When the processor receives a NMI from either of these sources, the processor handles it immediately by calling
the NMI handler pointed to by interrupt vector number 2. The processor also invokes certain hardware conditions
to insure that no other interrupts, including NMI interrupts, are received until the NMI handler has completed
executing (see Section 6.7.1, Handling Multiple NMIs).
Also, when an NMI is received from either of the above sources, it cannot be masked by the IF flag in the EFLAGS
register.
It is possible to issue a maskable hardware interrupt (through the INTR pin) to vector 2 to invoke the NMI interrupt
handler; however, this interrupt will not truly be an NMI interrupt. A true NMI interrupt that activates the
processors NMI-handling hardware can only be delivered through one of the mechanisms listed above.
6 .7.1 H a n d lin g Mu lt ip le N MI s
While an NMI interrupt handler is executing, the processor blocks delivery of subsequent NMIs until the next execu-
tion of the IRET instruction. This blocking of NMIs prevents nested execution of the NMI handler. It is recommended
that the NMI interrupt handler be accessed through an interrupt gate to disable maskable hardware interrupts (see
Section 6.8.1, Masking Maskable Hardware Interrupts).
An execution of the IRET instruction unblocks NMIs even if the instruction causes a fault. For example, if the IRET
instruction executes with EFLAGS.VM = 1 and IOPL of less than 3, a general-protection exception is generated (see
Section 20.2.7, Sensitive Instructions). In such a case, NMIs are unmasked before the exception handler is
invoked.
6 .8 E N AB LI N G AN D D I S AB LI N G I N T E R R U P T S
The processor inhibits the generation of some interrupts, depending on the state of the processor and of the IF and
RF flags in the EFLAGS register, as described in the following sections.
6 .8 .1 Ma sk in g Ma ska b le H a rd w a re I n t e rru p t s
The IF flag can disable the servicing of maskable hardware interrupts received on the processors INTR pin or
through the local APIC (see Section 6.3.2, Maskable Hardware Interrupts). When the IF flag is clear, the
processor inhibits interrupts delivered to the INTR pin or through the local APIC from generating an internal inter-
rupt request; when the IF flag is set, interrupts delivered to the INTR or through the local APIC pin are processed
as normal external interrupts.
The IF flag does not affect non-maskable interrupts (NMIs) delivered to the NMI pin or delivery mode NMI
messages delivered through the local APIC, nor does it affect processor generated exceptions. As with the other
flags in the EFLAGS register, the processor clears the IF flag in response to a hardware reset.
Vol. 3A 6-7
INTERRUPT AND EXCEPTION HANDLING
The fact that the group of maskable hardware interrupts includes the reserved interrupt and exception vectors 0
through 32 can potentially cause confusion. Architecturally, when the IF flag is set, an interrupt for any of the
vectors from 0 through 32 can be delivered to the processor through the INTR pin and any of the vectors from 16
through 32 can be delivered through the local APIC. The processor will then generate an interrupt and call the
interrupt or exception handler pointed to by the vector number. So for example, it is possible to invoke the page-
fault handler through the INTR pin (by means of vector 14); however, this is not a true page-fault exception. It is
an interrupt. As with the INT n instruction (see Section 6.4.2, Software-Generated Exceptions), when an inter-
rupt is generated through the INTR pin to an exception vector, the processor does not push an error code on the
stack, so the exception handler may not operate correctly.
The IF flag can be set or cleared with the STI (set interrupt-enable flag) and CLI (clear interrupt-enable flag)
instructions, respectively. These instructions may be executed only if the CPL is equal to or less than the IOPL. A
general-protection exception (#GP) is generated if they are executed when the CPL is greater than the IOPL. (The
effect of the IOPL on these instructions is modified slightly when the virtual mode extension is enabled by setting
the VME flag in control register CR4: see Section 20.3, Interrupt and Exception Handling in Virtual-8086 Mode.
Behavior is also impacted by the PVI flag: see Section 20.4, Protected-Mode Virtual Interrupts.
The IF flag is also affected by the following operations:
The PUSHF instruction stores all flags on the stack, where they can be examined and modified. The POPF
instruction can be used to load the modified flags back into the EFLAGS register.
Task switches and the POPF and IRET instructions load the EFLAGS register; therefore, they can be used to
modify the setting of the IF flag.
When an interrupt is handled through an interrupt gate, the IF flag is automatically cleared, which disables
maskable hardware interrupts. (If an interrupt is handled through a trap gate, the IF flag is not cleared.)
See the descriptions of the CLI, STI, PUSHF, POPF, and IRET instructions in Chapter 3, Instruction Set Reference,
A-M, in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 2A, and Chapter 4, Instruc-
tion Set Reference, N-Z, in the Intel 64 and IA-32 Architectures Software Developers Manual, Volume 2B, for a
detailed description of the operations these instructions are allowed to perform on the IF flag.
6 .8 .2 Ma sk in g I n st ru ct io n B re a k p o in t s
The RF (resume) flag in the EFLAGS register controls the response of the processor to instruction-breakpoint condi-
tions (see the description of the RF flag in Section 2.3, System Flags and Fields in the EFLAGS Register).
When set, it prevents an instruction breakpoint from generating a debug exception (#DB); when clear, instruction
breakpoints will generate debug exceptions. The primary function of the RF flag is to prevent the processor from
going into a debug exception loop on an instruction-breakpoint. See Section 17.3.1.1, Instruction-Breakpoint
Exception Condition, for more information on the use of this flag.
6 .8 .3 Ma sk in g E x ce p t io n s a n d I n t e rru p t s Wh e n S w it ch in g S t a ck s
To switch to a different stack segment, software often uses a pair of instructions, for example:
MOV SS, AX
MOV ESP, StackTop
If an interrupt or exception occurs after the segment selector has been loaded into the SS register but before the
ESP register has been loaded, these two parts of the logical address into the stack space are inconsistent for the
duration of the interrupt or exception handler.
To prevent this situation, the processor inhibits interrupts, debug exceptions, and single-step trap exceptions after
either a MOV to SS instruction or a POP to SS instruction, until the instruction boundary following the next instruc-
tion is reached. All other faults may still be generated. If the LSS instruction is used to modify the contents of the
SS register (which is the recommended method of modifying this register), this problem does not occur.
6-8 Vol. 3A
INTERRUPT AND EXCEPTION HANDLING
6 .9 P R I O R I T Y AMO N G S I MU LT AN E O U S E XC E P T I O N S AN D I N T E R R U P T S
If more than one exception or interrupt is pending at an instruction boundary, the processor services them in a
predictable order. Table 6-2 shows the priority among classes of exception and interrupt sources.
While priority among these classes listed in Table 6-2 is consistent throughout the architecture, exceptions within
each class are implementation-dependent and may vary from processor to processor. The processor first services
Ta b le 6 -2 . P rio rit y Am o n g S im u lt a n e o u s E x ce p t io n s a n d I n t e rru p t s
P rio rit y D e scrip t io n
1 (Highest) Hardware Reset and Machine Checks
- RESET
- Machine Check
2 Trap on Task Switch
- T flag in TSS is set
3 External Hardware Interventions
- FLUSH
- STOPCLK
- SMI
- INIT
4 Traps on the Previous Instruction
- Breakpoints
- Debug Trap Exceptions (TF flag set or data/I-O breakpoint)
5 Nonmaskable Interrupts (NMI)
1
6 Maskable Hardware Interrupts
1
7 Code Breakpoint Fault
8 Faults fromFetching Next Instruction
- Code-Segment Limit Violation
- Code Page Fault
9 Faults fromDecoding the Next Instruction
- Instruction length >15 bytes
- Invalid Opcode
- Coprocessor Not Available
10 (Lowest) Faults on Executing an Instruction
- Overflow
- Bound error
- Invalid TSS
- Segment Not Present
- Stack fault
- General Protection
- Data Page Fault
- Alignment Check
- x87 FPU Floating-point exception
- SIMD floating-point exception
- Virtualization exception
N O T E
1. The Intel486 processor and earlier processors group nonmaskable and maskable interrupts in the same priority class.
Vol. 3A 6-9
INTERRUPT AND EXCEPTION HANDLING
a pending exception or interrupt from the class which has the highest priority, transferring execution to the first
instruction of the handler. Lower priority exceptions are discarded; lower priority interrupts are held pending.
Discarded exceptions are re-generated when the interrupt handler returns execution to the point in the program or
task where the exceptions and/or interrupts occurred.
6 .1 0 I N T E R R U P T D E S C R I P T O R TAB LE (I D T )
The interrupt descriptor table (IDT) associates each exception or interrupt vector with a gate descriptor for the
procedure or task used to service the associated exception or interrupt. Like the GDT and LDTs, the IDT is an array
of 8-byte descriptors (in protected mode). Unlike the GDT, the first entry of the IDT may contain a descriptor. To
form an index into the IDT, the processor scales the exception or interrupt vector by eight (the number of bytes in
a gate descriptor). Because there are only 256 interrupt or exception vectors, the IDT need not contain more than
256 descriptors. It can contain fewer than 256 descriptors, because descriptors are required only for the interrupt
and exception vectors that may occur. All empty descriptor slots in the IDT should have the present flag for the
descriptor set to 0.
The base addresses of the IDT should be aligned on an 8-byte boundary to maximize performance of cache line
fills. The limit value is expressed in bytes and is added to the base address to get the address of the last valid byte.
A limit value of 0 results in exactly 1 valid byte. Because IDT entries are always eight bytes long, the limit should
always be one less than an integral multiple of eight (that is, 8N 1).
The IDT may reside anywhere in the linear address space. As shown in Figure 6-1, the processor locates the IDT
using the IDTR register. This register holds both a 32-bit base address and 16-bit limit for the IDT.
The LIDT (load IDT register) and SIDT (store IDT register) instructions load and store the contents of the IDTR
register, respectively. The LIDT instruction loads the IDTR register with the base address and limit held in a
memory operand. This instruction can be executed only when the CPL is 0. It normally is used by the initialization
code of an operating system when creating an IDT. An operating system also may use it to change from one IDT to
another. The SIDT instruction copies the base and limit value stored in IDTR to memory. This instruction can be
executed at any privilege level.
If a vector references a descriptor beyond the limit of the IDT, a general-protection exception (#GP) is generated.
N O T E
Because interrupts are delivered to the processor core only once, an incorrectly configured IDT
could result in incomplete interrupt handling and/or the blocking of interrupt delivery.
IA-32 architecture rules need to be followed for setting up IDTR base/limit/access fields and each
field in the gate descriptors. The same apply for the Intel 64 architecture. This includes implicit
referencing of the destination code segment through the GDT or LDT and accessing the stack.
6-10 Vol. 3A
INTERRUPT AND EXCEPTION HANDLING
6 .1 1 I D T D E S C R I P T O R S
The IDT may contain any of three kinds of gate descriptors:
Task-gate descriptor
Interrupt-gate descriptor
Trap-gate descriptor
Figure 6-2 shows the formats for the task-gate, interrupt-gate, and trap-gate descriptors. The format of a task
gate used in an IDT is the same as that of a task gate used in the GDT or an LDT (see Section 7.2.5, Task-Gate
Descriptor). The task gate contains the segment selector for a TSS for an exception and/or interrupt handler task.
Interrupt and trap gates are very similar to call gates (see Section 5.8.3, Call Gates). They contain a far pointer
(segment selector and offset) that the processor uses to transfer program execution to a handler procedure in an
exception- or interrupt-handler code segment. These gates differ in the way the processor handles the IF flag in the
EFLAGS register (see Section 6.12.1.2, Flag Usage By Exception- or Interrupt-Handler Procedure).
F ig u re 6 -1 . R e la t io n sh ip o f t h e I D T R a n d I D T
IDT Limit IDT Base Address
+
Interrupt
Descriptor Table (IDT)
Gate for
0
IDTR Register
Interrupt #n
Gate for
Interrupt #3
Gate for
Interrupt #2
Gate for
Interrupt #1
15 16 47
0 31
0
8
16
(n1)8
Vol. 3A 6-11
INTERRUPT AND EXCEPTION HANDLING
6 .1 2 E XC E P T I O N AN D I N T E R R U P T H AN D LI N G
The processor handles calls to exception- and interrupt-handlers similar to the way it handles calls with a CALL
instruction to a procedure or a task. When responding to an exception or interrupt, the processor uses the excep-
tion or interrupt vector as an index to a descriptor in the IDT. If the index points to an interrupt gate or trap gate,
the processor calls the exception or interrupt handler in a manner similar to a CALL to a call gate (see Section
5.8.2, Gate Descriptors, through Section 5.8.6, Returning from a Called Procedure). If index points to a task
gate, the processor executes a task switch to the exception- or interrupt-handler task in a manner similar to a CALL
to a task gate (see Section 7.3, Task Switching).
6 .1 2 .1 E x ce p t io n - o r I n t e rru p t -H a n d le r P ro ce d u re s
An interrupt gate or trap gate references an exception- or interrupt-handler procedure that runs in the context of
the currently executing task (see Figure 6-3). The segment selector for the gate points to a segment descriptor for
an executable code segment in either the GDT or the current LDT. The offset field of the gate descriptor points to
the beginning of the exception- or interrupt-handling procedure.
F ig u re 6 -2 . I D T G a t e D e scrip t o rs
31 16 15 13 14 12 8 7 0
P Offset 31..16
D
P
L
0
4
31 16 15 0
Segment Selector Offset 15..0 0
0 1 1 D
Interrupt Gate
DPL
Offset
P
Selector
Descriptor Privilege Level
Offset to procedure entry point
Segment Present flag
Segment Selector for destination code segment
31 16 15 13 14 12 8 7 0
P
D
P
L
0
4
31 16 15 0
TSS Segment Selector 0
1 0 1 0
Task Gate
4 5
0 0 0
31 16 15 13 14 12 8 7 0
P Offset 31..16
D
P
L
0
4
31 16 15 0
Segment Selector Offset 15..0 0
1 1 1 D
Trap Gate
4 5
0 0 0
Reserved
Size of gate: 1 = 32 bits; 0 = 16 bits D
6-12 Vol. 3A
INTERRUPT AND EXCEPTION HANDLING
When the processor performs a call to the exception- or interrupt-handler procedure:
If the handler procedure is going to be executed at a numerically lower privilege level, a stack switch occurs.
When the stack switch occurs:
a. The segment selector and stack pointer for the stack to be used by the handler are obtained from the TSS
for the currently executing task. On this new stack, the processor pushes the stack segment selector and
stack pointer of the interrupted procedure.
b. The processor then saves the current state of the EFLAGS, CS, and EIP registers on the new stack (see
Figures 6-4).
c. If an exception causes an error code to be saved, it is pushed on the new stack after the EIP value.
If the handler procedure is going to be executed at the same privilege level as the interrupted procedure:
a. The processor saves the current state of the EFLAGS, CS, and EIP registers on the current stack (see
Figures 6-4).
b. If an exception causes an error code to be saved, it is pushed on the current stack after the EIP value.
F ig u re 6 -3 . I n t e rru p t P ro ce d u re C a ll
IDT
Interrupt or
Code Segment
Segment Selector
GDT or LDT
Segment
Interrupt
Vector
Base
Address
Destination
Procedure
Interrupt
+
Descriptor
Trap Gate
Offset
Vol. 3A 6-13
INTERRUPT AND EXCEPTION HANDLING
To return from an exception- or interrupt-handler procedure, the handler must use the IRET (or IRETD) instruction.
The IRET instruction is similar to the RET instruction except that it restores the saved flags into the EFLAGS
register. The IOPL field of the EFLAGS register is restored only if the CPL is 0. The IF flag is changed only if the CPL
is less than or equal to the IOPL. See Chapter 3, Instruction Set Reference, A-M, of the Intel 64 and IA-32 Archi-
tectures Software Developers Manual, Volume 2A, for a description of the complete operation performed by the
IRET instruction.
If a stack switch occurred when calling the handler procedure, the IRET instruction switches back to the interrupted
procedures stack on the return.
6 .1 2 .1 .1 P ro t e ct io n o f E x ce p t io n - a n d I n t e rru p t -H a n d le r P ro ce d u re s
The privilege-level protection for exception- and interrupt-handler procedures is similar to that used for ordinary
procedure calls when called through a call gate (see Section 5.8.4, Accessing a Code Segment Through a Call
Gate). The processor does not permit transfer of execution to an exception- or interrupt-handler procedure in a
less privileged code segment (numerically greater privilege level) than the CPL.
An attempt to violate this rule results in a general-protection exception (#GP). The protection mechanism for
exception- and interrupt-handler procedures is different in the following ways:
Because interrupt and exception vectors have no RPL, the RPL is not checked on implicit calls to exception and
interrupt handlers.
The processor checks the DPL of the interrupt or trap gate only if an exception or interrupt is generated with an
INT n, INT 3, or INTO instruction. Here, the CPL must be less than or equal to the DPL of the gate. This
restriction prevents application programs or procedures running at privilege level 3 from using a software
interrupt to access critical exception handlers, such as the page-fault handler, providing that those handlers are
placed in more privileged code segments (numerically lower privilege level). For hardware-generated
interrupts and processor-detected exceptions, the processor ignores the DPL of interrupt and trap gates.
F ig u re 6 -4 . S t a ck U sa g e o n T ra n sfe rs t o I n t e rru p t a n d E x ce p t io n -H a n d lin g R o u t in e s
CS
Error Code
EFLAGS
CS
EIP
ESP After
Transfer to Handler
Error Code
ESP Before
Transfer to Handler
EFLAGS
EIP
SS
ESP
Stack Usage with No
Privilege-Level Change
Stack Usage with
Privilege-Level Change
Interrupted Procedures
Interrupted Procedures
and Handlers Stack
Handlers Stack
ESP After
Transfer to Handler
Transfer to Handler
ESP Before
Stack
6-14 Vol. 3A
INTERRUPT AND EXCEPTION HANDLING
Because exceptions and interrupts generally do not occur at predictable times, these privilege rules effectively
impose restrictions on the privilege levels at which exception and interrupt- handling procedures can run. Either of
the following techniques can be used to avoid privilege-level violations.
The exception or interrupt handler can be placed in a conforming code segment. This technique can be used for
handlers that only need to access data available on the stack (for example, divide error exceptions). If the
handler needs data from a data segment, the data segment needs to be accessible from privilege level 3, which
would make it unprotected.
The handler can be placed in a nonconforming code segment with privilege level 0. This handler would always
run, regardless of the CPL that the interrupted program or task is running at.
6 .1 2 .1 .2 F la g U sa g e B y E x ce p t io n - o r I n t e rru p t -H a n d le r P ro ce d u re
When accessing an exception or interrupt handler through either an interrupt gate or a trap gate, the processor
clears the TF flag in the EFLAGS register after it saves the contents of the EFLAGS register on the stack. (On calls
to exception and interrupt handlers, the processor also clears the VM, RF, and NT flags in the EFLAGS register, after
they are saved on the stack.) Clearing the TF flag prevents instruction tracing from affecting interrupt response. A
subsequent IRET instruction restores the TF (and VM, RF, and NT) flags to the values in the saved contents of the
EFLAGS register on the stack.
The only difference between an interrupt gate and a trap gate is the way the processor handles the IF flag in the
EFLAGS register. When accessing an exception- or interrupt-handling procedure through an interrupt gate, the
processor clears the IF flag to prevent other interrupts from interfering with the current interrupt handler. A subse-
quent IRET instruction restores the IF flag to its value in the saved contents of the EFLAGS register on the stack.
Accessing a handler procedure through a trap gate does not affect the IF flag.
6 .1 2 .2 I n t e rru p t Ta sk s
When an exception or interrupt handler is accessed through a task gate in the IDT, a task switch results. Handling
an exception or interrupt with a separate task offers several advantages:
The entire context of the interrupted program or task is saved automatically.
A new TSS permits the handler to use a new privilege level 0 stack when handling the exception or interrupt. If
an exception or interrupt occurs when the current privilege level 0 stack is corrupted, accessing the handler
through a task gate can prevent a system crash by providing the handler with a new privilege level 0 stack.
The handler can be further isolated from other tasks by giving it a separate address space. This is done by
giving it a separate LDT.
The disadvantage of handling an interrupt with a separate task is that the amount of machine state that must be
saved on a task switch makes it slower than using an interrupt gate, resulting in increased interrupt latency.
A task gate in the IDT references a TSS descriptor in the GDT (see Figure 6-5). A switch to the handler task is
handled in the same manner as an ordinary task switch (see Section 7.3, Task Switching). The link back to the
interrupted task is stored in the previous task link field of the handler tasks TSS. If an exception caused an error
code to be generated, this error code is copied to the stack of the new task.
When exception- or interrupt-handler tasks are used in an operating system, there are actually two mechanisms
that can be used to dispatch tasks: the software scheduler (part of the operating system) and the hardware sched-
uler (part of the processor's interrupt mechanism). The software scheduler needs to accommodate interrupt tasks
that may be dispatched when interrupts are enabled.
Vol. 3A 6-15
INTERRUPT AND EXCEPTION HANDLING
N O T E
Because IA-32 architecture tasks are not re-entrant, an interrupt-handler task must disable
interrupts between the time it completes handling the interrupt and the time it executes the IRET
instruction. This action prevents another interrupt from occurring while the interrupt tasks TSS is
still marked busy, which would cause a general-protection (#GP) exception.
6 .1 3 E R R O R C O D E
When an exception condition is related to a specific segment selector or IDT vector, the processor pushes an error
code onto the stack of the exception handler (whether it is a procedure or task). The error code has the format
shown in Figure 6-6. The error code resembles a segment selector; however, instead of a TI flag and RPL field, the
error code contains 3 flags:
EXT External event (bit 0) When set, indicates that the exception occurred during delivery of an
event external to the program, such as an interrupt or an earlier exception.
IDT Descriptor location (bit 1) When set, indicates that the index portion of the error code refers
to a gate descriptor in the IDT; when clear, indicates that the index refers to a descriptor in the GDT
or the current LDT.
TI GDT/LDT (bit 2) Only used when the IDT flag is clear. When set, the TI flag indicates that the
index portion of the error code refers to a segment or gate descriptor in the LDT; when clear, it indi-
cates that the index refers to a descriptor in the current GDT.
F ig u re 6 -5 . I n t e rru p t Ta sk S w it ch
IDT
Task Gate
TSS for Interrupt-
TSS Selector
GDT
TSS Descriptor
Interrupt
Vector
TSS
Base
Address
Handling Task
6-16 Vol. 3A
INTERRUPT AND EXCEPTION HANDLING
The segment selector index field provides an index into the IDT, GDT, or current LDT to the segment or gate
selector being referenced by the error code. In some cases the error code is null (all bits are clear except possibly
EXT). A null error code indicates that the error was not caused by a reference to a specific segment or that a null
segment descriptor was referenced in an operation.
The format of the error code is different for page-fault exceptions (#PF). See the Interrupt 14Page-Fault Excep-
tion (#PF) section in this chapter.
The error code is pushed on the stack as a doubleword or word (depending on the default interrupt, trap, or task
gate size). To keep the stack aligned for doubleword pushes, the upper half of the error code is reserved. Note that
the error code is not popped when the IRET instruction is executed to return from an exception handler, so the
handler must remove the error code before executing a return.
Error codes are not pushed on the stack for exceptions that are generated externally (with the INTR or LINT[1:0]
pins) or the INT n instruction, even if an error code is normally produced for those exceptions.
6 .1 4 E XC E P T I O N AN D I N T E R R U P T H AN D LI N G I N 6 4 -B I T MO D E
In 64-bit mode, interrupt and exception handling is similar to what has been described for non-64-bit modes. The
following are the exceptions:
All interrupt handlers pointed by the IDT are in 64-bit code (this does not apply to the SMI handler).
The size of interrupt-stack pushes is fixed at 64 bits; and the processor uses 8-byte, zero extended stores.
The stack pointer (SS:RSP) is pushed unconditionally on interrupts. In legacy modes, this push is conditional
and based on a change in current privilege level (CPL).
The new SS is set to NULL if there is a change in CPL.
IRET behavior changes.
There is a new interrupt stack-switch mechanism.
The alignment of interrupt stack frame is different.
6 .1 4 .1 6 4 -B it Mo d e I D T
Interrupt and trap gates are 16 bytes in length to provide a 64-bit offset for the instruction pointer (RIP). The 64-
bit RIP referenced by interrupt-gate descriptors allows an interrupt service routine to be located anywhere in the
linear-address space. See Figure 6-7.
F ig u re 6 -6 . E rro r C o d e
31 0
Reserved
I
D
T
T
I
1 2 3
Segment Selector Index
E
X
T
Vol. 3A 6-17
INTERRUPT AND EXCEPTION HANDLING
In 64-bit mode, the IDT index is formed by scaling the interrupt vector by 16. The first eight bytes (bytes 7:0) of a
64-bit mode interrupt gate are similar but not identical to legacy 32-bit interrupt gates. The type field (bits 11:8 in
bytes 7:4) is described in Table 3-2. The Interrupt Stack Table (IST) field (bits 4:0 in bytes 7:4) is used by the stack
switching mechanisms described in Section 6.14.5, Interrupt Stack Table. Bytes 11:8 hold the upper 32 bits of
the target RIP (interrupt segment offset) in canonical form. A general-protection exception (#GP) is generated if
software attempts to reference an interrupt gate with a target RIP that is not in canonical form.
The target code segment referenced by the interrupt gate must be a 64-bit code segment (CS.L = 1, CS.D = 0). If
the target is not a 64-bit code segment, a general-protection exception (#GP) is generated with the IDT vector
number reported as the error code.
Only 64-bit interrupt and trap gates can be referenced in IA-32e mode (64-bit mode and compatibility mode).
Legacy 32-bit interrupt or trap gate types (0EH or 0FH) are redefined in IA-32e mode as 64-bit interrupt and trap
gate types. No 32-bit interrupt or trap gate type exists in IA-32e mode. If a reference is made to a 16-bit interrupt
or trap gate (06H or 07H), a general-protection exception (#GP(0)) is generated.
6 .1 4 .2 6 4 -B it Mo d e S t a ck F ra m e
In legacy mode, the size of an IDT entry (16 bits or 32 bits) determines the size of interrupt-stack-frame pushes.
SS:ESP is pushed only on a CPL change. In 64-bit mode, the size of interrupt stack-frame pushes is fixed at eight
bytes. This is because only 64-bit mode gates can be referenced. 64-bit mode also pushes SS:RSP unconditionally,
rather than only on a CPL change.
Aside from error codes, pushing SS:RSP unconditionally presents operating systems with a consistent interrupt-
stackframe size across all interrupts. Interrupt service-routine entry points that handle interrupts generated by the
INTn instruction or external INTR# signal can push an additional error code place-holder to maintain consistency.
In legacy mode, the stack pointer may be at any alignment when an interrupt or exception causes a stack frame to
be pushed. This causes the stack frame and succeeding pushes done by an interrupt handler to be at arbitrary
alignments. In IA-32e mode, the RSP is aligned to a 16-byte boundary before pushing the stack frame. The stack
frame itself is aligned on a 16-byte boundary when the interrupt handler is called. The processor can arbitrarily
realign the new RSP on interrupts because the previous (possibly unaligned) RSP is unconditionally saved on the
newly aligned stack. The previous RSP will be automatically restored by a subsequent IRET.
Aligning the stack permits exception and interrupt frames to be aligned on a 16-byte boundary before interrupts
are re-enabled. This allows the stack to be formatted for optimal storage of 16-byte XMM registers, which enables
F ig u re 6 -7. 6 4 -B it I D T G a t e D e scrip t o rs
31 16 15 13 14 12 8 7 0
P
Offset 31..16
D
P
L
0 4
31 16 15 0
Segment Selector Offset 15..0 0
TYPE
Interrupt/Trap Gate
DPL
Offset
P
Selector
Descriptor Privilege Level
Offset to procedure entry point
Segment Present flag
Segment Selector for destination code segment
4 5
0 0 0
31 0
Offset 63..32
8
31 0
12
11
IST 0 0
2
Reserved
IST
Interrupt Stack Table
6-18 Vol. 3A
INTERRUPT AND EXCEPTION HANDLING
the interrupt handler to use faster 16-byte aligned loads and stores (MOVAPS rather than MOVUPS) to save and
restore XMM registers.
Although the RSP alignment is always performed when LMA = 1, it is only of consequence for the kernel-mode case
where there is no stack switch or IST used. For a stack switch or IST, the OS would have presumably put suitably
aligned RSP values in the TSS.
6 .1 4 .3 I R E T in I A-3 2 e Mo d e
In IA-32e mode, IRET executes with an 8-byte operand size. There is nothing that forces this requirement. The
stack is formatted in such a way that for actions where IRET is required, the 8-byte IRET operand size works
correctly.
Because interrupt stack-frame pushes are always eight bytes in IA-32e mode, an IRET must pop eight byte items
off the stack. This is accomplished by preceding the IRET with a 64-bit operand-size prefix. The size of the pop is
determined by the address size of the instruction. The SS/ESP/RSP size adjustment is determined by the stack size.
IRET pops SS:RSP unconditionally off the interrupt stack frame only when it is executed in 64-bit mode. In compat-
ibility mode, IRET pops SS:RSP off the stack only if there is a CPL change. This allows legacy applications to
execute properly in compatibility mode when using the IRET instruction. 64-bit interrupt service routines that exit
with an IRET unconditionally pop SS:RSP off of the interrupt stack frame, even if the target code segment is
running in 64-bit mode or at CPL = 0. This is because the original interrupt always pushes SS:RSP.
In IA-32e mode, IRET is allowed to load a NULL SS under certain conditions. If the target mode is 64-bit mode and
the target CPL <> 3, IRET allows SS to be loaded with a NULL selector. As part of the stack switch mechanism, an
interrupt or exception sets the new SS to NULL, instead of fetching a new SS selector from the TSS and loading the
corresponding descriptor from the GDT or LDT. The new SS selector is set to NULL in order to properly handle
returns from subsequent nested far transfers. If the called procedure itself is interrupted, the NULL SS is pushed on
the stack frame. On the subsequent IRET, the NULL SS on the stack acts as a flag to tell the processor not to load
a new SS descriptor.
6 .1 4 .4 S t a ck S w it ch in g in I A-3 2 e Mo d e
The IA-32 architecture provides a mechanism to automatically switch stack frames in response to an interrupt. The
64-bit extensions of Intel 64 architecture implement a modified version of the legacy stack-switching mechanism
and an alternative stack-switching mechanism called the interrupt stack table (IST).
In IA-32 modes, the legacy IA-32 stack-switch mechanism is unchanged. In IA-32e mode, the legacy stack-switch
mechanism is modified. When stacks are switched as part of a 64-bit mode privilege-level change (resulting from
an interrupt), a new SS descriptor is not loaded. IA-32e mode loads only an inner-level RSP from the TSS. The new
SS selector is forced to NULL and the SS selectors RPL field is set to the new CPL. The new SS is set to NULL in
order to handle nested far transfers (CALLF, INT, interrupts and exceptions). The old SS and RSP are saved on the
new stack (Figure 6-8). On the subsequent IRET, the old SS is popped from the stack and loaded into the SS
register.
In summary, a stack switch in IA-32e mode works like the legacy stack switch, except that a new SS selector is not
loaded from the TSS. Instead, the new SS is forced to NULL.
Vol. 3A 6-19
INTERRUPT AND EXCEPTION HANDLING
6 .1 4 .5 I n t e rru p t S t a ck Ta b le
In IA-32e mode, a new interrupt stack table (IST) mechanism is available as an alternative to the modified legacy
stack-switching mechanism described above. This mechanism unconditionally switches stacks when it is enabled.
It can be enabled on an individual interrupt-vector basis using a field in the IDT entry. This means that some inter-
rupt vectors can use the modified legacy mechanism and others can use the IST mechanism.
The IST mechanism is only available in IA-32e mode. It is part of the 64-bit mode TSS. The motivation for the IST
mechanism is to provide a method for specific interrupts (such as NMI, double-fault, and machine-check) to always
execute on a known good stack. In legacy mode, interrupts can use the task-switch mechanism to set up a known-
good stack by accessing the interrupt service routine through a task gate located in the IDT. However, the legacy
task-switch mechanism is not supported in IA-32e mode.
The IST mechanism provides up to seven IST pointers in the TSS. The pointers are referenced by an interrupt-gate
descriptor in the interrupt-descriptor table (IDT); see Figure 6-7. The gate descriptor contains a 3-bit IST index
field that provides an offset into the IST section of the TSS. Using the IST mechanism, the processor loads the
value pointed by an IST pointer into the RSP.
When an interrupt occurs, the new SS selector is forced to NULL and the SS selectors RPL field is set to the new
CPL. The old SS, RSP, RFLAGS, CS, and RIP are pushed onto the new stack. Interrupt processing then proceeds as
normal. If the IST index is zero, the modified legacy stack-switching mechanism described above is used.
6 .1 5 E XC E P T I O N AN D I N T E R R U P T R E F E R E N C E
The following sections describe conditions which generate exceptions and interrupts. They are arranged in the
order of vector numbers. The information contained in these sections are as follows:
Exception Class Indicates whether the exception class is a fault, trap, or abort type. Some exceptions can
be either a fault or trap type, depending on when the error condition is detected. (This section is not applicable
to interrupts.)
Description Gives a general description of the purpose of the exception or interrupt type. It also describes
how the processor handles the exception or interrupt.
Exception Error Code Indicates whether an error code is saved for the exception. If one is saved, the
contents of the error code are described. (This section is not applicable to interrupts.)
Saved Instruction Pointer Describes which instruction the saved (or return) instruction pointer points to.
It also indicates whether the pointer can be used to restart a faulting instruction.
Program State Change Describes the effects of the exception or interrupt on the state of the currently
running program or task and the possibilities of restarting the program or task without loss of continuity.
F ig u re 6 -8 . I A-3 2 e Mo d e S t a ck U sa g e Af t e r P riv ile g e Le v e l C h a n g e
CS
Error Code
RFLAGS
RIP
SS
RSP
Stack Usage with
Privilege-Level Change
Handlers Stack
Stack Pointer After
Transfer to Handler
CS
Error Code
EFLAGS
EIP
SS
ESP
Handlers Stack
Legacy Mode
IA-32e Mode
0
+4
+8
+12
+16
+20
0
+8
+16
+24
+32
+40
6-20 Vol. 3A
INTERRUPT AND EXCEPTION HANDLING
I n t e rru p t 0 D iv id e E rro r E x ce p t io n (# D E )
E x ce p t io n C la ss Fa u lt .
D e scrip t io n
Indicates the divisor operand for a DIV or IDIV instruction is 0 or that the result cannot be represented in the
number of bits specified for the destination operand.
E x ce p t io n E rro r C o d e
None.
S a v e d I n st ru ct io n P o in t e r
Saved contents of CS and EIP registers point to the instruction that generated the exception.
P ro g ra m S t a t e C h a n g e
A program-state change does not accompany the divide error, because the exception occurs before the faulting
instruction is executed.
Vol. 3A 6-21
INTERRUPT AND EXCEPTION HANDLING
I n t e rru p t 1 D e b u g E x ce p t io n (# D B )
E x ce p t io n C la ss T ra p o r Fa u lt . T h e e x ce p t io n h a n d le r ca n d ist in g u ish b e t w e e n t ra p s o r f a u lt s b y e x a m -
in in g t h e co n t e n t s o f D R 6 a n d t h e o t h e r d e b u g re g ist e rs.
D e scrip t io n
Indicates that one or more of several debug-exception conditions has been detected. Whether the exception is a
fault or a trap depends on the condition (see Table 6-3). See Chapter 17, Debugging, Branch Profiling, and Time-
Stamp Counter, for detailed information about the debug exceptions.
E x ce p t io n E rro r C o d e
None. An exception handler can examine the debug registers to determine which condition caused the exception.
S a v e d I n st ru ct io n P o in t e r
Fault Saved contents of CS and EIP registers point to the instruction that generated the exception.
Trap Saved contents of CS and EIP registers point to the instruction following the instruction that generated the
exception.
P ro g ra m S t a t e C h a n g e
Fault A program-state change does not accompany the debug exception, because the exception occurs before
the faulting instruction is executed. The program can resume normal execution upon returning from the debug
exception handler.
Trap A program-state change does accompany the debug exception, because the instruction or task switch being
executed is allowed to complete before the exception is generated. However, the new state of the program is not
corrupted and execution of the program can continue reliably.
Ta b le 6 -3 . D e b u g E x ce p t io n C o n d it io n s a n d C o rre sp o n d in g E x ce p t io n C la sse s
E x ce p t io n C o n d it io n E x ce p t io n C la ss
Instruction fetch breakpoint Fault
Data read or write breakpoint Trap
I/O read or write breakpoint Trap
General detect condition (in conjunction with in-circuit emulation) Fault
Single-step Trap
Task-switch Trap
6-22 Vol. 3A
INTERRUPT AND EXCEPTION HANDLING
I n t e rru p t 2 N MI I n t e rru p t
E x ce p t io n C la ss N o t a p p lica b le .
D e scrip t io n
The nonmaskable interrupt (NMI) is generated externally by asserting the processors NMI pin or through an NMI
request set by the I/O APIC to the local APIC. This interrupt causes the NMI interrupt handler to be called.
E x ce p t io n E rro r C o d e
Not applicable.
S a v e d I n st ru ct io n P o in t e r
The processor always takes an NMI interrupt on an instruction boundary. The saved contents of CS and EIP regis-
ters point to the next instruction to be executed at the point the interrupt is taken. See Section 6.5, Exception
Classifications, for more information about when the processor takes NMI interrupts.
P ro g ra m S t a t e C h a n g e
The instruction executing when an NMI interrupt is received is completed before the NMI is generated. A program
or task can thus be restarted upon returning from an interrupt handler without loss of continuity, provided the
interrupt handler saves the state of the processor before handling the interrupt and restores the processors state
prior to a return.
Vol. 3A 6-23
INTERRUPT AND EXCEPTION HANDLING
I n t e rru p t 3 B re a k p o in t E x ce p t io n (# B P )
E x ce p t io n C la ss T ra p .
D e scrip t io n
Indicates that a breakpoint instruction (INT 3) was executed, causing a breakpoint trap to be generated. Typically,
a debugger sets a breakpoint by replacing the first opcode byte of an instruction with the opcode for the INT 3
instruction. (The INT 3 instruction is one byte long, which makes it easy to replace an opcode in a code segment in
RAM with the breakpoint opcode.) The operating system or a debugging tool can use a data segment mapped to
the same physical address space as the code segment to place an INT 3 instruction in places where it is desired to
call the debugger.
With the P6 family, Pentium, Intel486, and Intel386 processors, it is more convenient to set breakpoints with the
debug registers. (See Section 17.3.2, Breakpoint Exception (#BP)Interrupt Vector 3, for information about the
breakpoint exception.) If more breakpoints are needed beyond what the debug registers allow, the INT 3 instruc-
tion can be used.
The breakpoint (#BP) exception can also be generated by executing the INT n instruction with an operand of 3. The
action of this instruction (INT 3) is slightly different than that of the INT 3 instruction (see INTn/INTO/INT3Call
to Interrupt Procedure in Chapter 3 of the Intel 64 and IA-32 Architectures Software Developers Manual,
Volume 2A).
E x ce p t io n E rro r C o d e
None.
S a v e d I n st ru ct io n P o in t e r
Saved contents of CS and EIP registers point to the instruction following the INT 3 instruction.
P ro g ra m S t a t e C h a n g e
Even though the EIP points to the instruction following the breakpoint instruction, the state of the program is
essentially unchanged because the INT 3 instruction does not affect any register or memory locations. The
debugger can thus resume the suspended program by replacing the INT 3 instruction that caused the breakpoint
with the original opcode and decrementing the saved contents of the EIP register. Upon returning from the
debugger, program execution resumes with the replaced instruction.
6-24 Vol. 3A
INTERRUPT AND EXCEPTION HANDLING
I n t e rru p t 4 O v e rflo w E x ce p t io n (# O F )
E x ce p t io n C la ss T ra p .
D e scrip t io n
Indicates that an overflow trap occurred when an INTO instruction was executed. The INTO instruction checks the
state of the OF flag in the EFLAGS register. If the OF flag is set, an overflow trap is generated.
Some arithmetic instructions (such as the ADD and SUB) perform both signed and unsigned arithmetic. These
instructions set the OF and CF flags in the EFLAGS register to indicate signed overflow and unsigned overflow,
respectively. When performing arithmetic on signed operands, the OF flag can be tested directly or the INTO
instruction can be used. The benefit of using the INTO instruction is that if the overflow exception is detected, an
exception handler can be called automatically to handle the overflow condition.
E x ce p t io n E rro r C o d e
None.
S a v e d I n st ru ct io n P o in t e r
The saved contents of CS and EIP registers point to the instruction following the INTO instruction.
P ro g ra m S t a t e C h a n g e
Even though the EIP points to the instruction following the INTO instruction, the state of the program is essentially
unchanged because the INTO instruction does not affect any register or memory locations. The program can thus
resume normal execution upon returning from the overflow exception handler.
Vol. 3A 6-25
INTERRUPT AND EXCEPTION HANDLING
I n t e rru p t 5 B O U N D R a n g e E x ce e d e d E x ce p t io n (# B R )
E x ce p t io n C la ss Fa u lt .
D e scrip t io n
Indicates that a BOUND-range-exceeded fault occurred when a BOUND instruction was executed. The BOUND
instruction checks that a signed array index is within the upper and lower bounds of an array located in memory. If
the array index is not within the bounds of the array, a BOUND-range-exceeded fault is generated.
E x ce p t io n E rro r C o d e
None.
S a v e d I n st ru ct io n P o in t e r
The saved contents of CS and EIP registers point to the BOUND instruction that generated the exception.
P ro g ra m S t a t e C h a n g e
A program-state change does not accompany the bounds-check fault, because the operands for the BOUND
instruction are not modified. Returning from the BOUND-range-exceeded exception handler causes the BOUND
instruction to be restarted.
6-26 Vol. 3A
INTERRUPT AND EXCEPTION HANDLING
I n t e rru p t 6 I n v a lid O p co d e E x ce p t io n (# U D )
E x ce p t io n C la ss Fa u lt .
D e scrip t io n
Indicates that the processor did one of the following things:
Attempted to execute an invalid or reserved opcode.
Attempted to execute an instruction with an operand type that is invalid for its accompanying opcode; for
example, the source operand for a LES instruction is not a memory location.
Attempted to execute an MMX or SSE/SSE2/SSE3 instruction on an Intel 64 or IA-32 processor that does not
support the MMX technology or SSE/SSE2/SSE3/SSSE3 extensions, respectively. CPUID feature flags MMX (bit
23), SSE (bit 25), SSE2 (bit 26), SSE3 (ECX, bit 0), SSSE3 (ECX, bit 9) indicate support for these extensions.
Attempted to execute an MMX instruction or SSE/SSE2/SSE3/SSSE3 SIMD instruction (with the exception of
the MOVNTI, PAUSE, PREFETCHh, SFENCE, LFENCE, MFENCE, CLFLUSH, MONITOR, and MWAIT instructions)
when the EM flag in control register CR0 is set (1).
Attempted to execute an SSE/SE2/SSE3/SSSE3 instruction when the OSFXSR bit in control register CR4 is clear
(0). Note this does not include the following SSE/SSE2/SSE3 instructions: MASKMOVQ, MOVNTQ, MOVNTI,
PREFETCHh, SFENCE, LFENCE, MFENCE, and CLFLUSH; or the 64-bit versions of the PAVGB, PAVGW, PEXTRW,
PINSRW, PMAXSW, PMAXUB, PMINSW, PMINUB, PMOVMSKB, PMULHUW, PSADBW, PSHUFW, PADDQ, PSUBQ,
PALIGNR, PABSB, PABSD, PABSW, PHADDD, PHADDSW, PHADDW, PHSUBD, PHSUBSW, PHSUBW,
PMADDUBSM, PMULHRSW, PSHUFB, PSIGNB, PSIGND, and PSIGNW.
Attempted to execute an SSE/SSE2/SSE3/SSSE3 instruction on an Intel 64 or IA-32 processor that caused a
SIMD floating-point exception when the OSXMMEXCPT bit in control register CR4 is clear (0).
Executed a UD2 instruction. Note that even though it is the execution of the UD2 instruction that causes the
invalid opcode exception, the saved instruction pointer will still points at the UD2 instruction.
Detected a LOCK prefix that precedes an instruction that may not be locked or one that may be locked but the
destination operand is not a memory location.
Attempted to execute an LLDT, SLDT, LTR, STR, LSL, LAR, VERR, VERW, or ARPL instruction while in real-
address or virtual-8086 mode.
Attempted to execute the RSM instruction when not in SMM mode.
In Intel 64 and IA-32 processors that implement out-of-order execution microarchitectures, this exception is not
generated until an attempt is made to retire the result of executing an invalid instruction; that is, decoding and
speculatively attempting to execute an invalid opcode does not generate this exception. Likewise, in the Pentium
processor and earlier IA-32 processors, this exception is not generated as the result of prefetching and preliminary
decoding of an invalid instruction. (See Section 6.5, Exception Classifications, for general rules for taking of inter-
rupts and exceptions.)
The opcodes D6 and F1 are undefined opcodes reserved by the Intel 64 and IA-32 architectures. These opcodes,
even though undefined, do not generate an invalid opcode exception.
The UD2 instruction is guaranteed to generate an invalid opcode exception.
E x ce p t io n E rro r C o d e
None.
S a v e d I n st ru ct io n P o in t e r
The saved contents of CS and EIP registers point to the instruction that generated the exception.
P ro g ra m S t a t e C h a n g e
A program-state change does not accompany an invalid-opcode fault, because the invalid instruction is not
executed.
Vol. 3A 6-27
INTERRUPT AND EXCEPTION HANDLING
I n t e rru p t 7 D e v ice N o t Av a ila b le E x ce p t io n (# N M)
E x ce p t io n C la ss Fa u lt .
D e scrip t io n
Indicates one of the following things:
The device-not-available exception is generated by either of three conditions:
The processor executed an x87 FPU floating-point instruction while the EM flag in control register CR0 was set
(1). See the paragraph below for the special case of the WAIT/FWAIT instruction.
The processor executed a WAIT/FWAIT instruction while the MP and TS flags of register CR0 were set,
regardless of the setting of the EM flag.
The processor executed an x87 FPU, MMX, or SSE/SSE2/SSE3 instruction (with the exception of MOVNTI,
PAUSE, PREFETCHh, SFENCE, LFENCE, MFENCE, and CLFLUSH) while the TS flag in control register CR0 was set
and the EM flag is clear.
The EM flag is set when the processor does not have an internal x87 FPU floating-point unit. A device-not-available
exception is then generated each time an x87 FPU floating-point instruction is encountered, allowing an exception
handler to call floating-point instruction emulation routines.
The TS flag indicates that a context switch (task switch) has occurred since the last time an x87 floating-point,
MMX, or SSE/SSE2/SSE3 instruction was executed; but that the context of the x87 FPU, XMM, and MXCSR registers
were not saved. When the TS flag is set and the EM flag is clear, the processor generates a device-not-available
exception each time an x87 floating-point, MMX, or SSE/SSE2/SSE3 instruction is encountered (with the exception
of the instructions listed above). The exception handler can then save the context of the x87 FPU, XMM, and MXCSR
registers before it executes the instruction. See Section 2.5, Control Registers, for more information about the TS
flag.
The MP flag in control register CR0 is used along with the TS flag to determine if WAIT or FWAIT instructions should
generate a device-not-available exception. It extends the function of the TS flag to the WAIT and FWAIT instruc-
tions, giving the exception handler an opportunity to save the context of the x87 FPU before the WAIT or FWAIT
instruction is executed. The MP flag is provided primarily for use with the Intel 286 and Intel386 DX processors. For
programs running on the Pentium 4, Intel Xeon, P6 family, Pentium, or Intel486 DX processors, or the Intel 487 SX
coprocessors, the MP flag should always be set; for programs running on the Intel486 SX processor, the MP flag
should be clear.
E x ce p t io n E rro r C o d e
None.
S a v e d I n st ru ct io n P o in t e r
The saved contents of CS and EIP registers point to the floating-point instruction or the WAIT/FWAIT instruction
that generated the exception.
P ro g ra m S t a t e C h a n g e
A program-state change does not accompany a device-not-available fault, because the instruction that generated
the exception is not executed.
If the EM flag is set, the exception handler can then read the floating-point instruction pointed to by the EIP and
call the appropriate emulation routine.
If the MP and TS flags are set or the TS flag alone is set, the exception handler can save the context of the x87 FPU,
clear the TS flag, and continue execution at the interrupted floating-point or WAIT/FWAIT instruction.
6-28 Vol. 3A
INTERRUPT AND EXCEPTION HANDLING
I n t e rru p t 8 D o u b le Fa u lt E x ce p t io n (# D F )
E x ce p t io n C la ss Ab o rt .
D e scrip t io n
Indicates that the processor detected a second exception while calling an exception handler for a prior exception.
Normally, when the processor detects another exception while trying to call an exception handler, the two excep-
tions can be handled serially. If, however, the processor cannot handle them serially, it signals the double-fault
exception. To determine when two faults need to be signalled as a double fault, the processor divides the excep-
tions into three classes: benign exceptions, contributory exceptions, and page faults (see Table 6-4).
Table 6-5 shows the various combinations of exception classes that cause a double fault to be generated. A double-
fault exception falls in the abort class of exceptions. The program or task cannot be restarted or resumed. The
double-fault handler can be used to collect diagnostic information about the state of the machine and/or, when
possible, to shut the application and/or system down gracefully or restart the system.
A segment or page fault may be encountered while prefetching instructions; however, this behavior is outside the
domain of Table 6-5. Any further faults generated while the processor is attempting to transfer control to the appro-
priate fault handler could still lead to a double-fault sequence.
Ta b le 6 -4 . I n t e rru p t a n d E x ce p t io n C la sse s
C la ss Ve ct o r N u m b e r D e scrip t io n
Benign Exceptions and Interrupts 1
2
3
4
5
6
7
9
16
17
18
19
All
All
Debug
NMI Interrupt
Breakpoint
Overflow
BOUND Range Exceeded
Invalid Opcode
Device Not Available
Coprocessor Segment Overrun
Floating-Point Error
Alignment Check
Machine Check
SIMD floating-point
INT n
INTR
Contributory Exceptions 0
10
11
12
13
Divide Error
Invalid TSS
Segment Not Present
Stack Fault
General Protection
Page Faults 14
20
Page Fault
Virtualization Exception
Ta b le 6 -5 . C o n d it io n s fo r G e n e ra t in g a D o u b le Fa u lt
S e co n d E x ce p t io n
F irst E x ce p t io n B e n ig n C o n t rib u t o ry P a g e Fa u lt
Benign Handle Exceptions Serially Handle Exceptions Serially Handle Exceptions Serially
Contributory Handle Exceptions Serially Generate a Double Fault Handle Exceptions Serially
Page Fault Handle Exceptions Serially Generate a Double Fault Generate a Double Fault
Vol. 3A 6-29
INTERRUPT AND EXCEPTION HANDLING
If another exception occurs while attempting to call the double-fault handler, the processor enters shutdown mode.
This mode is similar to the state following execution of an HLT instruction. In this mode, the processor stops
executing instructions until an NMI interrupt, SMI interrupt, hardware reset, or INIT# is received. The processor
generates a special bus cycle to indicate that it has entered shutdown mode. Software designers may need to be
aware of the response of hardware when it goes into shutdown mode. For example, hardware may turn on an indi-
cator light on the front panel, generate an NMI interrupt to record diagnostic information, invoke reset initializa-
tion, generate an INIT initialization, or generate an SMI. If any events are pending during shutdown, they will be
handled after an wake event from shutdown is processed (for example, A20M# interrupts).
If a shutdown occurs while the processor is executing an NMI interrupt handler, then only a hardware reset can
restart the processor. Likewise, if the shutdown occurs while executing in SMM, a hardware reset must be used to
restart the processor.
E x ce p t io n E rro r C o d e
Zero. The processor always pushes an error code of 0 onto the stack of the double-fault handler.
S a v e d I n st ru ct io n P o in t e r
The saved contents of CS and EIP registers are undefined.
P ro g ra m S t a t e C h a n g e
A program-state following a double-fault exception is undefined. The program or task cannot be resumed or
restarted. The only available action of the double-fault exception handler is to collect all possible context informa-
tion for use in diagnostics and then close the application and/or shut down or reset the processor.
If the double fault occurs when any portion of the exception handling machine state is corrupted, the handler
cannot be invoked and the processor must be reset.
6-30 Vol. 3A
INTERRUPT AND EXCEPTION HANDLING
I n t e rru p t 9 C o p ro ce sso r S e g m e n t O v e rru n
E x ce p t io n C la ss Ab o rt . (I n t e l re se rv e d ; d o n o t u se . R e ce n t I A-3 2 p ro ce sso rs d o n o t g e n e ra t e t h is
e x ce p t io n .)
D e scrip t io n
Indicates that an Intel386 CPU-based systems with an Intel 387 math coprocessor detected a page or segment
violation while transferring the middle portion of an Intel 387 math coprocessor operand. The P6 family, Pentium,
and Intel486 processors do not generate this exception; instead, this condition is detected with a general protec-
tion exception (#GP), interrupt 13.
E x ce p t io n E rro r C o d e
None.
S a v e d I n st ru ct io n P o in t e r
The saved contents of CS and EIP registers point to the instruction that generated the exception.
P ro g ra m S t a t e C h a n g e
A program-state following a coprocessor segment-overrun exception is undefined. The program or task cannot
be resumed or restarted. The only available action of the exception handler is to save the instruction pointer and
reinitialize the x87 FPU using the FNINIT instruction.
Vol. 3A 6-31
INTERRUPT AND EXCEPTION HANDLING
I n t e rru p t 1 0 I n v a lid T S S E x ce p t io n (# T S )
E x ce p t io n C la ss Fa u lt .
D e scrip t io n
Indicates that there was an error related to a TSS. Such an error might be detected during a task switch or during
the execution of instructions that use information from a TSS. Table 6-6 shows the conditions that cause an invalid
TSS exception to be generated.
Ta b le 6 -6 . I n v a lid T S S C o n d it io n s
E rro r C o d e I n d e x I n v a lid C o n d it io n
TSS segment selector index The TSS segment limit is less than 67H for 32-bit TSS or less than 2CH for 16-bit TSS.
TSS segment selector index During an IRET task switch, the TI flag in the TSS segment selector indicates the LDT.
TSS segment selector index During an IRET task switch, the TSS segment selector exceeds descriptor table limit.
TSS segment selector index During an IRET task switch, the busy flag in the TSS descriptor indicates an inactive task.
TSS segment selector index During an IRET task switch, an attempt to load the backlink limit faults.
TSS segment selector index During an IRET task switch, the backlink is a NULL selector.
TSS segment selector index During an IRET task switch, the backlink points to a descriptor which is not a busy TSS.
TSS segment selector index The new TSS descriptor is beyond the GDT limit.
TSS segment selector index The new TSS descriptor is not writable.
TSS segment selector index Stores to the old TSS encounter a fault condition.
TSS segment selector index The old TSS descriptor is not writable for a jump or IRET task switch.
TSS segment selector index The new TSS backlink is not writable for a call or exception task switch.
TSS segment selector index The new TSS selector is null on an attempt to lock the new TSS.
TSS segment selector index The new TSS selector has the TI bit set on an attempt to lock the new TSS.
TSS segment selector index The new TSS descriptor is not an available TSS descriptor on an attempt to lock the new
TSS.
LDT segment selector index LDT or LDT not present.
Stack segment selector index The stack segment selector exceeds descriptor table limit.
Stack segment selector index The stack segment selector is NULL.
Stack segment selector index The stack segment descriptor is a non-data segment.
Stack segment selector index The stack segment is not writable.
Stack segment selector index The stack segment DPL !=CPL.
Stack segment selector index The stack segment selector RPL !=CPL.
Code segment selector index The code segment selector exceeds descriptor table limit.
Code segment selector index The code segment selector is NULL.
Code segment selector index The code segment descriptor is not a code segment type.
Code segment selector index The nonconforming code segment DPL !=CPL.
Code segment selector index The conforming code segment DPL is greater than CPL.
Data segment selector index The data segment selector exceeds the descriptor table limit.
Data segment selector index The data segment descriptor is not a readable code or data type.
Data segment selector index The data segment descriptor is a nonconforming code type and RPL >DPL.
6-32 Vol. 3A
INTERRUPT AND EXCEPTION HANDLING
This exception can generated either in the context of the original task or in the context of the new task (see Section
7.3, Task Switching). Until the processor has completely verified the presence of the new TSS, the exception is
generated in the context of the original task. Once the existence of the new TSS is verified, the task switch is
considered complete. Any invalid-TSS conditions detected after this point are handled in the context of the new
task. (A task switch is considered complete when the task register is loaded with the segment selector for the new
TSS and, if the switch is due to a procedure call or interrupt, the previous task link field of the new TSS references
the old TSS.)
The invalid-TSS handler must be a task called using a task gate. Handling this exception inside the faulting TSS
context is not recommended because the processor state may not be consistent.
E x ce p t io n E rro r C o d e
An error code containing the segment selector index for the segment descriptor that caused the violation is pushed
onto the stack of the exception handler. If the EXT flag is set, it indicates that the exception was caused by an event
external to the currently running program (for example, if an external interrupt handler using a task gate
attempted a task switch to an invalid TSS).
S a v e d I n st ru ct io n P o in t e r
If the exception condition was detected before the task switch was carried out, the saved contents of CS and EIP
registers point to the instruction that invoked the task switch. If the exception condition was detected after the task
switch was carried out, the saved contents of CS and EIP registers point to the first instruction of the new task.
P ro g ra m S t a t e C h a n g e
The ability of the invalid-TSS handler to recover from the fault depends on the error condition than causes the fault.
See Section 7.3, Task Switching, for more information on the task switch process and the possible recovery
actions that can be taken.
If an invalid TSS exception occurs during a task switch, it can occur before or after the commit-to-new-task point.
If it occurs before the commit point, no program state change occurs. If it occurs after the commit point (when the
segment descriptor information for the new segment selectors have been loaded in the segment registers), the
processor will load all the state information from the new TSS before it generates the exception. During a task
switch, the processor first loads all the segment registers with segment selectors from the TSS, then checks their
contents for validity. If an invalid TSS exception is discovered, the remaining segment registers are loaded but not
checked for validity and therefore may not be usable for referencing memory. The invalid TSS handler should not
rely on being able to use the segment selectors found in the CS, SS, DS, ES, FS, and GS registers without causing
another exception. The exception handler should load all segment registers before trying to resume the new task;
otherwise, general-protection exceptions (#GP) may result later under conditions that make diagnosis more diffi-
Data segment selector index The data segment descriptor is a nonconforming code type and CPL >DPL.
TSS segment selector index The TSS segment selector is NULL for LTR.
TSS segment selector index The TSS segment selector has the TI bit set for LTR.
TSS segment selector index The TSS segment descriptor/upper descriptor is beyond the GDT segment limit.
TSS segment selector index The TSS segment descriptor is not an available TSS type.
TSS segment selector index The TSS segment descriptor is an available 286 TSS type in IA-32e mode.
TSS segment selector index The TSS segment upper descriptor is not the correct type.
TSS segment selector index The TSS segment descriptor contains a non-canonical base.
TSS segment selector index There is a limit violation in attempting to load SS selector or ESP froma TSS on a call or
exception which changes privilege levels in legacy mode.
TSS segment selector index There is a limit violation or canonical fault in attempting to load RSP or IST from a TSS on a
call or exception which changes privilege levels in IA-32e mode.
Ta b le 6 -6 . I n v a lid T S S C o n d it io n s (C o n t d .)
E rro r C o d e I n d e x I n v a lid C o n d it io n
Vol. 3A 6-33
INTERRUPT AND EXCEPTION HANDLING
cult. The Intel recommended way of dealing situation is to use a task for the invalid TSS exception handler. The
task switch back to the interrupted task from the invalid-TSS exception-handler task will then cause the processor
to check the registers as it loads them from the TSS.
6-34 Vol. 3A
INTERRUPT AND EXCEPTION HANDLING
I n t e rru p t 1 1 S e g m e n t N o t P re se n t (# N P )
E x ce p t io n C la ss Fa u lt .
D e scrip t io n
Indicates that the present flag of a segment or gate descriptor is clear. The processor can generate this exception
during any of the following operations:
While attempting to load CS, DS, ES, FS, or GS registers. [Detection of a not-present segment while loading the
SS register causes a stack fault exception (#SS) to be generated.] This situation can occur while performing a
task switch.
While attempting to load the LDTR using an LLDT instruction. Detection of a not-present LDT while loading the
LDTR during a task switch operation causes an invalid-TSS exception (#TS) to be generated.
When executing the LTR instruction and the TSS is marked not present.
While attempting to use a gate descriptor or TSS that is marked segment-not-present, but is otherwise valid.
An operating system typically uses the segment-not-present exception to implement virtual memory at the
segment level. If the exception handler loads the segment and returns, the interrupted program or task resumes
execution.
A not-present indication in a gate descriptor, however, does not indicate that a segment is not present (because
gates do not correspond to segments). The operating system may use the present flag for gate descriptors to
trigger exceptions of special significance to the operating system.
A contributory exception or page fault that subsequently referenced a not-present segment would cause a double
fault (#DF) to be generated instead of #NP.
E x ce p t io n E rro r C o d e
An error code containing the segment selector index for the segment descriptor that caused the violation is pushed
onto the stack of the exception handler. If the EXT flag is set, it indicates that the exception resulted from either:
an external event (NMI or INTR) that caused an interrupt, which subsequently referenced a not-present
segment
a benign exception that subsequently referenced a not-present segment
The IDT flag is set if the error code refers to an IDT entry. This occurs when the IDT entry for an interrupt being
serviced references a not-present gate. Such an event could be generated by an INT instruction or a hardware
interrupt.
S a v e d I n st ru ct io n P o in t e r
The saved contents of CS and EIP registers normally point to the instruction that generated the exception. If the
exception occurred while loading segment descriptors for the segment selectors in a new TSS, the CS and EIP
registers point to the first instruction in the new task. If the exception occurred while accessing a gate descriptor,
the CS and EIP registers point to the instruction that invoked the access (for example a CALL instruction that refer-
ences a call gate).
P ro g ra m S t a t e C h a n g e
If the segment-not-present exception occurs as the result of loading a register (CS, DS, SS, ES, FS, GS, or LDTR),
a program-state change does accompany the exception because the register is not loaded. Recovery from this
exception is possible by simply loading the missing segment into memory and setting the present flag in the
segment descriptor.
If the segment-not-present exception occurs while accessing a gate descriptor, a program-state change does not
accompany the exception. Recovery from this exception is possible merely by setting the present flag in the gate
descriptor.
If a segment-not-present exception occurs during a task switch, it can occur before or after the commit-to-new-
task point (see Section 7.3, Task Switching). If it occurs before the commit point, no program state change
Vol. 3A 6-35
INTERRUPT AND EXCEPTION HANDLING
occurs. If it occurs after the commit point, the processor will load all the state information from the new TSS
(without performing any additional limit, present, or type checks) before it generates the exception. The segment-
not-present exception handler should not rely on being able to use the segment selectors found in the CS, SS, DS,
ES, FS, and GS registers without causing another exception. (See the Program State Change description for Inter-
rupt 10Invalid TSS Exception (#TS) in this chapter for additional information on how to handle this situation.)
6-36 Vol. 3A
INTERRUPT AND EXCEPTION HANDLING
I n t e rru p t 1 2 S t a ck Fa u lt E x ce p t io n (# S S )
E x ce p t io n C la ss Fa u lt .
D e scrip t io n
Indicates that one of the following stack related conditions was detected:
A limit violation is detected during an operation that refers to the SS register. Operations that can cause a limit
violation include stack-oriented instructions such as POP, PUSH, CALL, RET, IRET, ENTER, and LEAVE, as well as
other memory references which implicitly or explicitly use the SS register (for example, MOV AX, [BP+6] or
MOV AX, SS:[EAX+6]). The ENTER instruction generates this exception when there is not enough stack space
for allocating local variables.
A not-present stack segment is detected when attempting to load the SS register. This violation can occur
during the execution of a task switch, a CALL instruction to a different privilege level, a return to a different
privilege level, an LSS instruction, or a MOV or POP instruction to the SS register.
A canonical violation is detected in 64-bit mode during an operation that reference memory using the stack
pointer register containing a non-canonical memory address.
Recovery from this fault is possible by either extending the limit of the stack segment (in the case of a limit viola-
tion) or loading the missing stack segment into memory (in the case of a not-present violation.
In the case of a canonical violation that was caused intentionally by software, recovery is possible by loading the
correct canonical value into RSP. Otherwise, a canonical violation of the address in RSP likely reflects some register
corruption in the software.
E x ce p t io n E rro r C o d e
If the exception is caused by a not-present stack segment or by overflow of the new stack during an inter-privilege-
level call, the error code contains a segment selector for the segment that caused the exception. Here, the excep-
tion handler can test the present flag in the segment descriptor pointed to by the segment selector to determine
the cause of the exception. For a normal limit violation (on a stack segment already in use) the error code is set to
0.
S a v e d I n st ru ct io n P o in t e r
The saved contents of CS and EIP registers generally point to the instruction that generated the exception.
However, when the exception results from attempting to load a not-present stack segment during a task switch,
the CS and EIP registers point to the first instruction of the new task.
P ro g ra m S t a t e C h a n g e
A program-state change does not generally accompany a stack-fault exception, because the instruction that gener-
ated the fault is not executed. Here, the instruction can be restarted after the exception handler has corrected the
stack fault condition.
If a stack fault occurs during a task switch, it occurs after the commit-to-new-task point (see Section 7.3, Task
Switching). Here, the processor loads all the state information from the new TSS (without performing any addi-
tional limit, present, or type checks) before it generates the exception. The stack fault handler should thus not rely
on being able to use the segment selectors found in the CS, SS, DS, ES, FS, and GS registers without causing
another exception. The exception handler should check all segment registers before trying to resume the new
task; otherwise, general protection faults may result later under conditions that are more difficult to diagnose. (See
the Program State Change description for Interrupt 10Invalid TSS Exception (#TS) in this chapter for additional
information on how to handle this situation.)
Vol. 3A 6-37
INTERRUPT AND EXCEPTION HANDLING
I n t e rru p t 1 3 G e n e ra l P ro t e ct io n E x ce p t io n (# G P )
E x ce p t io n C la ss Fa u lt .
D e scrip t io n
Indicates that the processor detected one of a class of protection violations called general-protection violations.
The conditions that cause this exception to be generated comprise all the protection violations that do not cause
other exceptions to be generated (such as, invalid-TSS, segment-not-present, stack-fault, or page-fault excep-
tions). The following conditions cause general-protection exceptions to be generated:
Exceeding the segment limit when accessing the CS, DS, ES, FS, or GS segments.
Exceeding the segment limit when referencing a descriptor table (except during a task switch or a stack
switch).
Transferring execution to a segment that is not executable.
Writing to a code segment or a read-only data segment.
Reading from an execute-only code segment.
Loading the SS register with a segment selector for a read-only segment (unless the selector comes from a TSS
during a task switch, in which case an invalid-TSS exception occurs).
Loading the SS, DS, ES, FS, or GS register with a segment selector for a system segment.
Loading the DS, ES, FS, or GS register with a segment selector for an execute-only code segment.
Loading the SS register with the segment selector of an executable segment or a null segment selector.
Loading the CS register with a segment selector for a data segment or a null segment selector.
Accessing memory using the DS, ES, FS, or GS register when it contains a null segment selector.
Switching to a busy task during a call or jump to a TSS.
Using a segment selector on a non-IRET task switch that points to a TSS descriptor in the current LDT. TSS
descriptors can only reside in the GDT. This condition causes a #TS exception during an IRET task switch.
Violating any of the privilege rules described in Chapter 5, Protection.
Exceedin

Das könnte Ihnen auch gefallen