Sie sind auf Seite 1von 29

8

HA[3..31]
HBE-[0..7]

VCC2

AL35
AM34
AK32
AN33
AL33
AM32
AK30
AN31
AL31
AL29
AK28
AL27
AK26
AL25
AK24
AL23
AK22
AL21
AF34
AH36
AE33
AG35
AJ35
AH34
AG33
AK36
AK34
AM36
AJ33
6

CPUCLK
A20MAHOLD

4
2
2
2

BOFFBRDY-

EADS-

4
4

IGNNEINIT
INTR
2
NANMI

2
4,5

KEN-

SMISTPCLK-

CPURST

AK20

CPUCLK

AK18
H34

A20MAHOLD
BFSEL0
BOFFBRDYBRDYCBUSCHK

AK8
V4
Y33
Z4
X4
Y3
AL7

EADSEWBEFLUSHFRCMCHOLD
IGNNEINIT
INTR
INV

AM4
W3
AN7
Y35
AB4
AA35
AA33
AD34
U5

KENNANMI
PENRSSMISTPCLK2

W5
Y5
AC33
Z34
AC35
AB34
V34
AA5

R5

0/NA

WBWTVCC3

M34
N35
P34
Q33

RP1
WRWBWTBUSCHK
FLUSH-

1
3
5
7

AP
HLOCKDCMIO-

1
3
5
7

2
4
6
8
4.7KX4
RP2

HD0
HD1
HD2
HD3
HD4
HD5
HD6
HD7
HD8
HD9
HD10
HD11
HD12
HD13
HD14
HD15
HD16
HD17
HD18
HD19
HD20
HD21
HD22
HD23
HD24
HD25
HD26
HD27
HD28
HD29
HD30
HD31

2
4
6
8

4.7KX4
RP3
CACHEPENINTR
RS-

1
3
5
7

2
4
6
8
4.7KX4
RP4

SMIFRCMCSTPCLKBRDYC-

1
3
5
7

2
4
6
8
4.7KX4
RP5

IGNNENMI
A20MFERR-

1
3
5
7

2
4
6
8
1KX4

R1

A19
A21
A23
A25
A27
A29
E37
G37
J37
L33
L37
N37
Q37
S37
T34
U33
U37
W37
Y37
AA37
AC37
AE37
AG37
AN21
AN23
AN25
AN27
AN29

1K

BRDY-

NA-

EADS#
EWBE#
FLUSH#
FRCMC#
HOLD
IGNNE#
INIT
INTR/LINT[0]
INV

PCHK#
APCHK#
ADS#
ADSC#
M/IO#
D/C#
W/R#
HLDA

KEN#
NA#
NMI/LINT[1]
PEN#
R/S#
SMI#
STPCLK#
WB/WT#

PM[0]/BP[0]
PM[1]/BP[1]
BP[2]
BP[3]

Pentium
MMX

D[0]
D[1]
D[2]
D[3]
D[4]
D[5]
D[6]
D[7]
D[8]
D[9]
D[10]
D[11]
D[12]
D[13]
D[14]
D[15]
D[16]
D[17]
D[18]
D[19]
D[20]
D[21]
D[22]
D[23]
D[24]
D[25]
D[26]
D[27]
D[28]
D[29]
D[30]
D[31]

BREQ
FERR#
IERR#
PCD
PWT
PRDY
PHIT#
PHITM#
HIT#
HITM#
CACHE#
SMIACT#
TDO
D/P#

BF2 BF1
J P 3 JP2
0
0
0
0
0
1
1
0

BF 0
JP1
0
1
1
0

RATIO
2.5
3.0
3.5
4.0

AP
LOCK#

MHZ
66/166
66/200
66/233
66/266

PBGNT#
PBREQ#
PICD[0]
PICD[1]
SCYC
KEY

VCC3

D36
D30
C25
D18
C7
F6
F2
N5

1K
1

R3

AF4
AE5

1K
1K
1

AJ5
AM2
T4
AK4
AM6
AJ3

ADSADSPMIODCWR-

2
7
2
2
2

E21
E27
AJ19
AJ29

VCC
VCC
VCC_2
VCC_2
VCC_2
VCC_2
VCC_2
VCC_2
VCC_2
VCC_2
VCC_2
VCC_2
VCC_2
VCC_2
VCC_2
VCC_2
VCC_2
VCC_2
VCC_2
VCC_2
VCC_2
VCC_2
VCC_2
VCC_2
VCC_2
VCC_2
VCC_2

VCC_3
VCC_3
VCC_3
VCC_3

VCC_2
VCC_2
VCC_2
VCC2DET#

E17
E25
AJ15
AJ23

AJ1
Q5
P4

FERR-

FERR-

AG5
AL3

BFSEL1
BFSEL2

AC5
AA3
AC3
AK6
AL5
U3

HITMCACHE-

AG3

SMIACT-

HITMCACHE-

2
2

SMIACT-

26

AE35
AK2
AH4

AP
HLOCK-

HLOCK-

AD4
AE3
J33
L35
AL17
BFSEL0

BFSEL0

25

BFSEL1

BFSEL1

25

BFSEL2

BFSEL2

25

AH32

VID3

R34
S33
S35
W33
X34
W35
AL19
AN35
A37
C1
AN5
B6
B8
B10
B12
B14
B16
B18
B20
B22
B24
B26
B28
H2
H36
K2
K36
M2
M36
P2
P36
R2
R36
T2
T36
U35
V2
V36

N33

P55CT

2
7

C2
470PF/NA

C3
470PF/NA

C4
470PF/NA

C1

NMI
1

INTR
1

INIT

CPURST

VCC3

2
4
6
8

470PF/NA

ADSADSPMIODCWR-

Q3
R4
S3
S5

NC
NC
NC
NC

CPUTYP
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND

NC
NC
NC
NC
NC
NC
NC
NC
NC
INC
INC
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND

GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND

AN1
AN3

A7
A9
A11
A13
A15
A17
G1
J1
L1
N1
Q1
S1
U1
W1
Y1
AA1
AC1
AE1
AG1
AN9
AN11
AN13
AN15
AN17
AN19
E15
AJ11
B2
AL1

VCC2DET

VCC2DET

29

Q35
X2
X36
Z2
Z36
AB2
AB36
AD2
AD36
AF2
AF36
AH2
AJ37
AL37
AM8
AM10
AM12
AM14
AM16
AM18
AM20
AM22
AM24
AM26
AM28
AM30
AN37

E11
E13
E19
E23
E29
E31
AJ7
AJ9
AJ13
AJ17
AJ21
AJ25
AJ27
AJ31
A3

P55PWR

HD[0..63]
1 RN1
3
5
7
4.7KX4

2
4
6
8

4.7KX4

HOLD
EWBEINIT

2
4
6
8

RB2
4.7KX4

1
3
5
7

1
R4

RB1

1
3
5
7

VCC_3
VCC_3
VCC_3
VCC_3
VCC_3
VCC_3
VCC_3
VCC_3
VCC_3
VCC_3
VCC_3
VCC_3
VCC_3
VCC_3
VCC_3
VCC_3
VCC_3
VCC_3
VCC_3
VCC_3
VCC_3
VCC_3
VCC_3
VCC_3
VCC_3
VCC_3
VCC_3
VCC_3

HD63
HD62
HD61
HD60
HD59
HD58
HD57
HD56
HD55
HD54
HD53
HD52
HD51
HD50
HD49
HD48
HD47
HD46
HD45
HD44
HD43
HD42
HD41
HD40
HD39
HD38
HD37
HD36
HD35
HD34
HD33
HD32

DP[0]
DP[1]
DP[2]
DP[3]
DP[4]
DP[5]
DP[6]
DP[7]

HBE-0
HBE-1
HBE-2
HBE-3
HBE-4
HBE-5
HBE-6
HBE-7

1
R2

ADSP-

A20M#
AHOLD
BF0
BOFF#
BRDY#
BRDYC#
BUSCHK#

AL9
AK10
AL11
AK12
AL13
AK14
AL15
AK16

D32
D33
D34
D35
D36
D37
D38
D39
D40
D41
D42
D43
D44
D45
D46
D47
D48
D49
D50
D51
D52
D53
D54
D55
D56
D57
D58
D59
D60
D61
D62
D63

CLK
PICCLK

C15
D16
C13
D14
C11
D12
C9
D10
D8
A5
E9
B4
D6
C5
E7
C3
D4
E5
D2
F4
E3
G5
E1
G3
H4
J3
J5
K4
L5
L3
M4
N3

ADS-

K34
G35
J35
G33
F36
F34
E35
E33
D34
C37
C35
B36
D32
B34
C33
A35
B32
C31
A33
D28
B30
C29
A31
D26
C27
C23
D24
C21
D22
C19
D20
C17

BE#[0]
BE#[1]
BE#[2]
BE#[3]
BE#[4]
BE#[5]
BE#[6]
BE#[7]

RESET

TCLK
TDI
TMS
TRST#

VCC
CPU2

CPU1

A3
A4
A5
A6
A7
A8
A9
A10
A11
A12
A13
A14
A15
A16
A17
A18
A19
A20
A21
A22
A23
A24
A25
A26
A27
A28
A29
A30
A31
CPURST

4,5

4
4

2,7,10
2,7

VCC3

4,5

HA[3..31]
HBE-[0..7]

HA31
HA30
HA29
HA28
HA27
HA26
HA25
HA24
HA23
HA22
HA21
HA20
HA19
HA18
HA17
HA16
HA15
HA14
HA13
HA12
HA11
HA10
HA9
HA8
HA7
HA6
HA5
HA4
HA3

|LINK
|2.SCH
|3.SCH
|4.SCH
|5.SCH
|6.SCH
|7.SCH
|8.SCH
|9.SCH
|10.SCH
|11.SCH
|12.SCH
|13.SCH
|14.SCH
|15.SCH
|16.SCH
|17.SCH
|18.SCH
|19.SCH
|20.SCH
|21.SCH
|22.SCH
|23.SCH
|24.SCH
|25.SCH
|26.SCH
|27.SCH
|28.SCH
|29.SCH

HD[0..63]
FOR AMD-K6
BF2
BF1
JP3
JP2
1
0
1
0
1
1
1
1
0
0
0
0
0
1
0
1
4

BF0
JP1
0
1
0
1
0
1
0
1

2,7

PC CHIPS
RATIO
2.5X
3.0X
2.0X
3.5X
4.5X
5.0X
4.0X
5.5X

Title
P 5 4CT/P55CT

Size
B

D o c u m e n t Number
M598

Date:

T h u r s d a y , J u l y 06, 2000
2

Rev
5.1
Sheet

of
1

27

VCC3
VCC
1

VCC3

MD0
MD1
MD2
MD3
MD4
MD5
MD6
MD7
MD8
MD9
MD10
MD11
MD12
MD13
MD14
MD15
MD16
MD17
MD18
MD19
MD20
MD21
MD22
MD23
MD24
MD25
MD26
MD27
MD28
MD29
MD30
MD31
MD32
MD33
MD34
MD35
MD36
MD37
MD38
MD39
MD40
MD41
MD42
MD43
MD44
MD45
MD46
MD47
MD48
MD49
MD50
MD51
MD52
MD53
MD54
MD55
MD56
MD57
MD58
MD59
MD60
MD61
MD62
MD63

R10

AG1
AG3

AVDD
AVSS

E8
B8
E9
D9
A9
E10
B10
E11
B11
D11
E12
B12
D13
F13
A13
E13
E22
B24
D24
E23
C25
E24
B26
A27
B27
C27
C29
D26
D28
D29
E27
E28
D8
C8
A8
C9
B9
D10
C10
A10
C11
A11
D12
C12
A12
C13
B13
D14
D23
A24
C24
A25
B25
D25
A26
C26
E25
B28
C28
F25
D27
G25
E26
H25

RN9
CS#A[5]
CS#A[4]
CS#A[3]
CS#A[2]
CS#A[1]
CS#A[0]

VCC3

CB6

R9

1
CB1
0.1uF

6
1

530CCLK
ADSMIODCWRCACHEHLOCKHITMSMIACT-

1
1
1
1
1
1
1
1

BRDY1
KENNABOFFAHOLD
EADS-

1
1
1
1

CT3

AVDD
AVSS

CS#B[5]
CS#B[4]
CS#B[3]
CS#B[2]
CS#B[1]
CS#B[0]

530CCLK
ADSMIODCWRCACHEHLOCKHITMSMIACT-

AJ26
T26
V25
V29
T28
V27
U29
U25
V26

BRDYKENNABOFFAHOLD
EADS-

U27
V28
U24
U28
U26
T27
HBE-7
HBE-6
HBE-5
HBE-4
HBE-3
HBE-2
HBE-1
HBE-0

P29
R29
R28
R27
T25
R26
T24
T29

HA31
HA30
HA29
HA28
HA27
HA26
HA25
HA24
HA23
HA22
HA21
HA20
HA19
HA18
HA17
HA16
HA15
HA14
HA13
HA12
HA11
HA10
HA9
HA8
HA7
HA6
HA5
HA4
HA3

N24
H29
J28
K26
L27
K28
L28
L26
M25
K27
K29
P28
P27
P26
P25
N29
N27
N28
P24
N26
M28
N25
M29
M26
L29
L25
M27
J27
J29

CPUCLK
ADS#
M/IO#
D/C#
W/R#
CACHE#
HLOCK#
HITM#
SMIACT#
BRDY#
KEN#/INV
NA#
BOFF#
AHOLD
EADS#

MA[14]
MA[13]
MA[12]
MA[11]
MA[10]
MA[9]
MA[8]
MA[7]
MA[6]
MA[5]
MA[4]
MA[3]
MA[2]
MA[1]
MA[0]

530-1

HBE#[7]
HBE#[6]
HBE#[5]
HBE#[4]
HBE#[3]
HBE#[2]
HBE#[1]
HBE#[0]

DQM[7]
DQM[6]
DQM[5]
DQM[4]
DQM[3]
DQM[2]
DQM[1]
DQM[0]

HOST/SDRAM/L2

HA[31]
HA[30]
HA[29]
HA[28]
HA[27]
HA[26]
HA[25]
HA[24]
HA[23]
HA[22]
HA[21]
HA[20]
HA[19]
HA[18]
HA[17]
HA[16]
HA[15]
HA[14]
HA[13]
HA[12]
HA[11]
HA[10]
HA[9]
HA[8]
HA[7]
HA[6]
HA[5]
HA[4]
HA[3]

CKE[5]
CKE[4]
CKE[3]
CKE[2]
CKE[1]
CKE[0]
RAMW#
SRAS#
SCAS#
SDCLK
KOE#
CCS1#
GWE#
BWE#
ADSC#
ADV#
TAGWE#

HD63
HD62
HD61
HD60
HD59
HD58
HD57
HD56
HD55
HD54
HD53
HD52
HD51
HD50
HD49
HD48
HD47
HD46
HD45
HD44
HD43
HD42
HD41
HD40
HD39
HD38
HD37
HD36
HD35
HD34
HD33
HD32
HD31
HD30
HD29
HD28
HD27
HD26
HD25
HD24
HD23
HD22
HD21
HD20
HD19
HD18
HD17
HD16
HD15
HD14
HD13
HD12
HD11
HD10
HD9
HD8
HD7
HD6
HD5
HD4
HD3
HD2
HD1
HD0

AJ27
AE25

10uF
2

0.1uF

10

SIN2

MD63
MD62
MD61
MD60
MD59
MD58
MD57
MD56
MD55
MD54
MD53
MD52
MD51
MD50
MD49
MD48
MD47
MD46
MD45
MD44
MD43
MD42
MD41
MD40
MD39
MD38
MD37
MD36
MD35
MD34
MD33
MD32
MD31
MD30
MD29
MD28
MD27
MD26
MD25
MD24
MD23
MD22
MD21
MD20
MD19
MD18
MD17
MD16
MD15
MD14
MD13
MD12
MD11
MD10
MD9
MD8
MD7
MD6
MD5
MD4
MD3
MD2
MD1
MD0

10uF
2

CT2

E17
A16

CB2
0.1uF

0.1uF

AVSS
AVDD

1
1

10

AF22
AE20
AJ23
AH23
AG23
AF21
AF23
AJ24
AH24
AG24
AF24
AJ25
AE21
AH25
AG25
AF25
AH26
AH27
AE23
AF26
AE22
AE24
AG26
AG27
AG28
AH28
AF27
AG29
AD25
AF28
AE26
AF29
AE27
AE28
AC25
AD26
AE29
AD28
AD27
AD29
AB25
AC29
AC27
AC28
AC26
AB27
AA25
AB26
AB28
AB29
AA26
AA27
AA28
Y25
AA29
Y26
Y27
W25
Y28
Y29
W27
W28
W26
W29

TA[7]
TA[6]
TA[5]
TA[4]
TA[3]
TA[2]
TA[1]
TA[0]

B22
A22
C21
E20
B21
A21

7
5
3
1
1 RN8
3 10
5
7 RN7
1 10
3
5
7

C17
B17
F16
D16
C16
B16
A18
F17
B18
C18
D18
E18
A19
B19
C19
D19
A20
B20
C20
E19
D20

VCC3

10
8
6
4
2

CS#A5
CS#A4
CS#A3
CS#A2

2
4
6
8
2
4
6
8

CS#A1
CS#A0
CS#B5
CS#B4
CS#B3
CS#B2
CS#B1
CS#B0

Y17
Y19
Y20
W20
U20
R20
N20
M12
M13
M14
M15
M16
M17
M18
N12
N13
N14
N15
N16
N17
N18
P12
P13
P14
P15
P16
P17
P18
R12
R13
R14
R15
R16
R17
R18

5VDD

OVDD3
OVDD3
OVDD3
OVDD3
OVDD3
OVDD3
OVDD3
OVDD3
OVDD3
OVDD3
OVDD3
OVDD3
OVDD3
OVDD3
OVDD3
OVDD3

DVDD
DVDD
DVDD
DVDD
DVDD
DVDD
DVDD
DVDD
DVDD
DVDD
DVDD
DVDD
DVDD
DVDD
DVDD
DVDD

OVDD2
OVDD2
OVDD2
OVDD2
OVDD2
OVDD2
OVDD2

NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC
NC

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
SIS530-3

E14
A15
F14
A14
B14
C14
C23

R6

1 10

RAMW#

RAMW#

8,9

C22
E21

R7
R8

2
2

1 10
1 10

SRAS#
SCAS#

SRAS#
SCAS#

8,9
8,9

C15
E16
D21
B23
B15
D15
D22
A23

2
4
6
8
2
4
6
8

DQM7
DQM6
DQM5
DQM4
DQM3
DQM2
DQM1
DQM0

F26
F27
F28
J25
F29
G26
G27
J26

AE5
M10
P10
T10
V10
Y12
Y14
Y16
Y18
V20
T20
P20
M20
K18
K16
K14
K12

R5
R6
C5
C6
D5
D6
D7
E15
F15
R24
R25
AD15
AE15

T12
T13
T14
T15
T16
T17
T18
U12
U13
U14
U15
U16
U17
U18
V12
V13
V14
V15
V16
V17
V18

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

A17
H28
G28
G29
H26
H27
K25
E29

MA14
MA13
MA12
MA11
MA10
MA9
MA8
MA7
MA6
MA5
MA4
MA3
MA2
MA1
MA0

TESTPIN#

R19 2
R18 2
R17 2
1 RN4
3 10
5
7
1 RN5
3 10
5
7 RN6
1
3 10
5
7
1 RN3
3 10
5
7
1
3
5
7
10
CKE5 RN2
CKE4
CKE3
CKE2
CKE1
CKE0

2
4
6
8
2
4
6
8
2
4
6
8

10
10
10

SIN1
D17
L10
N10
R10
U10
W10
Y10
Y11
Y13
Y15
L20
K20
K19
K17
K15
K13
K11

10uF

VCC3

CB5

8.2K

CT1

CB3
0.1uF

0.1uF

R16

CB4

1
1

10

R11

CKE[0..5]
DQM[0..7]
MA[0..14]
CS#B[0..5]
CS#A[0..5]
MD[0..63]

VCC3
2

CKE[0..5]
DQM[0..7]
MA[0..14]
CS#B[0..5]
CS#A[0..5]
MD[0..63]

8,9
8,9
8,9
8,9
8,9
8,9

R15

1 10

R14
R12
R13

2
2
2

1 10
1 10
1 10

DCLK12

DCLK12

KOE#
CCS1#
GWE#
BWE#
ADSC#
ADV#
TAGWE#

KOE#
CCS1#
GWE#
BWE#
ADSC#
ADV#
TAGWE#

7
7
7
7
7
7
10

TA7
TA6
TA5
TA4
TA3
TA2
TA1
TA0
A

SIS530-1

HD0
HD1
HD2
HD3
HD4
HD5
HD6
HD7
HD8
HD9
HD10
HD11
HD12
HD13
HD14
HD15
HD16
HD17
HD18
HD19
HD20
HD21
HD22
HD23
HD24
HD25
HD26
HD27
HD28
HD29
HD30
HD31
HD32
HD33
HD34
HD35
HD36
HD37
HD38
HD39
HD40
HD41
HD42
HD43
HD44
HD45
HD46
HD47
HD48
HD49
HD50
HD51
HD52
HD53
HD54
HD55
HD56
HD57
HD58
HD59
HD60
HD61
HD62
HD63

PC CHIPS
Title
5 3 0 - 1 ( H O S T / S D R A M/L2/PWR)

HD[0..63]
HA[3..31]
HBE-[0..7]
8

HD[0..63]
HA[3..31]
HBE-[0..7]
6

1,7
1,7,10
1,7

10
5

TA[0..7]

TA[0..7]
4

Size
B

D o c u m e n t Number
M598

Date:

T h u r s d a y , J u l y 06, 2000
2

Rev
5.1
Sheet

of
1

27

VCC3CX

IDEDA0
IDEDA1
IDEDA2
IDEDA3
IDEDA4
IDEDA5
IDEDA6
IDEDA7
IDEDA8
IDEDA9
IDEDA10
IDEDA11
IDEDA12
IDEDA13
IDEDA14
IDEDA15
IDEDB0
IDEDB1
IDEDB2
IDEDB3
IDEDB4
IDEDB5
IDEDB6
IDEDB7
IDEDB8
IDEDB9
IDEDB10
IDEDB11
IDEDB12
IDEDB13
IDEDB14
IDEDB15

CB7
0.1uF

1
0-0805

33

2
CB13
0.1uF
VCC3CX

11,12

ICHRDYA
IDEREQA
IDEIRQA
CBLIDA
ICHRDYB
IDEREQB
IDEIRQB
CBLIDB

13
13
13
13
13
13
13
13

VGA14M
HSYNC
VSYNC
VDDCDAT
VDDCCLK
10
R
10
G
10
B
6
10
10

10
10

4,11,12

HSYNC
VSYNC
VDDCDAT
VDDCCLK

INT#A

VCC3

ICHRDYA
IDEREQA
IDEIRQA
CBLIDA
ICHRDYB
IDEREQB
IDEIRQB
CBLIDB

AF15
AH14
AE14
AE16
AF19
AJ20
AJ21
AE19

VGA14M
R37
R38
R39
R40
R
G
B
RSET
VREF
COMP
INT#A
R43

E5
D4
C4
C3
B3
A6
B6
A5
B7
C7
E7
AB4
B2

2
2
2
2

133
133
133
133

1
0/NA

F5
D1
C2

C5 1
2

10uF

R36

VREF

CB12

1147
1

20.1uF

VCS#[1]
VCS#[0]
VSRAS#
VSCAS#
VWE#
SCLK
DSF
VDQM[7]
VDQM[6]
VDQM[5]
VDQM[4]
VDQM[3]
VDQM[2]
VDQM[1]
VDQM[0]
VMA[11]
VMA[10]
VMA[9]
VMA[8]
VMA[7]
VMA[6]
VMA[5]
VMA[4]
VMA[3]
VMA[2]
VMA[1]
VMA[0]

PCI/IDE/VGA/FPD
/SGRAM

PLSENSE
PL-DCLK
PLPWDN
AVDD1
AVSS1
AVDD2/3
AVSS2/3
AVDD4
AVSS4

H3
H2
L5
H1
J3
J2
L4
J1
K4
K3
M5
K2
K1
L3
N6
L2

RSET

B5
A7

CB11

OSCI
HSYNC
VSYNC
DDCDATA
DDCCLK
ROUT
GOUT
BOUT
RSET
VREF
COMP
INT#A
ENVCO

0.1uF

0.1uF

CT5

IRQ15
IIOR#[B]
IIOW#[B]
IDACK#[B]
IDECS#B[1]
IDECS#B[0]
IDSAB[2]
IDSAB[1]
IDSAB[0]

530-2

G7/VMD47
G6/VMD46
G5/VMD45
G4/VMD44
G3/VMD43
G2/VMD42
G1/VMD41
G0/VMD40
R7/VMD39
R6/VMD38
R5/VMD37
R4/VMD36
R3/VMD35
R2/VMD34
R1/VMD33
R0/VMD32

FB-0805

0.1uF

L3

CB10

A3
E6
A4
B4

ICHRDYA
IDREQA
IIRQA
CBLIDA
ICHRDYB
IDREQB
IIRQB
CBLIDB

1
CB9

AVDD1
AVSS1
AVDD2
AVSS2

0.1uF
2

COMP

VCC3

IIOR#[A]
IIOW#[A]
IDACK#[A]
IDECS#A[1]
IDECS#A[0]
IDSAA[2]
IDSAA[1]
IDSAA[0]
IRQ14

C/BE#[3]
C/BE#[2]
C/BE#[1]
C/BE#[0]
PAR
FRAME#
IRDY#
TRDY#
STOP#
DEVSEL#
PLOCK#

VMD63
VMD62
VMD31
VMD30
VMD29
VMD28
VMD27
VMD26
VMD25
VMD24
VMD23
VMD22
VMD21
VMD20
VMD19
VMD18
VMD17
VMD16
VMD15
VMD14
VMD13
VMD12
VMD11
VMD10
VMD9
VMD8
VMD7
VMD6
VMD5
VMD4
VMD3
VMD2
VMD1
VMD0

AH2
AG5
AJ6
AJ8
AE9
AH5
AJ5
AE8
AH6
AF6
AG6

AC5
AE1
AD4
AD3
AC1
AC2
AJ14
AD14
AG15
AG16
AH16
AJ16
AH15
AJ15
AJ10

VCC3

VCC3

PGNT#3
PGNT#2
PGNT#1
PGNT#0
PHLDA#
BM_REQ#
R35
R34
R33
R20
R21
R22
R23
R24

2
2
2
2
2
2
2
2

133
133
133
133
133
133
133
133

IDEIOR#A
IDEIOW#A
IDACK#A
IDECS#A1
IDECS#A0
IDEAA2
IDEAA1
IDEAA0
IRQ14

PHLDA#
BM_REQ#

I D ECS#A[0..1]
IDEAA[0..2]

IDEIOR#A
IDEIOW#A
IDACK#A

Q29
NPN/NA

4
4

GPIO9

13
13
13
I D ECS#A[0..1]
IDEAA[0..2]

13
13

AG11
AG20
AH20
AF20
AG22
AH22
AJ22
AH21
AG21

R32
R31
R30
R29
R25
R26
R27
R28

2
2
2
2
2
2
2
2

133
133
133
133
133
133
133
133

IRQ15
IDEIOR#B
IDEIOW#B
IDACK#B
IDECS#B1
IDECS#B0
IDEAB2
IDEAB1
IDEAB0

IRQ[3..15]

IDECS#B[0..1]
IDEAB[0..2]

IRQ[3..15]
IDEIOR#B
IDEIOW#B
IDACK#B

4,5,14,15,16
13
13
13
I D ECS#B[0..1]
IDEAB[0..2]

13
13

E1
P2
P1
R4
R3
L1
M4
F4
F3
J5
F2
T6
U2
U5
U3
N5
M3
M2
M1
N4
N3
P6
N2
N1
P4
P5
P3

PLHSYNC/VMD61
PLVSYNC/VMD60
FDDCCLK/VMD59
FDDCDAT/VMD58
FPLDEAD/VMD57
PLXLCLK/VMD56
B7/VMD55
B6/VMD54
B5/VMD53
B4/VMD52
B3/VMD51
B2/VMD50
B1/VMD49
B0/VMD48

PAR
FRAME#
IRDY#
TRDY#
STOP#
DEVSEL#
PLOCK#

C/BE#3
C/BE#2
C/BE#1
C/BE#0
PAR
FRAME#
IRDY#
TRDY#
STOP#
DEVSEL#
PLOCK#

PGNT#[3]
PGNT#[2]
PGNT#[1]
PGNT#[0]
PHLDA#
BM_REQ#

G5
D2
E4
H5
E3
E2
F1
G4
J4
G3
G2
G1
K5
H4

530PCLK
PCIRST#
PHOLD#

PREQ#[3]
PREQ#[2]
PREQ#[1]
PREQ#[0]
PCICLK
PCIRST#
PHOLD#

C1
D3
T5
R2
R1
T1
T2
T3
T4
U1
U4
V1
U6
V2
V3
V4
V5
W1
W2
W3
W4
Y1
Y2
Y3
W5
Y4
AA1
AA2
Y5
AA3
AB1
AB2
AA4
AB3

6
4

AC4
AB5
AD2
AD1
AG2
AC3
AA5

0
Q30
NPN/NA

T H E SE COMPONENTS MUST BE CLOSED TO 530


PREQ#3
PREQ#2
PREQ#1
PREQ#0
530PCLK
PCIRST#
PHOLD#

R326

VCC3CX

AVSS2

CB14
0.1uF

10uF

SIN3

L2

R42

IDB15
IDB14
IDB13
IDB12
IDB11
IDB10
IDB9
IDB8
IDB7
IDB6
IDB5
IDB4
IDB3
IDB2
IDB1
IDB0
IDA15
IDA14
IDA13
IDA12
IDA11
IDA10
IDA9
IDA8
IDA7
IDA6
IDA5
IDA4
IDA3
IDA2
IDA1
IDA0

AE18
AH19
AD17
AG18
AJ18
AE17
AH17
AD16
AF16
AJ17
AG17
AF17
AH18
AF18
AJ19
AG19
AG14
AE13
AH13
AD13
AJ12
AE12
AF12
AF11
AH11
AJ11
AG12
AH12
AF13
AG13
AJ13
AF14

1
2

AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31
AE2
AE3
AE4
AD5
AF1
AF2
AF3
AF4
AH3
AJ3
AG4
AE6
AH4
AJ4
AF5
AE7
AF7
AG7
AH7
AJ7
AF8
AG8
AF9
AH8
AG9
AH9
AJ9
AE10
AF10
AG10
AH10
AE11

2
0-0805

VCC3CX
AVDD2
CT6

AD31
AD30
AD29
AD28
AD27
AD26
AD25
AD24
AD23
AD22
AD21
AD20
AD19
AD18
AD17
AD16
AD15
AD14
AD13
AD12
AD11
AD10
AD9
AD8
AD7
AD6
AD5
AD4
AD3
AD2
AD1
AD0

T H E SE COMPONENTS MUST BE CLOSED TO 530

AVSS1

4,11,12,27,28
11,12,27,28
4,11,12,27,28

33

C/BE#[0..3]
PREQ#[0..3]
AD[0..31]

L1

R41

CB8
0.1uF

C/BE#[0..3]
PREQ#[0..3]
AD[0..31]

2
CT4
10uF

13
13

PGNT#[0..3]
IDEDA[0..15]
IDEDB[0..15]

PGNT#[0..3]
IDEDA[0..31]
IDEDB[0..15]

AVDD1
11,12,27,28

SIS530-2

THESE COMPONENTS MUST BE


CLOSED TO 530

PC CHIPS
Title
5 3 0 - 2 ( P C I / I D E / V G A / FPD/SGRAM)

Size
B

D o c u m e n t Number
M598

Date:

T h u r s d a y , J u l y 06, 2000
2

Rev
5.1
Sheet

of
1

27

PWRGD
PSRSTB#
RING
PWRBT#

23
21
18

120

PWRGD
PSRSTB#
RING
PWRBT#

21
20
18
23
24
26
29

RB3
1
3
5
7

VCC
C6

R52(2-3)
1
2
3

1
2
3

CLK14M
KBCLK
PMCLK
25

18
19

4.7K

6,8,9
6,8,9
18
18
27
22
VCC

GPIO9
-EXT
KLOCK#
SIRQ
DDCDAT
DDCCLK
OC#0
OC#1
GPIO4
FAN2

GPIO9
GPCS#0
KLOCK#
SIRQ
DDCDAT
DDCCLK
OC#0
OC#1
GPIO4
FAN2

R49(2-3)
1
1 2
2 3
3
HEADER 3

UCLK48M

UV0+
UV0UV1+
UV1-

VIN0
VIN1
VIN2
VIN3

16
15
14
13

IRQ3
IRQ4
IRQ5
IRQ6
IRQ7
IRQ9
IRQ10
IRQ11

180
182
136
33
6
7
5
4
3
2
9
8

BM_REQ#
EXTSMI#
CLK14M
GPIO[2]/KBCLK
GPIO[1]/PMCLK
GPIO[3]/CPU_STO
GPIO[9]/THERM#/
GPCS#[0]
GPCS#[1]/KLOCK#
GPIO[17]/SIRQ
DDCDAT
DDCCLK
GPIO[7]/OC#[0]/
GPIO[8]/OC#[1]
GPIO[4]/FAN[1]
GPIO[11]/FAN[2]

ROMKBCS#
SPKR

PCI/CPU/USB

SMRDC#
SMWTC#
BALE
AEN
BCLK
RSTDRV
TC
SBHE#
IORC#
IOWC#
MRDC#
MWTC#
RFH#
IOCHRDY
GPIO[16]/IOCHK#

GPI[12]/VIN[0]
GPI[13]/VIN[1]
GPI[14]/VIN[2]
GPI[15]/VIN[3]

DACK#[0]
DACK#[1]
DACK#[2]
DACK#[3]
DACK#[5]
DACK#[6]
DACK#[7]

1
1

CT7
10uF

2
2

147

115
116
117
118

3
5
CPURST

NMI
INTR
IGNNEA20MINIT
SMISTPCLK-

NMI
INTR
IGNNEA20MINIT
SMISTPCLK-

UV0+
UV0UV1+
UV1-

1,5

1,5
1,5
1
1
1
1
1

UV0+
UV0UV1+
UV1-

-VID0

28
25
27

18
18
18
18

PS_ON#
PME_1X

-VID0
PS_ON#

ROMKBCS#
SPKR

ROMKBCS#
SPKR

26

R62
10K

23

14.7K

RTCVSS

145
144
176
138
162
130
173
181
149
148
193
195
159
141
1

R45
R46

192
157
169
154
194
198
205

DACK#0
DACK#1
DACK#2
DACK#3
DACK#5
DACK#6
DACK#7

142
137
139
134
135
140
131
133
196
197
200
202
203
206
207
208

SD0
SD1
SD2
SD3
SD4
SD5
SD6
SD7
SD8
SD9
SD10
SD11
SD12
SD13
SD14
SD15

R44

2
2

1 22
1 22

1 22

RB4
1
3
5
7

2
4
6
8
33X4

SMRDC#
SMWTC#
BALE
AEN
BCLK
PIN130
TC
SBHE#
IORC#
IOWC#
MRDC#
MWTC#
RFH#
IOCHRDY
IOCHK#

19
18

SMRDC#
SMWTC#
BALE
AEN
BCLK
PIN130
TC
SBHE#
IORC#
IOWC#
MRDC#
MWTC#
RFH#
IOCHRDY
IOCHK#

R63

1K
1 LANWK

2Q2 2
2N3904

LANWK

14,15
14,15
14,15
14,15,16
14,15
14,15,16
14,15
14,15,16
14,15,16
14,15,19
14,15,19
14,15
14,15,16
14,15

VCC
RB5
PHOLD#
PHLDA#
BM_REQ#

1
3
5
7

2
4
6
8
10KX4

DACK#[0..7]
SD[0..15]
LA[17..23]
SA[0..19]

5595

DACK#[0..7]
SD[0..15]
LA[17..23]
SA[0..19]

14,15,16
14,15,16,19,25
5,14,15
14,15,16,19

PC CHIPS
Title
5595

PIN146
PIN143
PIN151

AGND

AGND
6

17

AGND

36
37

R58

CB15
0.1uF

R56

LA23
LA22
LA21
LA20
LA19
LA18
LA17

10

CB18
0.1uF
2

147
147

AVDD
1

R47

SA16
SA15
SA14
SA13
SA12
SA11
SA10
SA9
SA8
SA7
SA6
SA5
SA4
SA3
SA2
SA1
SA0

VIN[0..3]
IRQ[3..15]
DRQ[0..7]

22
3,5,14,15,16
14,15,16

VIN[0..3]
IRQ[3..15]
DRQ[0..7]

12
17

VCC

19

DRQ[0]
DRQ[1]
DRQ[2]
DRQ[3]
DRQ[5]
DRQ[6]
DRQ[7]

OVSS1
OVSS2
OVSS3
OVSS4
OVSS5
OVSS6
OVSS7

IRQ[14]
IRQ[15]

64
73
85
99
109
150
188

191
158
132
152
199
201
204

DVSS1
DVSS2
DVSS3

11
10

DRQ0
DRQ1
DRQ2
DRQ3
DRQ5
DRQ6
DRQ7

PMDAT/IRQ[12]

90
123
172

OPEN/0

PIN11
PIN10
PMDAT

SD[0]
SD[1]
SD[2]
SD[3]
SD[4]
SD[5]
SD[6]
SD[7]
SD[8]
SD[9]
SD[10]
SD[11]
SD[12]
SD[13]
SD[14]
SD[15]

LA17
LA18
LA19
LA20
LA21
LA22
LA23

34

IO16#
M16#
ZWS#
KBDAT/IRQ[1]
IRQ[3]
IRQ[4]
IRQ[5]
IRQ[6]
IRQ[7]
IRQ[9]
IRQ[10]
IRQ[11]

190
189
187
186
185
184
183

PIN11
PIN10
PMDAT

2
2

PHOLD#
RSTPCI#
VCC3

R256
100K/NA

RTC/KBC/ISA

SA0
SA1
SA2
SA3
SA4
SA5
SA6
SA7
SA8
SA9
SA10
SA11
SA12
SA13
SA14
SA15
SA16
SA17
SA18
SA19

5
5
20

R50 1

R54
R55

PHOLD#
RSTPCI#
CPURST

ENABLE DLL

AVDD
AGND

IO16#
M16#
ZWS#
KBDAT

IRQ12

147
11K

SB5V
GPO[6]/CKE_S/AC
PS_ON#/RTCALE
GPIO[10]/PME#[1

179
178
177
175
170
171
167
166
165
164
163
161
160
156
155
153
147
151
143
146

IO16#
M16#
ZWS#
20
KBDAT
2-3 AVALIABLE FOR VERSION DF
1-2 AVALIABLE FOR VERSION CW

2
2

POWERED BY RTCVDD/RTCVSS

14,15
14,15
14,15

22
30
119

5595

OSC32KHI/IRQ[8]
OSC32KHO/RTCCS#
PWRGD
PSRSTB#
RING
PWRBT#
GPIO[5]/PME#[0]

AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31
FERR#

47
48
46
51
45
49
44

R53
R60

R57 2
127
129
35
31
32
128
40
55
52
54
41
42
122
124
38
39

4.7KX4
CLK14M
KBCLK
PMCLK

6
20
20

VCC

2
4
6
8

BM_REQ#

BM_REQ#

10pF

10pF

32.768K

61
63
65
66
67
68
69
70
71
72
74
75
77
78
79
80
93
94
95
96
97
98
100
101
103
105
106
107
108
110
111
112

UCLK48M

C7

43

R59
1K

C/BE#0
C/BE#1
C/BE#2
C/BE#3

UCLK48M

17,27

Y1
1

FERR-

NMI
INTR
IGNE#
A20M#
INIT#
SMI#
STPCLK#

76
82
91
102
113
126
50

FERR-

VCC

2 R48
5.6M

CB17
0.1uF

3,11,12,27,28
3,11,12,27,28
3,11,12,27,28
3,11,12,27,28
3,11,12,27,28
3,11,12,27,28

C/BE#[0]
C/BE#[1]
C/BE#[2]
C/BE#[3]
PHOLD#
PCIRST#
CPURST

USBVSS

INT#[A]
INT#[B]
INT#[C]
INT#[D]
PHLDA#
SERR#
PCLK
FRAME#
DEVSEL#
IRDY#
TRDY#
STOP#
GPIO[0]/PAR

114

3
11,12,28

56
57
59
60
125
83
58
87
89
92
86
84
53

RTCVDD
KBVDD
USBVDD

INT#A
INT#B
INT#C
INT#D
PHLDA#
SERR#
5595PCLK
FRAME#
DEVSEL#
IRDY#
TRDY#
STOP#
PAR

CB16
0.1uF

OVDD1
OVDD2
OVDD3
OVDD4

3,11,12
11,12,27
11,12,27
11,12,28

3,11,12,27,28
3,11,12,27,28

SB5V
VCC3

U1

MMBT3904
INT#A
INT#B
INT#C
INT#D
PHLDA#
SERR#
5595PCLK
FRAME#
DEVSEL#
IRDY#
TRDY#
STOP#
PAR

AD[0..31]
C/BE#[0..3]

RTCVDD

PME#

Q1

PME#

PME#

VCC

FOR 5595_B
1-2 ONLY

FOR 5595_A2
1-2 Enable
2-3 Default

AD[0..31]
C/BE#[0..31]

215K

11,12,27,28

62
81
104
168

1 33K

AD31
AD30
AD29
AD28
AD27
AD26
AD25
AD24
AD23
AD22
AD21
AD20
AD19
AD18
AD17
AD16
AD15
AD14
AD13
AD12
AD11
AD10
AD9
AD8
AD7
AD6
AD5
AD4
AD3
AD2
AD1
AD0

R51

SB5V

88
121
174

R61

RTCVDD

DVDD1
DVDD2
DVDD3

PIN146
PIN143
PIN151
4

5
5
5
3

Size
B

D o c u m e n t Number
M598

Date:

T h u r s d a y , J u l y 06, 2000
2

Rev
5.1
Sheet

of
1

27

INTR
IRQ[3..15]

IRQ[3..15]

1,4

Q4
MMBT3904

2
1

27K

IRQ15
IRQ14

C10

VCC3
D

0.1uF

R68

PIN10
PIN11

PIN10
PIN11

3,4,14,15,16
1 R66

4
4

INTR

NMI

CPURST

1 R65

Q3
MMBT3904

PCIRST#
1 R67

RSTDRV

C9
0.1uF

Q5
2N7002

VCC
PIN11

1R73

TWO OPTIONS :

DXN

L A YOUT FOR SOCKET 7 USES A THERMISTOR

A : Thermistor Circuitry
B : Transistor Circuitry

3,11,12,27,28

200K/NA

10 MILS GROUND TRACE

PCIRST#

R69

27K

1,4

1,4

CPURST

NMI

PIN146
PIN143
PIN151

PIN146
PIN143
PIN151

330
4
4
4

10 MILS SPACE

R72

DXP

10 MILS DXP TRACE

3.3K

THERMISTOR

MINIMUM SPACE

DXP

AGND

10 MILS AVSS TRACE

DXN

10 MILS SPACE

RN10
1
3
5
7

2
4
6
8

DXP
IRQ15
IRQ14
PCREQ#

SIS5595B

PIN10
PIN146
PIN143
PIN151

C12
0.1U

RT1
R

GND

10 MILS GROUND TRACE

SOCKET 7

Q8
2N3904/NA

0X4
2

L A YOUT FOR SOCKET 7 USES A TRANSISTOR


C11

103JT-025A
4

AGND

AGND

10 MILS GROUND TRACE

R70

PIN11
10 MILS SPACE

0/NA

0.1uF/NA

DXP

10 MILS DXP TRACE

PIN11

10 MILS DXN TRACE

MINIMUM SPACE

TRANSISTOR

VCC
0.1uF
R71

10K/NA

SOCKET 7

OEN#
Q7
MMBT3904/NA

10 MILS GROUND TRACE


GND

2
MASTER#

MASTER#

14,15

R76

10 MILS SPACE

AGND
SIS5595B

10K/NA

LA17

U2A 3

SA17

1 R77

R64
2.7K

OEN#

PCREQ#
4

R75
470

LA18
1

RSTPCI#

RSTPCI#

Q6

MMBT3904

SA18

7 4 H CT125/NA
2

1 R78
A

OEN#

10

10K

U2B 6

RSTDRV

R74
4
A

VCC

7 4 H CT125/NA
2

VCC

LA19

PC CHIPS
U2C 8

SA19
Title
5 5 9 5 V E R S I O N (B2 ONLY)

4,14,15

LA[17..23]

LA[17..23]
7 4 H CT125/NA
0
2
5

1 R79
4

Size
B

D o c u m e n t Number
M598

Date:

T h u r s d a y , J u l y 06, 2000
2

Rev
5.1
Sheet

of
1

27

VCC3
L6
FB

P L A C E THESE RESISTORS AND CAPACITORS NEAR RECEIVER

VDDS
VDDS
VDDS

IOAPIC
CPU[1]
CPU[2]
CPU[3]

XOUT

1
2

10pF/NA NA
NA

10pF/NA NA
NA

10pF/NA
C27

1
2

1
2

NA

10pF/NA
C25

1
2

1
2

1
2

NA

10pF/NA NA
NA

C29
10pF/NA
NA

7
8
10
11
12
13

FS1
FS2

R107
R108
R109
R110
R111
R112

2
2
2

1 22
1 22
1 10

CPUCLK
530CCLK
SRAMCLK

2
2
2
2
2
2

1 10
1 10
1 10
1 10
1 10
1 10

530PCLK
PCICLK1
PCICLK2
PCICLK3
PCICLK4
5595PCLK

530PCLK
PCICLK1
PCICLK2
PCICLK3
PCICLK4
5595PCLK

SIO24M
UCLK48M

SIO24M
UCLK48M

16
4

VGA14M
CLK14M
ISA14M

VGA14M
CLK14M
ISA14M

3
4
14,15,27

25
26

R89
R82
R83

2
2
2

1 50K
1 22
1 22

VCC3

FS0

2
46
44

R86
R84
R87

2
2
2

1 50K
1 22
1 22

VCC3

SD

31.7
35
37.3
31
33.3
31
ABOVE

SDRAM[0]
SDRAM[1]
SDRAM[2]
SDRAM[3]
SDRAM[4]
SDRAM[5]
SDRAM[6]
SDRAM[7]
SDRAM[8]/PD#
SDRAM[9]/SDS#
SDRAM[10]/PS#
SDRAM[11]/CS#
SDRAM[12]

38
37
35
34
32
31
29
28
21
20
18
17
15

R95
R96
R97
R98
R99
R100
R101
R102
R103
R104
R105
R106

L5
1

10

10

10

10

1
2

10

1
CB25
100pF

8,9

10
10
1

R88

22

9148-37/59
IMI C9745
ICS9248-59
IW513
2

DCLK12

DCLK12

C15
10P/NA
2

CB26
0.1uF

CB24
0.1uF

DCLK[0..11]

2
4
6
8

+ CE1
10uF

10

10
2

DCLK0
DCLK1
DCLK2
DCLK3
DCLK4
DCLK5
DCLK6
DCLK7
DCLK8
DCLK9
DCLK10
DCLK11

10

7
3
11
11
12,28
27
4

10

FB

VCC3

SRAMCLK2

2
VCC3

DCLK[0..11]

1 22
1 10

10

2
2

2
2

1
2

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

CPUCLK
530CCLK
SRAMCLK1

0
95
63.3
0
105
70
1
112
74.7
0
124
82.7
1
133.3 88.9
0
124
124
OTHERS THE SAME AS

R80
R81
R85

R90
R91
3
9
16
22
27
33
39
45

0
0
1
0
1
0
0
1
0
0
1
1
0
1
1
1
1
1
F O R ICS9248-59,

REF[0]/MODE
REF[1]/SD
REF[2]

PCI
33.4
33.4
31.7
33.3
33.3
37.3
41.3
34.3
33.4
30
33.3
31.7
33.3
37.3
41.3
33.3

VDDC

SDRAM
89
66.8
76
66.6
75
84
93
103
66.8
75
83.3
95
100
112
124
133.3

47
43
41
40

SCLK
SDATA

42

FS0
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1

24M_REF[3]/SIO
48MHZ/S[0]

S D FS2 FS1
0
0
0
0
0
0
0
0
1
0
0
1
0
1
0
0
1
0
0
1
1
0
1
1
1
0
0
1
0
0
1
0
1
1
0
1
1
1
0
1
1
0
1
1
1
1
1
1
FOR IMI C9745

24
23

VDDI

CPU
66.8
83.3
95
100
100
112
124
103
66.8
75
83.3
95
100
112
124
133.3

DDCCLK
DDCDAT

48

DDCCLK
DDCDAT

C14
10pF

1
4,8,9
4,8,9

PCI_F/S[1]
PCI[1]/S[2]
PCI[2]
PCI[3]
PCI[4]
PCI[5]

C13
10pF

NA

10pF/NA
C23

C28

P L A CE THESE RESISTORS NEAR CLOCK GENERATOR

XIN

14.318MHz
R92
2.7K

10pF/NA

C26

U3

Y2

R93
2.7K

NA

10pF/NA
C21

C24

10uF

VDD
VDD
VDD
VCC3
C

NA

C22

+ CE2

1
6
14
4

C19

10pF/NA

NA

19
30
36

CB23
100pF
2

100pF

C20
10pF/NA

10pF/NA

CB22

100pF

CB21

1
CB20
0.01uF

CB19
0.01uF

1
2

CB27
0.1uF

10pF
C17

NA
C30
100PF

C18

CE3
10UF

C31
100PF
2

C32
0.01UF

C33
0.01UF

2
FB

10pF/NA

L4
1

C16

2
VCC3

RB6
47KX4
A

1
3
5
7

PC CHIPS

25
25
25

Title

S H ORT : ASYNC WITH SDRAM


OPEN : SYNC WITH SDRAM

C L O C K G E N E R A T O R ( I M I C 9 7 4 5 , I C S 9 2 4 8 - 5 9 ,IW513)

R94
4.7K/NA

FS2
FS1
FS0

SD
FS2
FS1
FS0

Size
B

D o c u m e n t Number
M598

Date:

T h u r s d a y , J u l y 06, 2000
2

Rev
5.1
Sheet

of
1

27

HD[0..63]

HD[0..63]

1,2
1,2,10

HA[3..31]

HA[3..31]

VCC3
VCC3

ADSPADSC#
ADV#

2
2

BWE#
GWE#

2
2

KOE#
CCS1#

SRAMCLK1

115

ADSPADSC#
ADV#

105
106
104

BWE#
GWE#

114
113

KOE#
CCS1#

116
121
VCC3
126
124

SHA19

SHA19

BWE#
GWE#
OE#
CE#
CE[2]
CE#[2]

R257(2-3)
1
1 2
2 3
3
HEADER 3

127
125
MODE

41
63
HBE-7
HBE-6
HBE-5
HBE-4
HBE-3
HBE-2
HBE-1
HBE-0

VCC3

R115
4.7K
SHA20

SHA20

120
119
118
117
112
111
108
107
40
52

10

46
59
110
123

MODE

CE[3]
CE#[3]
MODE
ZZ
BW#[8]
BW#[7]
BW#[6]
BW#[5]
BW#[4]
BW#[3]
BW#[2]
BW#[1]
NC
NC
GND
GND
GND
GND

103
90
78
65
39
26
14
1

MODE

ADSP#
ADSC#
ADV#

GNDQ
GNDQ
GNDQ
GNDQ
GNDQ
GNDQ
GNDQ
GNDQ

10

SRAMCLK

13
25
38
64
77
89
102
128
VCCQ
VCCQ
VCCQ
VCCQ
VCCQ
VCCQ
VCCQ
VCCQ
6

SRAMCLK2
ADSPADSC#
ADV#

62
61
60
57
56
55
54
53
51
50
49
48
47
44
43
42

SRAMCLK2

115
105
106
104

BWE#
GWE#

114
113

KOE#
CCS1#

116
121
126
124

R258(2-3)
1
1 2
2 3
3
HEADER 3

DQ[64]
DQ[63]
DQ[62]
DQ[61]
DQ[60]
DQ[59]
DQ[58]
DQ[57]
DQ[56]
DQ[55]
DQ[54]
DQ[53]
DQ[52]
DQ[51]
DQ[50]
DQ[49]
DQ[48]
DQ[47]
DQ[46]
DQ[45]
DQ[44]
DQ[43]
DQ[42]
DQ[41]
DQ[40]
DQ[39]
DQ[38]
DQ[37]
DQ[36]
DQ[35]
DQ[34]
DQ[33]
DQ[32]
DQ[31]
DQ[30]
DQ[29]
DQ[28]
DQ[27]
DQ[26]
DQ[25]
DQ[24]
DQ[23]
DQ[22]
DQ[21]
DQ[20]
DQ[19]
DQ[18]
DQ[17]
DQ[16]
DQ[15]
DQ[14]
DQ[13]
DQ[12]
DQ[11]
DQ[10]
DQ[9]
DQ[8]
DQ[7]
DQ[6]
DQ[5]
DQ[4]
DQ[3]
DQ[2]
DQ[1]

A[0]
A[1]
A[2]
A[3]
A[4]
A[5]
A[6]
A[7]
A[8]
A[9]
A[10]
A[11]
A[12]
A[13]
A[14]
A[15]

SRAMCLK
ADSP#
ADSC#
ADV#
BWE#
GWE#
OE#
CE#
CE[2]
CE#[2]

SHA19
127
125

VCC3
MODE

41
63
HBE-0
HBE-1
HBE-2
HBE-3
HBE-4
HBE-5
HBE-6
HBE-7

120
119
118
117
112
111
108
107

SHA20

40
52
46
59
110
123

64KX64

CE[3]
CE#[3]
MODE
ZZ
BW#[8]
BW#[7]
BW#[6]
BW#[5]
BW#[4]
BW#[3]
BW#[2]
BW#[1]
NC
NC
GND
GND
GND
GND

1,2

64KX64

VCC3Q

HD0
HD1
HD2
HD3
HD4
HD5
HD6
HD7
HD8
HD9
HD10
HD11
HD12
HD13
HD14
HD15
HD16
HD17
HD18
HD19
HD20
HD21
HD22
HD23
HD24
HD25
HD26
HD27
HD28
HD29
HD30
HD31
HD32
HD33
HD34
HD35
HD36
HD37
HD38
HD39
HD40
HD41
HD42
HD43
HD44
HD45
HD46
HD47
HD48
HD49
HD50
HD51
HD52
HD53
HD54
HD55
HD56
HD57
HD58
HD59
HD60
HD61
HD62
HD63

VCC
VCC
VCC
VCC

SRAMCLK1

1
2
2

U5
37
36
35
34
33
32
31
30
29
28
27
24
23
22
21
20
19
18
17
16
15
12
11
10
9
8
7
6
5
4
3
2
101
100
99
98
97
96
95
94
93
92
91
88
87
86
85
84
83
82
81
80
79
76
75
74
73
72
71
70
69
68
67
66

122
109
58
45

HA3
HA4
HA5
HA6
HA7
HA8
HA9
HA10
HA11
HA12
HA13
HA14
HA15
HA16
HA17
HA18

GNDQ
GNDQ
GNDQ
GNDQ
GNDQ
GNDQ
GNDQ
GNDQ

A[0]
A[1]
A[2]
A[3]
A[4]
A[5]
A[6]
A[7]
A[8]
A[9]
A[10]
A[11]
A[12]
A[13]
A[14]
A[15]

103
90
78
65
39
26
14
1

62
61
60
57
56
55
54
53
51
50
49
48
47
44
43
42

HD63
HD62
HD61
HD60
HD59
HD58
HD57
HD56
HD55
HD54
HD53
HD52
HD51
HD50
HD49
HD48
HD47
HD46
HD45
HD44
HD43
HD42
HD41
HD40
HD39
HD38
HD37
HD36
HD35
HD34
HD33
HD32
HD31
HD30
HD29
HD28
HD27
HD26
HD25
HD24
HD23
HD22
HD21
HD20
HD19
HD18
HD17
HD16
HD15
HD14
HD13
HD12
HD11
HD10
HD9
HD8
HD7
HD6
HD5
HD4
HD3
HD2
HD1
HD0

VCC
VCC
VCC
VCC

HA3
HA4
HA5
HA6
HA7
HA8
HA9
HA10
HA11
HA12
HA13
HA14
HA15
HA16
HA17
HA18

DQ[64]
DQ[63]
DQ[62]
DQ[61]
DQ[60]
DQ[59]
DQ[58]
DQ[57]
DQ[56]
DQ[55]
DQ[54]
DQ[53]
DQ[52]
DQ[51]
DQ[50]
DQ[49]
DQ[48]
DQ[47]
DQ[46]
DQ[45]
DQ[44]
DQ[43]
DQ[42]
DQ[41]
DQ[40]
DQ[39]
DQ[38]
DQ[37]
DQ[36]
DQ[35]
DQ[34]
DQ[33]
DQ[32]
DQ[31]
DQ[30]
DQ[29]
DQ[28]
DQ[27]
DQ[26]
DQ[25]
DQ[24]
DQ[23]
DQ[22]
DQ[21]
DQ[20]
DQ[19]
DQ[18]
DQ[17]
DQ[16]
DQ[15]
DQ[14]
DQ[13]
DQ[12]
DQ[11]
DQ[10]
DQ[9]
DQ[8]
DQ[7]
DQ[6]
DQ[5]
DQ[4]
DQ[3]
DQ[2]
DQ[1]

122
109
58
45

VCCQ
VCCQ
VCCQ
VCCQ
VCCQ
VCCQ
VCCQ
VCCQ

13
25
38
64
77
89
102
128

U4
37
36
35
34
33
32
31
30
29
28
27
24
23
22
21
20
19
18
17
16
15
12
11
10
9
8
7
6
5
4
3
2
101
100
99
98
97
96
95
94
93
92
91
88
87
86
85
84
83
82
81
80
79
76
75
74
73
72
71
70
69
68
67
66

VCC3Q

HBE-[0..7]

HBE-[0..7]

PC CHIPS
Title
T A G & P I P E L I N E B U R S T S R A M S-1 (2Mega)

Size
B

D o c u m e n t Number
M598

Date:

T h u r s d a y , J u l y 06, 2000
2

Rev
5.1
Sheet

of
1

27

2,9

MD[0..63]

2,9

MA[0..14]

2,9

DQM[0..7]

MD[0..63]
MA[0..14]
DQM[0..7]
VCC3

VCC3
VCC3

VCC3

21
22
52
53
105
106
136
137
2,9
2,9

SRAS#
SCAS#

SRAS#
SCAS#

115
111
CS#A0
CS#A1
CS#B0
CS#B1

2,9

RAMW#

RAMW#

27
48

DCLK0
DCLK1
DCLK2
DCLK3
CKE0
CKE1

4,6,9
4,6,9

DDCCLK
DDCDAT

DDCCLK
DDCDAT

30
114
45
129

42
125
79
163
128
63
83
82
165
166
167

CB[0]
CB[1]
CB[2]
CB[3]
CB[4]
CB[5]
CB[6]
CB[7]
SRAS#
SCAS#
S#[0]
S#[1]
S#[2]
S#[3]
WE0#
WE2#
CK[0]
CK[1]
CK[2]
CK[3]
CKE[0]
CKE[1]
SCL
SDA
SA[0]
SA[1]
SA[2]

addr =
1010000b

WP/NC
NC
NC
NC
NC/OE#0
NC/OE#2

61
62
80
108

NC
NC
NC
NC

81
24
25
50
31
44

DQM[0]
DQM[2]
DQM[3]
DQM[4]
DQM[6]
DQM[7]
DQM[1]
DQM[5]

6
18
26
40
41
49
59
73
84
90
102
110
124
133
143
157
168
MD55
MD54
MD53
MD52
MD51
MD50
MD49
MD48
MD47
MD46
MD45
MD44
MD43
MD42
MD41
MD40

MA2
MA3
MA4
MA5
MA6
MA7
MA8
MA9
MA10
MA13
MA14
MA0
MA1

34
118
35
119
36
120
37
121
38
123
126
33
117

MA11
MA12

122
39
132

DQM7
DQM5
DQM4
DQM3
DQM1
DQM0
DQM6
DQM2

28
46
47
112
130
131
29
113

MD39
MD38
MD37
MD36
MD35
MD34
MD33
MD32
MD31
MD30
MD29
MD28
MD27
MD26
MD25
MD24
MD23
MD22
MD21
MD20
MD19
MD18
MD17
MD16
MD15
MD14
MD13
MD12
MD11
MD10
MD9
MD8

21
22
52
53
105
106
136
137
SRAS#
SCAS#

115
111
CS#A2
CS#A3
CS#B2
CS#B3

RAMW#

27
48
DCLK4
DCLK5
DCLK6
DCLK7
CKE2
CKE3

DDCCLK
DDCDAT
VCC3

MD7
MD6
MD5
MD4
MD3
MD2
MD1
MD0

30
114
45
129

42
125
79
163
128
63
83
82
165
166
167

81
24
25
50
31
44

A[2]
A[3]
A[4]
A[5]
A[6]
A[7]
A[8]
A[9]
A[10]
A[11]
A[12]
A[0]
A[1]

SDRAM DIMM

REOE/NC
DQ[0]
DQ[1]
DQ[2]
DQ[3]
DQ[4]
DQ[5]
DQ[6]
DQ[7]
NC
DQ[8]
DQ[9]
DQ[10]
DQ[11]
DQ[12]
DQ[13]
DQ[14]
DQ[15]
NC
DQ[16]
DQ[17]
DQ[18]
DQ[19]
DQ[20]
DQ[21]
DQ[22]
DQ[23]
NC
DQ[24]
DQ[25]
DQ[26]
DQ[27]
DQ[28]
DQ[29]
DQ[30]
DQ[31]
NC
DQ[32]
DQ[33]
DQ[34]
DQ[35]
DQ[36]
DQ[37]
DQ[38]
DQ[39]
NC
DQ[40]
DQ[41]
DQ[42]
DQ[43]
DQ[44]
DQ[45]
DQ[46]
DQ[47]
NC
DQ[48]
DQ[49]
DQ[50]
DQ[51]
DQ[52]
DQ[53]
DQ[54]
DQ[55]
NC
DQ[56]
DQ[57]
DQ[58]
DQ[59]
DQ[60]
DQ[61]
DQ[62]
DQ[63]

BA[0]
BA[1]
A[13]

DQM[0]
DQM[2]
DQM[3]
DQM[4]
DQM[6]
DQM[7]
DQM[1]
DQM[5]
CB[0]
CB[1]
CB[2]
CB[3]
CB[4]
CB[5]
CB[6]
CB[7]
SRAS#
SCAS#
S#[0]
S#[1]
S#[2]
S#[3]
WE0#
WE2#
CK[0]
CK[1]
CK[2]
CK[3]
CKE[0]
CKE[1]
SCL
SDA
SA[0]
SA[1]
SA[2]

addr =
1010001b

WP/NC
NC
NC
NC
NC/OE#0
NC/OE#2

147
2
3
4
5
7
8
9
10
164
11
13
14
15
16
17
19
20
51
55
56
57
58
60
65
66
67
146
69
70
71
72
74
75
76
77
145
86
87
88
89
91
92
93
94
135
95
97
98
99
100
101
103
104
134
139
140
141
142
144
149
150
151
109
153
154
155
156
158
159
160
161

MD63
MD62
MD61
MD60
MD59
MD58
MD57
MD56
MD55
MD54
MD53
MD52
MD51
MD50
MD49
MD48
MD47
MD46
MD45
MD44
MD43
MD42
MD41
MD40

MD39
MD38
MD37
MD36
MD35
MD34
MD33
MD32
MD31
MD30
MD29
MD28
MD27
MD26
MD25
MD24
MD23
MD22
MD21
MD20
MD19
MD18
MD17
MD16

MD15
MD14
MD13
MD12
MD11
MD10
MD9
MD8
MD7
MD6
MD5
MD4
MD3
MD2
MD1
MD0

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

28
46
47
112
130
131
29
113

MD63
MD62
MD61
MD60
MD59
MD58
MD57
MD56

1
12
23
32
43
54
64
68
78
85
96
107
116
127
138
148
152
162

DQM7
DQM5
DQM4
DQM3
DQM1
DQM0
DQM6
DQM2

BA[0]
BA[1]
A[13]

147
2
3
4
5
7
8
9
10
164
11
13
14
15
16
17
19
20
51
55
56
57
58
60
65
66
67
146
69
70
71
72
74
75
76
77
145
86
87
88
89
91
92
93
94
135
95
97
98
99
100
101
103
104
134
139
140
141
142
144
149
150
151
109
153
154
155
156
158
159
160
161

NC
NC
NC
NC

122
39
132

REOE/NC
DQ[0]
DQ[1]
DQ[2]
DQ[3]
DQ[4]
DQ[5]
DQ[6]
DQ[7]
NC
DQ[8]
DQ[9]
DQ[10]
DQ[11]
DQ[12]
DQ[13]
DQ[14]
DQ[15]
NC
DQ[16]
DQ[17]
DQ[18]
DQ[19]
DQ[20]
DQ[21]
DQ[22]
DQ[23]
NC
DQ[24]
DQ[25]
DQ[26]
DQ[27]
DQ[28]
DQ[29]
DQ[30]
DQ[31]
NC
DQ[32]
DQ[33]
DQ[34]
DQ[35]
DQ[36]
DQ[37]
DQ[38]
DQ[39]
NC
DQ[40]
DQ[41]
DQ[42]
DQ[43]
DQ[44]
DQ[45]
DQ[46]
DQ[47]
NC
DQ[48]
DQ[49]
DQ[50]
DQ[51]
DQ[52]
DQ[53]
DQ[54]
DQ[55]
NC
DQ[56]
DQ[57]
DQ[58]
DQ[59]
DQ[60]
DQ[61]
DQ[62]
DQ[63]

61
62
80
108

MA11
MA12

A[2]
A[3]
A[4]
A[5]
A[6]
A[7]
A[8]
A[9]
A[10]
A[11]
A[12]
A[0]
A[1]

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

34
118
35
119
36
120
37
121
38
123
126
33
117

1
12
23
32
43
54
64
68
78
85
96
107
116
127
138
148
152
162

MA2
MA3
MA4
MA5
MA6
MA7
MA8
MA9
MA10
MA13
MA14
MA0
MA1

DIMM1

VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3

VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3

6
18
26
40
41
49
59
73
84
90
102
110
124
133
143
157
168

DIMM2

S D RAM DIMM

PC CHIPS
2,9

CS#A[0..5]

2,9

CS#B[0..5]

6,9
2,9
8

DCLK[0..11]
CKE[0..5]

CS#A[0..5]
CS#B[0..5]

Title
D I M M 1 & DIMM2

DCLK[0..11]
CKE[0..5]
7

Size
B

D o c u m e n t Number
M598

Date:

T h u r s d a y , J u l y 06, 2000
2

Rev
5.1
Sheet

of
1

27

2,8
2,8
2,8

MD[0..63]
MA[0..14]
DQM[0..7]

MD[0..63]
MA[0..14]
DQM[0..7]

CKE[0..5]
VCC3
VCC3
VCC3

CKE0
CKE1
CKE2
CKE3
CKE4
CKE5

VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3

6
18
26
40
41
49
59
73
84
90
102
110
124
133
143
157
168

DIMM3

MA11
MA12

122
39
132

DQM7
DQM5
DQM4
DQM3
DQM1
DQM0
DQM6
DQM2

28
46
47
112
130
131
29
113
21
22
52
53
105
106
136
137

2,8
2,8

SRAS#
SCAS#

SRAS#
SCAS#

115
111
CS#A4
CS#A5
CS#B4
CS#B5

2,8

RAMW#

RAMW#

27
48

DCLK8
DCLK9
DCLK10
DCLK11
CKE4
CKE5

4,6,8
4,6,8

DDCCLK
DDCDAT

DDCCLK
DDCDAT
VCC3

30
114
45
129

42
125
79
163
128
63
83
82
165
166
167

REOE/NC
DQ[0]
DQ[1]
DQ[2]
DQ[3]
DQ[4]
DQ[5]
DQ[6]
DQ[7]
NC
DQ[8]
DQ[9]
DQ[10]
DQ[11]
DQ[12]
DQ[13]
DQ[14]
DQ[15]
NC
DQ[16]
DQ[17]
DQ[18]
DQ[19]
DQ[20]
DQ[21]
DQ[22]
DQ[23]
NC
DQ[24]
DQ[25]
DQ[26]
DQ[27]
DQ[28]
DQ[29]
DQ[30]
DQ[31]
NC
DQ[32]
DQ[33]
DQ[34]
DQ[35]
DQ[36]
DQ[37]
DQ[38]
DQ[39]
NC
DQ[40]
DQ[41]
DQ[42]
DQ[43]
DQ[44]
DQ[45]
DQ[46]
DQ[47]
NC
DQ[48]
DQ[49]
DQ[50]
DQ[51]
DQ[52]
DQ[53]
DQ[54]
DQ[55]
NC
DQ[56]
DQ[57]
DQ[58]
DQ[59]
DQ[60]
DQ[61]
DQ[62]
DQ[63]

BA[0]
BA[1]
A[13]

DQM[0]
DQM[2]
DQM[3]
DQM[4]
DQM[6]
DQM[7]
DQM[1]
DQM[5]
CB[0]
CB[1]
CB[2]
CB[3]
CB[4]
CB[5]
CB[6]
CB[7]
SRAS#
SCAS#
S#[0]
S#[1]
S#[2]
S#[3]
WE0#
WE2#
CK[0]
CK[1]
CK[2]
CK[3]
CKE[0]
CKE[1]
SCL
SDA
SA[0]
SA[1]
SA[2]

addr =
1010010b

WP/NC
NC
NC
NC
NC/OE#0
NC/OE#2

61
62
80
108

NC
NC
NC
NC

81
24
25
50
31
44

A[2]
A[3]
A[4]
A[5]
A[6]
A[7]
A[8]
A[9]
A[10]
A[11]
A[12]
A[0]
A[1]

147
2
3
4
5
7
8
9
10
164
11
13
14
15
16
17
19
20
51
55
56
57
58
60
65
66
67
146
69
70
71
72
74
75
76
77
145
86
87
88
89
91
92
93
94
135
95
97
98
99
100
101
103
104
134
139
140
141
142
144
149
150
151
109
153
154
155
156
158
159
160
161

MD63
MD62
MD61
MD60
MD59
MD58
MD57
MD56
MD55
MD54
MD53
MD52
MD51
MD50
MD49
MD48
MD47
MD46
MD45
MD44
MD43
MD42
MD41
MD40

2
4
6
8
1
1

R117
10K
R116
10K

P i n Name
MD62
M D 61
M D59
M D49
MD48

Function Descriptions

Default Value

Integrated VGA Function


Slow Decoding of GUI Host Interface
INT#A Control for Panel_Link
Video Subsystem control by BIOS
Share Memory

E n able (0)
F a s t Decode(0)
E n able(0)
E n able(0)
D i sable(0)

Disable (1)
Slow Decode (1)
Disable(1)
Disable(1)
Enable(1)

0 : NC
1 : STUFFED RESISTOR

VCC3
C

MD39
MD38
MD37
MD36
MD35
MD34
MD33
MD32

MD62

R118

1 4.7K/NA

MD61

R119

1 4.7K/NA

MD59

R120

1 4.7K

MD49

R121

1 4.7K/NA

MD48

R122

1 4.7K
VCC3

HDWCLK LEADED CPUCLK


MD31
MD30
MD29
MD28
MD27
MD26
MD25
MD24

MD43 MD42 MD41 MD40


0
0
0 0
0
0
0 1
0
0
1 0
0
0
1 1
0
1
0 0
0
1
0 1
0
1
1 0
0
1
1 1
1
0
0 0
1
0
0 1
1
0
1 0
*1
0
1 1
1
1
0 0
1
1
0 1
1
1
1 0
1
1
1 1

MD23
MD22
MD21
MD20
MD19
MD18
MD17
MD16
MD15
MD14
MD13
MD12
MD11
MD10
MD9
MD8

TIME
-2.5ns
-2.0ns
-1.5ns
-1.0ns
-0.5ns
0.0ns
+0.5ns
+1.0ns
+1.5ns
+2.0ns
+2.5ns
+3.0ns
+3.5ns
+4.0ns
+4.5ns
+5.0ns

MD43

R123

1 4.7K

MD42

R124

1 4.7K/NA

MD41

R125

1 4.7K

MD40

R126

1 4.7K/NA

0 : NC
1 : STUFFED RESISTOR
B

VCC3
MCLK LEADED SDCLK

MD7
MD6
MD5
MD4
MD3
MD2
MD1
MD0

MD39 MD38 MD37 MD36


0
0
0 0
0
0
0 1
0
0
1 0
0
0
1 1
*0
1
0 0
0
1
0 1
0
1
1 0
0
1
1 1
1
0
0 0
1
0
0 1
1
0
1 0
1
0
1 1
1
1
0 0
1
1
0 1
1
1
1 0
1
1
1 1

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

34
118
35
119
36
120
37
121
38
123
126
33
117

1
12
23
32
43
54
64
68
78
85
96
107
116
127
138
148
152
162

MA2
MA3
MA4
MA5
MA6
MA7
MA8
MA9
MA10
MA13
MA14
MA0
MA1

10KX4R
RB11
1
3
5
7
2
2

SDRAM DIMM

TIME
+0.5ns
+1.0ns
+1.5ns
+2.0ns
+2.5ns
+3.0ns
+3.5ns
+4.0ns
+4.5ns
+5.0ns
+5.5ns
+6.0ns
+6.5ns
+7.0ns
+7.5ns
+8.0ns

MD39

R127

1 4.7K/NA

MD38

R128

1 4.7K

MD37

R129

1 4.7K/NA

MD36

R130

1 4.7K/NA

PC CHIPS
2,8
2,8
6,8
2,8

CS#A[0..5]
CS#B[0..5]
DCLK[0..11]
CKE[0..5]

CS#A[0..5]
CS#B[0..5]
DCLK[0..11]
CKE[0..5]

Title
D I M M 3 & H A R D WARE TRAP

Size
B

D o c u m e n t Number
M598

Date:

T h u r s d a y , J u l y 06, 2000
2

Rev
5.1
Sheet

of
1

27

VCC

R134
15
2

1
3
5
7

0.1uF
C

C42
180pF

HA20
VCC3

R137(1-2)

VCC3

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
VGA CON

R135
8.2K

1
1 2
2 3
3
HEADER 3

R136
8.2K

SHA19

R138(1-2)
1
1 2
2 3
3
HEADER 3

SHA20

HA[3..31]

HA[3..31]

1,2,7,10

C43
180pF

SHA20

SHA19

C41
100pF

C40
100pF

VDDCDAT
HSYNC
VSYNC
VDDCCLK

VDDCDAT
HSYNC
VSYNC
VDDCCLK

3
3
3
3

HA19

CB28

HA20

VCC

2
4
6
8
RB14
4.7KX4

MR
MG
MB

HA19

1,2,7,10

VGA1

FB
L10

1,2,7,10

HA11
HA10
HA9
HA8
HA7
HA6
HA5

VCC

VCC3

R139(1-2)
12
11
10
9
8
7
6

1
2
3

A0
A1
A2
A3
A4
A5
A6

1
2
3
0/1-2

32
R

L7

2FB-0805

VCC

75

C35
20pF

3
31
1
2
CB29
0.1UF

30
24
22
16

MG

2FB-0805

75

C37
20pF

A[14]
A[15]
NC
NC
CE2
OE#
CE1#
VSS

D[0]
D[1]
D[2]
D[3]
D[4]
D[5]
D[6]
D[7]

5
27
26
23
25
4
28
13
14
15
17
18
19
20
21

HA12
HA13
HA14
HA15
HA16
HA17
HA18

TA0
TA1
TA2
TA3
TA4
TA5
TA6
TA7

U6
64KX8

Q10
B A V 9 9-SOT23/NA

WE#

A[7]
A[8]
A[9]
A[10]
A[11]
A[12]
A[13]

C36
20pF

R132
VCC

L8

29

SHA19
SHA20

TAGWE#

Q9
B A V 9 9-SOT23/NA

TAGWE#

C34
20pF

VDD

MR
2

R131
1

TA[0..7]

TA[0..7]

100MHz
31 ohm
B

L9

2FB-0805

VCC

75

C39
20pF

Q11
B A V 9 9-SOT23/NA

MB
1

C38
20pF

R133

PC CHIPS

T H E S E COMPONENT MUST BE CLOSED TO VGA CONNECTOR

Title
V G A CONNECTOR

Size
B

D o c u m e n t Number
M598

Date:

T h u r s d a y , J u l y 06, 2000
2

Rev
5.1
Sheet

10

of
1

27

3,12,27,28

PGNT#[0..3]

3,12,27,28

PREQ#[0..3]

PGNT#[0..3]
PREQ#[0..3]

VCC

VCC

-12V

VCC

+12V

VCC

-12V

+12V

PCI1
12

4,11,12,27
4,11,12,28

INT#B
INT#D

INT#B
INT#D

61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109

TCK

TCK

PCICLK1

PCICLK1

PREQ#0
AD31
AD29

AD27
AD25
C/BE#3
AD23
AD21
AD19
AD17
C/BE#2
IRDY#

IRDY#

DEVSEL#

DEVSEL#

PLOCK#
PERR#

PLOCK#
PERR#

3,12
12,28
4,12,28

SERR#

SERR#

C/BE#1
AD14
AD12
AD10

AD8
AD7

110
111
112
113
114
115
116
117
118
119
120

AD5
AD3
AD1
AK64-

PCI2

-12V
TCK
GROUND
TD0
+5V
+5V
INTB#
INTD#
PRSNT#1
RESERVED
PRSNT#2
GROUND
GROUND
RESERVED
GROUND
CLK
GROUND
REQ#
+5V
AD[31]
AD[29]
GROUND
AD[27]
AD[25]
+3.3V
C/BE#[3]
AD[23]
GROUND
AD[21]
AD[19]
+3.3V
AD[17]
C/BE#[2]
GROUND
IRDY#
+3.3V
DEVSEL#
GROUND
LOCK#
PERR#
+3.3V
SERR#
+3.3V
C/BE#[1]
AD[14]
GROUND
AD[12]
AD[10]
GROUND

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49

TRST#
+12V
TMS
TDI
+5V
INTA#
INTC#
+5V
RESERVED
+5V
RESERVED
GROUND
GROUND
RESERVED
RESET#
+5V
GNTX#
GROUND
RESERVED
AD30
+3.3V
AD28
AD26
GROUND
AD24
IDSEL
+3.3V
AD22
AD20
GROUND
AD18
AD16
+3.3V
FRAME#
GROUND
TRDY#
GROUND
STOP#
+3.3V
SDONE
SBO#
GROUND
PAR
AD15
+3.3V
AD13
AD11
GROUND
AD9

AD[08]
AD[07]
+3.3V
AD[05]
AD[03]
GROUND
AD[01]
+5V
ACK64#
+5V
+5V
PCI32

TRST#

12
TMS
TDI

INT#A
INT#C

INT#A
INT#C

PCIRST#

PCIRST#

PGNT#0

12
12

INT#A
INT#C

INT#A
INT#C

3,5,12,27,28
6
PCICLK2

PCICLK2
PREQ#1

2 0

R142

61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109

TCK

3,4,11,12
4,11,12,27

3,4,11,12
4,11,12,27

1 PME#

PME#

AD30

4,12,27,28

AD31
AD29

AD28
AD26
AD24

AD27
AD25

R_AD20

C/BE#3
AD23

AD22
AD20

AD21
AD19

AD18
AD16

AD17

FRAME#

3,4,12,27,28

C/BE#2
IRDY#

TRDY#

TRDY#

3,4,12,27,28

DEVSEL#

STOP#

STOP#

3,4,12,27,28

PLOCK#
PERR#

FRAME#

SDONE1
SBO#1

SERR#
PAR
AD15

PAR

3,4,12,27,28

C/BE#1
AD14

AD13
AD11

AD12
AD10

AD9

50
51
52
53
54
55
56
57
58
59
60

CBE#0
+3.3V
AD6
AD4
GROUND
AD2
AD0
+5V
REQ64#
+5V
+5V

TRST#

TMS
TDI

C/BE#0

AD8
AD7

AD6
AD4

AD5
AD3

AD2
AD0

AD1

RQ64-

AK64-

110
111
112
113
114
115
116
117
118
119
120

-12V
TCK
GROUND
TD0
+5V
+5V
INTB#
INTD#
PRSNT#1
RESERVED
PRSNT#2
GROUND
GROUND
RESERVED
GROUND
CLK
GROUND
REQ#
+5V
AD[31]
AD[29]
GROUND
AD[27]
AD[25]
+3.3V
C/BE#[3]
AD[23]
GROUND
AD[21]
AD[19]
+3.3V
AD[17]
C/BE#[2]
GROUND
IRDY#
+3.3V
DEVSEL#
GROUND
LOCK#
PERR#
+3.3V
SERR#
+3.3V
C/BE#[1]
AD[14]
GROUND
AD[12]
AD[10]
GROUND

TRST#
+12V
TMS
TDI
+5V
INTA#
INTC#
+5V
RESERVED
+5V
RESERVED
GROUND
GROUND
RESERVED
RESET#
+5V
GNTX#
GROUND
RESERVED
AD30
+3.3V
AD28
AD26
GROUND
AD24
IDSEL
+3.3V
AD22
AD20
GROUND
AD18
AD16
+3.3V
FRAME#
GROUND
TRDY#
GROUND
STOP#
+3.3V
SDONE
SBO#
GROUND
PAR
AD15
+3.3V
AD13
AD11
GROUND
AD9

AD[08]
AD[07]
+3.3V
AD[05]
AD[03]
GROUND
AD[01]
+5V
ACK64#
+5V
+5V
PCI32

CBE#0
+3.3V
AD6
AD4
GROUND
AD2
AD0
+5V
REQ64#
+5V
+5V

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49

TRST#
TMS
TDI
INT#D
INT#B

INT#D
INT#B

4,11,12,28
4,11,12,27

PCIRST#
PGNT#1
R143
2
AD30

1 0

PME#
C

AD28
AD26
AD24
R_AD21
AD22
AD20
AD18
AD16
FRAME#
TRDY#
STOP#
SDONE2
SBO#2
PAR
AD15
AD13
AD11
B

AD9

50
51
52
53
54
55
56
57
58
59
60

C/BE#0
AD6
AD4
AD2
AD0
RQ64-

C/BE#[0..31]

C/BE#[0..3]

AD[0..31]

AD[0..31]

VCC
A

RN11
SDONE1
SDONE2
SBO#1
SBO#2

1
3
5
7

2
4
6
8

AD20

R140

R_AD20

AD21

100
R141

R_AD21

PC CHIPS

100

4.7KX4

Title
P C I C O N N E C T OR 1 & 2

12

AK64-

12

RQ64-

AK64Size
B

D o c u m e n t Number
M598

Date:

T h u r s d a y , J u l y 06, 2000

Rev
5.1

RQ647

Sheet

11

of
1

27

VCC

3,11,27,28

PREQ#[0..3]

3,11,27,28

PGNT#[0..3]

1 RN15
3
5
7

PREQ#0
PREQ#1
PREQ#2
PREQ#3

PREQ#[0..3]

2
4
6
8

2.7KX4
PGNT#[0..3]
VCC

VCC

-12V

+12V

TRST#
TMS
TDI

TMS
TDI

INT#C
INT#A

INT#D
INT#B

INT#D
INT#B

4,11,28
4,11,27

TRST#

TCK

TCK

11

INT#C
INT#A

PCIRST#

PCIRST#

PCICLK3

PCICLK3

6,28

11
11
11
4,11,27
3,4,11

3,5,11,27,28

PGNT#2
PREQ#2
PME#
AD31
AD29

AD30

AD27
AD25

AD28
AD26
AD24

C/BE#3
AD23

3,4,11,27,28

DEVSEL#

3,11
11,28

AD18
AD16

C/BE#2
IRDY#

FRAME#

FRAME#

TRDY#
DEVSEL#
STOP#
PLOCK#
PERR#

PLOCK#
PERR#

3,4,11,27,28

TRDY#

3,4,11,27,28

STOP#

3,4,11,27,28

SDONE3
SBO#3

SERR#

SERR#

4,11,28

AD22
AD20

AD17

IRDY#

4,11,27,28

R_AD22

AD21
AD19

3,4,11,27,28

PME#

PAR
AD15

C/BE#1
AD14

PAR

3,4,11,27,28

AD13
AD11

AD12
AD10

AD9
B

AD8
AD7

C/BE#0
AD6
AD4

AD5
AD3

AD2
AD0

AD1
11,12

C/BE#[0..3]
AD[0..31]

3,4,11,27,28

RQ64-

AK64-

11,12

C/BE#[0..3]
AD[0..31]

VCC

AD22

FRAME#
TRDY#
IRDY#
DEVSEL#

1
3
5
7

STOP#
SERR#
PERR#
PLOCK#

1
3
5
7

RB15

RB16
2.7KX4

2
4
6
8

INT#A
INT#B
INT#C
INT#D

2
4
6
8

R_AD22

SDONE3
SBO#3

2.7KX4
AK64RQ64-

11,12
11,12
8

VCC

1 RN14
3
5
7
8.2KX4
1 RN13
3
5
7
4.7KX4

VCC
2
4
6
8

PC CHIPS
TMS
TDI
TRST#
TCK

2
4
6
8

RN12
1
3
5
7

2
4
6
8

Title
P C I C O N N ECTOR 3

4.7KX4
4

Size
B

D o c u m e n t Number
M598

Date:

T h u r s d a y , J u l y 06, 2000
2

Rev
5.1
Sheet

12

of
1

27

IDEDA[0..15]

R153

4.7K

VCC3

R152
1K
1

R151
4.7K
1

1 RN16
3
5
7
1 RN17
3 33X4
5
7

IDEDA7
IDEDA6
IDEDA5
IDEDA4
IDEDA3
IDEDA2
IDEDA1
IDEDA0

IDERST#

IDEREQA
IDEIOW#A
IDEIOR#A
ICHRDYA
IDACK#A
IDEIRQA

1 75

R156

1 75

R157

1 75
IDEAA1
IDEAA0
IDECS#A0

2
4
6
8
2
4
6
8

1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
HEADER 20X2

2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40

1 RN18
3
5
7
1 RN19
3 33X4
5
7

2
4
6
8
2
4
6
8

IDEDA8
IDEDA9
IDEDA10
IDEDA11
IDEDA12
IDEDA13
IDEDA14
IDEDA15

33X4

CBLIDA
IDEAA2
IDECS#A1

CBLIDA

R150
5.6K

IDE1

33X4

R155

IDEREQA
IDEIOW#A
IDEIOR#A
ICHRDYA
IDACK#A
IDEIRQA

IDEDA[0..15]

3
3
3
3
3
3

VCC

D1
IDELEDAB

IDELEDAB

13,18

I D ECS#A[0..1]

R154
470

I D ECS#A[0..1]
1

IDEAA[0..2]

1N4148

IDEAA[0..2]

2
2

RSTDRV

RSTDRV

14,15,16

R161

Q12
MMBT3904

4.7K

IDEDB[0..15]

IDEDB[0..15]

R149

4.7K

VCC3

IDERST#
IDEDB7
IDEDB6
IDEDB5
IDEDB4
IDEDB3
IDEDB2
IDEDB1
IDEDB0

R147
4.7K
1

R148
1K

IDEREQB
IDEIOW#B
IDEIOR#B
ICHRDYB
IDACK#B
IDEIRQB

IDEREQB
IDEIOW#B
IDEIOR#B
ICHRDYB
IDACK#B
IDEIRQB

3
3
3
3
3
3

IDERST#

R158

1 75

R159

1 75

R160

1 75
IDEAB1
IDEAB0
IDECS#B0

IDE2
2
4
6
8
2
4
6
8

1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
HEADER 20X2

2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40

1 RN22
3
5
7 RN23
1
3 33X4
5
7
33X4

2
4
6
8
2
4
6
8

IDEDB8
IDEDB9
IDEDB10
IDEDB11
IDEDB12
IDEDB13
IDEDB14
IDEDB15

CBLIDB
IDEAB2
IDECS#B1

CBLIDB

R146
5.6K

1 RN20
3
5
7 RN21
1
3 33X4
5
7
33X4

D2
13,18

IDELEDAB

IDELEDAB

2
1N4148

IDEAB[0..2]

IDEAB[0..2]

I D ECS#B[0..1]

I D ECS#B[0..1]

PC CHIPS
Title
I D E C O NNECTORS

Size
B

D o c u m e n t Number
M598

Date:

T h u r s d a y , J u l y 06, 2000
2

Rev
5.1
Sheet

13

of
1

27

4,5,15
4,15,16,19
4,15,16,19,25

LA[17..23]
SA[0..19]
SD[0..15]

LA[17..23]
SA[0..19]
SD[0..15]

+12V
VCC
-5V
-12V

13,15,16

RSTDRV

IRQ9
DRQ2
4,15
4,15
4,15
4,15,16
4,15,16

ZWS#
SMWTC#
SMRDC#
IOWC#
IORC#

ZWS#
SMWTC#
SMRDC#
IOWC#
IORC#
DACK#3
DRQ3
DACK#1
DRQ1

4,15,16
4,15
6,15,27

4,15
4,15

RFH#
BCLK

TC
BALE
ISA14M

M16#
IO16#

RFH#
BCLK
IRQ7
IRQ6
IRQ5
IRQ4
IRQ3
DACK#2
TC
BALE

M16#
IO16#
IRQ10
IRQ11
IRQ12
IRQ15
IRQ14
DACK#0
DRQ0
DACK#5
DRQ5
DACK#6

AEN

4,15,16
4,15,16

SBHE#

SBHE#

4,15

LA23
LA22
LA21
LA20
LA19
LA18
LA17
MRDC#
MWTC#

MRDC#
MWTC#

4,15,19
4,15,19

SD8
SD9
SD10
SD11
SD12
SD13
SD14
SD15

DRQ6
DACK#7
DRQ7
MASTER#

IOCHRDY

4,15

ISA14M

5,15

IOCHK#

SD7
SD6
SD5
SD4
SD3
SD2
SD1
SD0
IOCHRDY
AEN
SA19
SA18
SA17
SA16
SA15
SA14
SA13
SA12
SA11
SA10
SA9
SA8
SA7
SA6
SA5
SA4
SA3
SA2
SA1
SA0

4,15
4,15

IOCHK#
RSTDRV

MASTER#

DACK#[0..7]
DRQ[0..7]
IRQ[3..15]

DACK#[0..7]
DRQ[0..7]
IRQ[3..15]

4,15,16
4,15,16
3,4,5,15,16

PC CHIPS
Title
I S A C O N NECTOR 1

Size
B

D o c u m e n t Number
M598

Date:

T h u r s d a y , J u l y 06, 2000
2

Rev
5.1
Sheet

14

of
1

27

VCC
RB18
4,5,14
4,14,16,19
4,14,16,19,25

LA[17..23]
SA[0..19]
SD[0..15]

LA[17..23]
SA[0..19]
SD[0..15]

+12V

SMRDC#
SMWTC#
IOWC#
IORC#

1
3
5
7

MWTC#
MRDC#
BALE
IOCHK#

1
3
5
7

2
4
6
8
RB19
4.7KX4

2
4
6
8

VCC
4.7KX4

-5V
ISA2
-12V

13,14,16

RSTDRV

DACK#3

B1
B2
B3
B4
B5
B6
B7
B8
B9
B10
B11
B12
B13
B14
B15

DRQ3
DACK#1

B16
B17

DRQ1

B18

IRQ7
IRQ6
IRQ5
IRQ4
IRQ3
DACK#2

B19
B20
B21
B22
B23
B24
B25
B26

RSTDRV
IRQ9

4,14

ZWS#

DRQ2
R299

ZWS#

1
47

4,14
4,14
4,14,16
4,14,16

SMWTC#
SMRDC#
IOWC#
IORC#

SMWTC#
SMRDC#
IOWC#
IORC#

4,14
4,14

4,14,16
4,14
6,14,27

4,14
4,14

RFH#
BCLK

TC
BALE
ISA14M

M16#
IO16#

RFH#
BCLK

TC
BALE

B27
B28
B29
B30
B31

ISA14M

M16#
IO16#

5,14

MASTER#

IRQ10
IRQ11
IRQ12
IRQ15
IRQ14
DACK#0

D1
D2
D3
D4
D5
D6
D7
D8

DRQ0
DACK#5

D9
D10

DRQ5
DACK#6

D11
D12

DRQ6
DACK#7

D13
D14

DRQ7

D15
D16
D17
D18

MASTER#

GROUND
RESDRV
+5V
IRQ[9]
-5V
DRQ[2]
-12V
NOWS#
+12V
GROUND
SMWTC#
SMRDC#
IOWC#
IORC#
DAK#[3]

IOCHK#
D[7]
D[6]
D[5]
D[4]
D[3]
D[2]
D[1]
D[0]
CHRDY
AEN
SA[19]
SA[18]
SA[17]
SA[16]
SA[15]
SA[14]
SA[13]
SA[12]
SA[11]
SA[10]
SA[9]
SA[8]
SA[7]
SA[6]
SA[5]
SA[4]
SA[3]
SA[2]
SA[1]
SA[0]

DRQ[3]
DAK#[1]
DRQ[1]
REFRESH#
BCLK
IRQ[7]
IRQ[6]
IRQ[5]
IRQ[4]
IRQ[3]
DAK#[2]
TC
BALE
+5V
OSC
GROUND

M16#
IO16#
IRQ[10]
IRQ[11]
IRQ[12]
IRQ[15]
IRQ[14]
DAK#[0]

SBHE#
LA[23]
LA[22]
LA[21]
LA[20]
LA[19]
LA[18]
LA[17]
MRDC#
MWTC#

DRQ[0]
DAK#[5]
DRQ[5]
DAK#[6]

D[8]
D[9]
D[10]
D[11]
D[12]
D[13]
D[14]
D[15]

DRQ[6]
DAK#[7]
DRQ[7]
+5V
MASTER16#
GROUND

A1

IOCHK#

A2
A3
A4
A5
A6
A7
A8
A9
A10
A11

SD7
SD6
SD5
SD4
SD3
SD2
SD1
SD0
IOCHRDY
AEN

A12
A13
A14
A15
A16
A17
A18
A19
A20
A21
A22
A23
A24
A25
A26
A27
A28
A29
A30
A31

SA19
SA18
SA17
SA16
SA15
SA14
SA13
SA12
SA11
SA10
SA9
SA8
SA7
SA6
SA5
SA4
SA3
SA2
SA1
SA0

IRQ3
IRQ4
IRQ5
IRQ6

1
3
5
7

IRQ7
IRQ9
IRQ10
IRQ11

1
3
5
7

C1

SBHE#

IRQ12
IRQ14
SBHE#
LA17

1
3
5
7

C2
C3
C4
C5
C6
C7
C8
C9
C10

LA23
LA22
LA21
LA20
LA19
LA18
LA17
MRDC#
MWTC#

IRQ15
LA18
LA19
LA20

1
3
5
7

LA21
LA22
LA23

C11
C12
C13
C14
C15
C16
C17
C18

SD8
SD9
SD10
SD11
SD12
SD13
SD14
SD15

1
3
5
7

SA0
SA1
SA2
SA3

1
3
5
7

IOCHK#

4,14
IOCHRDY

R162

1 1K

ZWS#

R163

1 300

RB17
IOCHRDY
AEN

RFH#
M16#
IO16#
MASTER#

4,14,16
4,14,16

1
3
5
7

2
4
6
8
330X4
C

VCC

VCC

RB20

SBHE#

4,14

RB21
4.7KX4

4.7KX4
RB22

RB23
4.7KX4

RB35
2
4
6
8

SD0
SD1
SD2
SD3

1
3
5
7

2
4
6
8

SD4
SD5
SD6
SD7

1
3
5
7

2
4
6
8

SD8
SD9
SD10
SD11

1
3
5
7

2
4
6
8

SD12
SD13
SD14
SD15

1
3
5
7

4.7KX4
RB24
MRDC#
MWTC#

4,14,19
4,14,19

4.7KX4

RB34
4.7KX4

4.7KX4
RB33

RB32
4.7KX4

2
4
6
8

2
4
6
8
2
4
6
8

4.7KX4
2
4
6
8

RB25
4.7KX4

2
4
6
8

2
4
6
8

SA4
SA5
SA6
SA7

1
3
5
7

SA8
SA9
SA10
SA11

1
3
5
7

RB31

RB30
4.7KX4

2
4
6
8
2
4
6
8

4.7KX4
RB29

ISA_MODI

DACK#[0..7]
DRQ[0..7]
IRQ[3..15]

DACK#[0..7]
DRQ[0..7]
IRQ[3..15]

4,14,16
4,14,16
3,4,5,14,16

SA12
SA19
SA18
SA15

1
3
5
7

SA17
SA16
SA14
SA13

1
3
5
7

2
4
6
8
RB28
4.7KX4

2
4
6
8

RB26
DRQ0
DRQ1
DRQ2
DRQ3

DRQ5
DRQ6
DRQ7

1
3
5
7
1
3
5
7

2
4
6
8
RB27
4.7KX4

4.7KX4
A

2
4
6
8

PC CHIPS
Title

4.7KX4

I S A C O N NECTOR 2

Size
B

D o c u m e n t Number
M598

Date:

T h u r s d a y , J u l y 06, 2000
2

Rev
5.1
Sheet

15

of
1

27

VCC

VCC

CB31
0.1UF

CB30
0.1UF

R166

2
IRRXL
DACK#3
IRRXH
DRQ3
IOCHRDY

18

NA
IRRXH

VCC

34
32
30
28
26
24
22
20
18
16
14
12
10
8
6
4
2

RP6
7
5
3
1

8
6
4
2
150X4R

R164
2

GND
1

150

VCC

16,18
6

IRTX

SIO24M
4,14,15
4,14,15
4,14,15
3,4,5,14,15
3,4,5,14,15
3,4,5,14,15

DRQ1
DACK#1
DRQ0
IRQ5
IRQ10
IRQ11

DRQ1
DACK1#
DRQ0
IRQ5
IRQ10
IRQ11
SA11
SA0
SA1
SA2

DENSEL#
MOTEA#
DRVB#
DRVA#
MOTEB#
GND
DIR#
STEP#
WDATA#
WGATE#
SIDE1#
INDEX#
TK00#
WPT#
VCC
RDATA#
DSKCHG#
XTALO
IRSOUT1
XTALI
DRQ1
DACK1#
DRQ0
IRQ5
IRQ10
IRQ11
A11
A0
A1
A2

DSR1#
SOUT1
SIN1#
AFD#
STB#
ERR#
SLIN#
INIT#
VCC
PD0
PD1
PD2
PD3
GND
PD4
PD5
PD6
PD7
ACK#
BUSY
PE
SLCT
DACK0#
RESET
D7
D6
D5
D4
DRQ2
D3

80
79
78
77
76
75
74
73
72
71
70
69
68
67
66
65
64
63
62
61
60
59
58
57
56
55
54
53
52
51

DSR1#
TX1
RX1
AFD#
STB#
ERROR#
SLIN#
PINIT#

17
17
17

VCC
IPD0
IPD1
IPD2
IPD3
GND
IPD4
IPD5
IPD6
IPD7

IPD[0..7]

17
17

IPD[0..7]
ACK#
BUSY
PE
SLCT
DACK#0
RSTDRV

DACK0#
SD7
SD6
SD5
SD4

DRQ2

SD3

17
17
17

17
17
17
17
17
4,14,15
13,14,15

4,14,15

A3
A4
A5
A6
TC
DACK2#
IRQ3
IRQ4
IRQ7
IRQ6
A7
A8
A9
IOR#
IOW#
AEN
GND
D0
D1
D2

24 MHz clock input

IRTX

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30

17
17
17
17
17
17
17
17
17
17
17
17
17

U7

100
99
98
97
96
95
94
93
92
91
90
89
88
87
86
85
84
83
82
81

DSKCHG
SIDE1
RDATA
WPT
TK00
WGATE
WDATA
STEP
DIR
MOTEB
DRVSA
DRVSB
MOTSA
INDEX
NC
NC
REDWC

GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND

FDC1
HEADER 2X17

DTR2#
CTS2#
RTS2#
DSR2#
TX2
RX2
DCD2#
RI2#
DCD1#
RI1#
DTR1#
CTS1#
RTS1#

IRSINL1
GND
DACK3#
SA10

DRQ3
IOCHRDY

33
31
29
27
25
23
21
19
17
15
13
11
9
7
5
3
1

4,14,15
4,14,15

IRRXL

IOCHRDY
DRQ3
IRSINH1
A10
DACK3#
GND
IRSINL1
DTR2#
CTS2#/A12
RTS2#/IRSINH2
DSR2#/A13
SO2/IRSOUT2
SI2/IRSINL2
RLSD2#/A14
RI2#/A15
RLSD1#
RI1#
DTR1#
CTS1#
RTS1#

16,18
4,14,15

8661

31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50

SD2
SD1
SD0
GND
PIN46
IOW#
IOR#
SA9
SA8
SA7
IRQ6
IRQ7
IRQ4
IRQ3
DACK2#
TC
SA6
SA5
SA4
SA3

4,14,15,19

SA[0..15]

SA[0..15]

SD[0..7]

TC
DACK#2
IRQ3
IRQ4
IRQ7
IRQ6
IORC#
IOWC#

4,14,15
4,14,15
3,4,5,14,15
3,4,5,14,15
3,4,5,14,15
3,4,5,14,15
4,14,15
4,14,15

16,18
16,18

IRRXL
IRTX

IRRXL
IRTX

IR1
1
2
3
4
5
CONN5

1
2
3
4
5

D4
SA14

SA13

D5
D6

SA12

4,14,15
8

AEN

1
D7

BAEN

1
7

2 1N4148

PIN46

VCC

2 1N4148

VCC
GND

2 1N4148

PC CHIPS

R165
1K

Title

2 1N4148

SUPER IO
1

D3
SA15

4,14,15,19,25

VCC

for 16 bit decoder

SD[0..7]

2 1N4148
6

Size
B

D o c u m e n t Number
M598

Date:

T h u r s d a y , J u l y 06, 2000
2

Rev
5.1
Sheet

16

of
1

27

R167
2

2
100K

1N4148

RING
1

C44

D8

NRI1

.1U/NA

4,27

R168

VCC
2

200K
D

R170
4.7K

NRI2
SB5V

LANWK

LANWK

U8

2
4
6
8
10

NRX1
NDTR1
NDSR1
NCTS1

1N4148

NRX2
NTX2
NDSR2
NRTS2
NDTR2
NCTS2
NRLSD2
NRI2

VCC

VCC
R169
2K
D11

RB38
2KX4

RB39
2KX4

2
4
6
8

RX2
TX2
DSR2#
RTS2#
DTR2#
CTS2#
DCD2#
RI2#

RB40
2KX4

RB41
2KX4

+12V
1

+12V

1
3
5
7

1N4148

1
3
5
7

16

1
2
3
4
5
6
7
8
9
10
HEADER 2X5

C46
1000P

2
4
6
8

16
16
16
16
16
16
16

NRX1
NTX1
NDSR1
NRTS1
NDTR1
NCTS1
NRI1
NRLSD1

1
3
5
7

9
8
7
6
5
4
3
2
1

2
4
6
8

D10

RA5
DY3
RA4
DY2
DY1
RA3
RA2
RA1
+12V

1
3
5
7

16
16
-12V

RY5
DA3
RY4
DA2
DA1
RY3
RY2
RY1
-12V
GD75232

2
4
6
8

16
16
16
16
-12V

12
13
14
15
16
17
18
19
10

RX1
TX1
DSR1#
RTS1#
DTR1#
CTS1#
RI1#
DCD1#
2

1
3
5
7
9

16
16

COM1
NRLSD1
NTX1
GND
NRTS1
NRI1

1
2
3
3PX1
LAN WAKEUP

JP1
1
2
3

RB36
16
16
16

16

1
3
5
7

AFD#
STB#
SLIN#
PINIT#

2
4
6
8

SLCTIN#
STROBE#
AUTOFD#
BINIT#

22X4R
16
16

ERROR#
IPD[0..7]

IPD[0..7]
PRN1
IPD0
IPD1
IPD2
IPD3
IPD4
IPD5
IPD6
IPD7

ACK#
BUSY
PE
SLCT

RB37

1
3
5
7
1
3
5
7

RP7

STROBE#
PPD0
PPD1
PPD2
PPD3
PPD4
PPD5
PPD6
PPD7
ACK#
BUSY
PE
SLCT

22X4R

2
4
6
8
2
4
6
8

22X4R

CP2
180P*8

7
8
9
10

2
3
4
5

7
8
9
10

2
3
4
5

16
16
16
16

SLCTIN#
BINIT#
ERROR#
AUTOFD#

CP1
180P*8

1
2
3
4
5
6
7
8
9
10
11
12
13

STB
PPD0
PPD1
PPD2
PPD3
PPD4
PPD5
PPD6
PPD7
ACK
BUSY
PE
SLCT

AFD
ERROR
INIT
SLIN
GND
GND
GND
GND
GND
GND
GND
GND
N/C

14
15
16
17
18
19
20
21
22
23
24
25
26

HEADER 2X13
C45
180P

PC CHIPS
VCC
Title
VCC
GND

I O C ONNECTOR

Size
B

D o c u m e n t Number
M598

Date:

F r i d a y , N o v e m b e r 1 0, 2000
2

Rev
5.1
Sheet

17

of
1

27

2
4
6
8

VCC

RP8
330X4

1
3
5
7

VCC
D

BZ1
BUZZER

RTCVDD
J2

1
3
5
7
11
13
15
17
19
21

R179
51K

23,25
4

XRST

XRST

1
3
5
7

2
4
6
8
10
12
14
16
18
20
22

11
13
15
17
19
21

2
4
6
8
10
12
14
16
18
20
22

KLOCK#

IDELEDAB

IDELEDAB

13

R174
1

PWRBT#

1K
HEADER 2X11

PWRBT#

KLOCK#

R173
33

NOTE: NO HOLE AT PIN9

VCC

1
SPKR

SPKR

1K
1

2SPK1

Q13
3904

R175
470K
1

R172

OC#0
1

R176
560K

C48
.001U
1

VCC
R233
2

L11
1

2FB-DIP

0(1/4 W)

VCC
1

C54
.1U

EC1
10U

+
2

R177
470K
B

OC#1
1

R178
560K
1

C49
.001U

J1

UV1+

UV1+
20

C53
47P

MUSDATA16

C52
47P

16

RB42

IRRXL
IRTX

MUSCLKIRRXH

7
5
3
1

8
6
4
2

MUSCLKIRRXH

20
16

N O TE:NO HOLE AT PIN 5

10KX4

UV0+

PC CHIPS
C51
47P

Title
USB

C50
47P

MUSDATA-

UV0+

8
6
4
2
33X4

UV0-

UV0-

IRRXL
IRTX

RB43

7
5
3
1
4

1
10
2
11
3
12
4
13
5
14
6
15
7
16
8
17
9
18
HEADER 9X2

UV1-

UV1-

Size
B

D o c u m e n t Number
M598

Date:

T h u r s d a y , J u l y 06, 2000
2

Rev
5.1
Sheet

18

of
1

27

4,14,15,16,25

SD[0..15]

SD[0..15]

SA[0..19]

SA[0..19]

4,14,15,16

VCC

VCC
R230
4.7K
ROMKBCS#
MRDC#

1
4
B

4,14,15

ROMKBCS#
MRDC#

4,14,15

MWTC#

32
12
11
10
9
8
7
6
5
27
26
23
25
4
28
29
3
2
30
22
24
1
31
16

SA0
SA1
SA2
SA3
SA4
SA5
SA6
SA7
SA8
SA9
SA10
SA11
SA12
SA13
SA14
SA15
SA16
SA17
+12V/5V

MWTC#

VCC

U10
VCC
A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
A10
A11
A12
A13
A14
A15
A16
A17
CE
OE
VPP
PGM
GND
FLASH ROM

O0
O1
O2
O3
O4
O5
O6
O7

13
14
15
17
18
19
20
21

SD0
SD1
SD2
SD3
SD4
SD5
SD6
SD7

R183
2

CE4
10UF

+
2

CB32
0.1U

SIRQ

SIRQ

PC CHIPS
Title
ROM

Size
B

D o c u m e n t Number
M598

Date:

T h u r s d a y , J u l y 06, 2000
2

Rev
5.1
Sheet

19

of
1

27

VCC

KBPOWER

1
3
5
7

KBPOWER

RP9
2.7KX4
C

VCC

2
4
6
8

SB5V

R185
R186

200K
1
200K
1

2
2

PMCLK
PMDAT
KBCLK
KBDAT

JP2
1
2
3

PS/2 F1 KB
1

1
2
3
HEADER 3

KBPOWER
PSKB1

L14
4,20

KBCLK

KBCLK

9
4
6
2
8
1
5
3
7

FB
KBVCC
L15

FB

GND

2
C55

C56

C57

47P
GND

47P

.1U

KBDAT

KBDAT

4,20

PS2-KB
KBD1
1
2
3
4
5

1
2
3
4
5
KBCON

AT KB

PMCLK

L12

MUSDATA-

MUSDATA-

18

FB
L13
1

2
FB

PMCLK

4,20

PMDAT

PMDAT

4,20

C58
2

C59
47P

47P

GND
MUSCLK-

MUSCLK-

18

PS/2 MOUSE

6
.

5
.

TOP
6 5

. . . .

4,20
4,20
4,20
4,20

KBCLK
KBDAT
PMCLK
PMDAT

KBCLK
KBDAT
PMCLK
PMDAT

PC CHIPS

2 1
Title

4 2 1 3

M O U S E C ONNECTOR

Size
B

D o c u m e n t Number
M598

Date:

T h u r s d a y , J u l y 06, 2000
2

Rev
5.1
Sheet

20

of
1

27

INT. RTC
MUST CLOSE TO CHIP RTCVDD PIN

RTCVDD

SB5V

CB33
0.01uF

CB35
0.1uF
0805

JP3
1
1 2
2 3
3
HEADER 3

D13
1

D12
2

1N4148

1N4148

R188
1

1
1

R187
51K

BAT1
Lithium
Battery
3V/60MA

PSRSTB#

PSRSTB#

CB34
0.1uF
0805

C60
0.1uF
2

JP1: 1-2 NORMAL


2-3 CLEAR

PC CHIPS
Title
RTC

Size
B

D o c u m e n t Number
M598

Date:

T h u r s d a y , J u l y 06, 2000
2

Rev
5.1
Sheet

21

of
1

27

VIN[0..3]

VIN[0..3]

VCC
+12V
R191
4.7K

+12V
VIN0

3V

R193

2 30K 1%

VIN1

2.98V

R194

2 6.8K 1%

VIN2

3.3V

R189

2 10K 1%

VIN3

2.5V

R195

2 10K 1%

R190

2 10K 1%

R192

2 10K 1%

VCC
FAN1
1
2
3
CPU FAN

VCC3
VCC2

1
2
3

FAN2

FAN2

PC CHIPS
Title
D A T A A CQUISITION

Size
B

D o c u m e n t Number
M598

Date:

T h u r s d a y , J u l y 06, 2000
2

Rev
5.1
Sheet

22

of
1

27

VCC

ATXVCC3

12

PS_ON#

4
EDGE

AT-CNT

CE10
470UF

CE11
470UF

VCC
+12V

3.3V
-12V
COM
PS-ON
COM
COM
COM
-5V
5V
5V
ATX-CNT

VCC
SB5V

3.3V
3.3V
COM
5V
COM
5V
COM
PW-OK
5VSB
12V

1
2
3
4
5
6
7
8
9
10

R196
10K
EXTPG

SB5V

EXTPG

23,25

CE12
10UF

CB36
0.1UF
C

1
CE9
470UF

+
C

10

PWR2
11
12
13
14
15
16
17
18
19
20

470U

CE8

20
-5V

2.7K/NA

10U
1

10U

VCC

+
CE6

-12V
R197

1
+

6
7

CE5
10U

1
2
3
4
5
6
7
8
9
10
11
12

-12V

11
SB5V

1
2
3
4
5
6
7
8
9
10
11
12

+12V

CE7

PWR1

EXTPG
SB5V

EXTPG

23,25

-5V

-12V

+12V

B Y P A S S C A P. CLOSE TO ATX and 12P POWER CONN. ( PLACE 12PIN POWER CONN. AND ATX POWER CONN. TOGETHER )

D14
23,25

EXTPG

EXTPG

R200
1

1N4148
R198
PWRGD

PWRGD

VCC

2 R199

XRST

XRST

18,25

0
CB37
0.01UF

2
4.7K

PC CHIPS
Title
P O W E R C O N NECTORS

Size
B

D o c u m e n t Number
M598

Date:

T h u r s d a y , J u l y 06, 2000
2

Rev
5.1
Sheet

23

of
1

27

VCC3

VCC3

DIMM DECOUPLING

530 DECOUPLING
SRAM DECOUPLING
VCC3

CB43
1
2

CB38
1
2

CB46
1
2

CB44
1
2

0.1uF

0.1uF

0.1uF

0.01uF

CB42
1
2

CB39
1
2

CB47
1
2

CB45
1
2

0.1uF

0.1uF

0.1uF

0.01uF

CB41
1
2

CB40
1
2

CB92

CB94

0.1uF

0.1uF

0.01UF

0.01UF

CB80

CB78
1
2

CB93

CB95

0.1UF

0.1uF

0.01UF

0.01UF

CB81

CB79
1
2

0.1UF

0.1uF

CB82

CB64
1
2
D

0.1uF
CB63
1
2
0.1uF
CB62
1
2
0.1uF
CB61
1
2
0.1uF
C61

CB83
5595 DECOUPLING

0.1UF

VCC

0.1UF

C62
CB96

VCC

0.1UF
5 VOLT DECOUPLING

CB48
1
2

CB51
1
2

0.1uF

0.1uF

CB60
1
2
0.01uF

VCC3
SO-DIMM

CB97
CB49
1
2

CB52
1
2

0.1uF

0.1uF

CB50
1
2

CB53
1
2

0.1uF

0.1uF

0.1UF
CB98

CB65

CB66

CB67

CB68

0.1uF

0.1uF

0.1uF

0.1uF

0.01UF

CPU 3 VOLT DECOUPLING


VCC3

VCC2 DECOUPLING

CB75
1
2

CB72
1
2

CB69
1
2

CB59
1
2

0.1uF

0.1uF

CB55
1
2

CB58
1
2

0.1uF

0.1uF

CB56
1
2

CB57
1
2

0.1uF

0.1uF
CB91

CB88

0.01UF

0.01UF

BULK POWER DECOUPLING


+12V
CE13
1

1uF

1uF

1uF

CB90

CB76
1
2

CB73
1
2

CB70
1
2

0.01UF

1uF

1uF

1uF

CB77
1
2

CB74
1
2

CB71
1
2

1uF

1uF

1uF

CB89
0.01UF

VCC2

CB54
1
2

22uF

CB84

CB85

PC CHIPS

1UF

1UF

Title

CB87

CB86

1UF

1UF

D E C O U P L I N G C A P ACITORS

Size
B

D o c u m e n t Number
M598

Date:

T h u r s d a y , J u l y 06, 2000
2

Rev
5.1
Sheet

24

of
1

27

RB44
25

JPSEL1

25

JPSEL0

1
3
5
7

JPSEL1
JPSEL0

2
4
6
8

TO CPU

6.8KX4/NA
RB47
1
3
5
7

TO CLK

BFSEL0
BFSEL1
BFSEL2

R 2 3 2 : 0 , THE FACTOR OF MULTIPLE DEFAULT TO 2


REMOVE , THE FACTOR OF MULTIPLE DEFAULT TO 2.5
2
4
6
8

RB46

FS0
FS1
FS2

FS0
FS1
FS2

2
4
6
8

R232
0

R231

1
1 2
2 3
3
HEADER 3

1
3
5
7

1KX4

-VID1
-VID2
-VID3

U12A
1

2
4
6
8
8.2KX4

-VID1
-VID2
-VID3

6
6
6

4.7KX4

1
1
1

RB45

1
3
5
7

MBSELRO

BFSEL0
BFSEL1
BFSEL2

26
26
26

74F14
U12B
3

I N ITIAL 2X CPU INTERNAL CLOCK

U11
SD0
SD1
SD2
SD3
SD4
SD5
SD6
SD7

3
4
7
8
13
14
17
18

D1
D2
D3
D4
D5
D6
D7
D8

11
1

Q1
Q2
Q3
Q4
Q5
Q6
Q7
Q8

2
5
6
9
12
15
16
19

74F14
U12C
MBSEL2

MBSEL1

18,23,25

XRST

XRST

74F14

CLK
CLR
74LS273

U12D
9

JPSEL0

25

JPSEL1

25

VCC
2

4,14,15,16,19
4,14,15,16,19
4,14,15,16,19
4,14,15,16,19
4,14,15,16,19
4,14,15,16,19
4,14,15,16,19
4,14,15,16,19
273c

R202
10K
74F14

D15

PGD

PGD

1N4148
23

-EXT

R203

1273c

XRST

CE14
10U
XRST

R205
2.2k

1K

3
Q16
12N3904

2
R201
R

1 10K
BC1

2
1

VCC
-EXT

EXTPG

EXTPG
2

R204

3
Q17
12N3904

180p
XRST

18,23,25

PC CHIPS
Title
JUMPER LESS

Size
B

D o c u m e n t Number
M598

Date:

T h u r s d a y , J u l y 06, 2000
2

Rev
5.1
Sheet

25

of
1

27

D20
2

VCC

1N4001/DIP
VCC3

VCC3
1

VCC3Q
EC8
470U

EC9
470U

VCC3

VCC3
1

CE20
470UF

1
2
3

3
2
1

1
2
3

JP4

3
2
1

VCC3

EC10
470U

0-0805

JP5
0-0805

VCC

VCC

R223
1K

VCC

1
1
EC11
1500U

2
3

Q19

R208
33K

EC3
10U

VCC2

CHOKE4.7U

3904

EC5
1500U

EC4
1500U

L11 TO EC29
200milX1.8inch

L17
3

1
1

FBX2

2
1

2
2

R207
75K

Q20

Q18
45N03L

L16
1

D18
1N4148

Q21
3906
2
C65
220P

R209

1K
1

+12V

EC12
1500U

1N4148

1
2
3
4

SWC
SWE
CAP
GND

DIP ONLY

U13
DRVC
IPK
VCC
INV
UC34063

EC13
1500U
2

EC7
1500U

2
8
7
6
5

VCC2

EC6
1500U

D19
1K

VCC2
1

VCC2

BAV99

10C30CT

VCC2
R206

BC2
.1U

C63
2

R215
2

1
10K

1000P
R226

2
J3
1
2
3
4
5
6
7
8
HEADER 2X4

3
Q22
13904

2
3
Q24
13904

1
4.99K 1%

R214
8.06K 1%

R213
7.5K 1%

1
3
Q23
13904

3
Q25
13904

VCC2
+12V

VID1
VID3
2

VID0
VID2
GND
VCC

R212
15.0K 1%

R211
30.1K 1%

R210
60.4K 1%

2
EC2
10U

R216
10K

R217
10K

R225

1 VID3-

-VID3

25

VID0-

-VID0

VID0

VID0

R224
25

VID1-

-VID1

PC CHIPS

10K

4
VID1

VID1

VID2-

-VID2

VID2

VID2

25
VID3

VID3

Title

DC POWER

10K

Size
B

D o c u m e n t Number
M598

Date:

T h u r s d a y , J u l y 06, 2000
2

Rev
5.1
Sheet

26

of
1

27

R300
1

VCC2DET

VCC2DET

3
Q33
12N3904

10K

+12V
VCC
R302

D29

R310
100K
1

1
2
1

Q35
2SD1691

1000U/10V

2
Q34
32N3906

2
10UH

2
4
6
8

VCC3

L21
1

Trace Width=53mil
B

1N4148

D30

R306
1K

R307
1K

EC7

D31
1N5820

R308

EC36

2
2
4
6
8

5.1-1%

PLACE NEAR SLOT1

1 1

330-8P4R

1
2
3
4

.5UH
+

C213
.1U

100U/25V

C214
.01U

DC
SC
IS
SE
VCC
TC
COMP
GND
M C 34063(DIP)

RP20

330-8P4R
RP21
1
3
5
7

U14
8
7
6
5

EC34

1
3
5
7
B

L18

VCC
1

VCC
+

1U

100U/25V

R309
100K

R301
1K

3
Q36
12N3904

EC35

C210

1N4148

1
2

1000U/10V
R=0.491*L/(B*W)
R=0.491*1100/(1*53)
=10.2m ohm
I=8Amp

C212
220P

C211
2

R305
2

1
10K

1000P
R304

4.99K-1%

R303
2.74K-1%

PC CHIPS
Title
S w i t c h i n g P o w er for VCC3

Size
B

D o c u m e n t Number
M598MR

Date:

F r i d a y , N o v e m b e r 1 0, 2000
2

Rev
1.0
Sheet

27

of
1

29

LDVDD

LDVDD

WOL ENABLE
LDVDD

LMD0

R253

LDVDD
X1
X2
GND
VCC
LDVDD
LDVDD
GND
GND

VCC

4,11,12
3,5,11,12,27

1
2

1
2

C137
0.01U

AD5
AD6
AD7

FB0805

RAVDD
C134
0.1U

EC50
10U

LDVDD
GND

DGND
3,4,11,12,27

C/BE#0

DGND

AD8
AD9
AD10

LDVDD

GND
GND

TAVDD
AD11
AD12

LDVDD
LDVDD

R255
49.9

AD13
AD14
AD15
LDVDD

GND

C/BE#1
PAR
SERR#
PERR#
STOP#
DEVSEL#

3,4,11,12,27
3,4,11,12,27
4,11,12
11,12
3,4,11,12,27
3,4,11,12,27

28

TXO-

28

TXO+

28

RXI+

28

RXI-

PCLK
DVDD
DVDD
GNT#
REQ#
PME#
DGND
DGND
AD31
AD30
AD29
AD28
DGND
AD27
AD26
AD25
AD24
DVDD
CBE3#
IDSEL
DVDD
AD23
AD22
AD21
AD20
DGND
AD19
AD18
AD17
AD16
DVDD
DVDD
CBE2#
FRAME#
DGND
DGND
IRDY#
TRDY#
PME#

2R262

R254

LDVDD

C133
0.1U
2

01

FRAME#
C/BE#2

3,4,11,12,27
3,4,11,12,27

C/BE#3

3,4,11,12,27

DGND

AD[0..31]
the crystal is option

3,4,11,12,27

0
Y3

X1

X2

1
2
3

0.1U
VCC

VCC

5VSBY

C130
20P

C131
20P

3
2
1

LDVDD

25MHZ

4.7K
3
2
1

GND

JP7(1-2)
HEADER 3

R263
2

GND

GND
RX1

X1

JP6(1-2)
HEADER 3

X2

Title
D M 9 1 0 2 D E M O B O A R D F O R 1 2 8 PINS

EC48
100U/16V

100K

C141
0.1U

Size
B
Date:

49.9

BC17
1
2LDVDD

ACTLED

2
4
6
8
10

3,4,11,12,27
3,4,11,12,27

1
2
3

GND

2
4
5
6
7
8
9
10
LAN 5X2

1
TRDY#
IRDY#

R261
2

5
7
9

R357

49.9

AD[0..31]
AD24

LAN1
1

9102-128

GND
GND

PCICLK3
PGNT#2
PREQ#2
PME#

LDVDD
LDVDD
AD16
AD17
AD18
AD19
GND
AD20
AD21
AD22
AD23
LDVDD

6,12
3,11,12
3,11,12
4,11,12,27

LDVDD
AD24
AD25
AD26
AD27
GND
AD28
AD29
AD30
AD31
GND
GND

LDVDD
LDVDD

INT#D

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38

R234(2-3)
1
1 2
2 3
3
0

INT#C

LINKLED
R356
49.9

PCIRST#

TAVDD
TAVDD

AD5
AD6
AD7
DVDD
CBE0#
DGND
AD8
AD9
AD10
DGND
DGND
AD11
AD12
DVDD
DVDD
AD13
AD14
AD15
DVDD
CBE1#
PAR
SERR#
PERR#
STOP#
DEVSEL#
DGND

64
63
62
61
60
59
58
57
56
55
54
53
52
51
50
49
48
47
46
45
44
43
42
41
40
39

TXOTXO+

DGND
L23

LDVDD

28
28

RAVDD

DGND
BGRES
BGGND
RAVDD
RXIRXI+
RAGND
TAGND
TAGND
TXOTXO+
TAVDD
TAVDD
TAGND
TAGND
OSCVDD
X1/OSC
X2
OSCGND
PWRIN
DVDD
DVDD
DGND
DGND
INT#
RST#

DGND

GND

RXIRXI+

C132
10UF/NA

U15

28
28

103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128

EC49
10U

R350
6.20K

TAVDD

C135
0.1U

MA17
MA16
MA15
MA14
LED10M (MA13)
LED100M (MA12)
LEDFDX (MA11)
LEDTRF (MA10)
TEST2 (MA9)
TEST1 (MA8)
TEST0 (MA7)
SELROM (MA6)
EECS (MA5)
EECK (MA4/EECK)
EEDO (MA3/EEDO)
EEDI (MA2)
BPA1 (MA1)
BPA0 (MA0)
DVDD
BPCS# (ROMCS)
BPAD7 (MD7)
BPAD6 (MD6)
BPAD5 (MD5)
BPAD4 (MD4)
BPAD3 (MD3)
BPAD2 (MD2)
BPAD1 (MD1)
BPAD0 (MD0/EEDI
DGND
AD0
AD1
AD2
DGND
DGND
AD3
AD4
DVDD
DVDD

RAVDD

TAVDD
C136
0.01U
2

1N4148

LDVDD

102
101
100
99
98
97
96
95
94
93
92
91
90
89
88
87
86
85
84
83
82
81
80
79
78
77
76
75
74
73
72
71
70
69
68
67
66
65

470

L22
FB0805

1N4148

C226
0.1U

LDVDD

2
D24

C230
0.1U/NA

GND
LMD[0..7]

LDVDD
LDVDD
AD4
AD3
GND
GND
AD2
AD1
AD0
GND
LMD0
LMD1
LMD2
LMD3
LMD4
LMD5
LMD6
LMD7
ROMCS
LDVDD

LINKLED

C235
0.1U

1
1K/NA

LMA0

D23

C229
0.1U/NA

LDVDD

LMD[0..7]
1

C228
0.1U

D M 9102 bypass capacitors

510

R259

C227
0.1U/NA

LMA2
LMA3
LMA4

LMA6
LMA7

LMA10
LMA11
LMA12
LMA13
LMA14
LMA15
LMA16
LMA17

C224
0.1U

1K
Low/High option)

C223
0.1U/NA

L2,L3 ARE 1206 SIZE


GND
R252

(Level/Pulse option)

ACTLED

C222
0.1U

LDVDD
GND

0/NA

R258

C221
0.1U/NA

C220
0.1U

VCC
NC
NC
GND

LMA3
LMA4

LMA6

R260
PME#

CS
SK
DI
DO
9346-SMD

R251
10K

LMA2

8
7
6
5

1
1K

1
2
3
4

ROMCS

U17

RX2

LDVDD

D o c u m e n t Number
D M 9 1 0 2 - D E M O-128-01
T h u r s d a y , J u l y 06, 2000
2

Rev
01
Sheet

28

of
1

31

R289

0/NA
2

3
Q32
12N3904

TXD
4,17

RING

R294

2
D25
ZENER1/3.6V

1
2

102
270

3,5,11,12,28

2
R279

+5VA

+
104 104

2
2

R345

10K
10K/NA

AD0
AD1
AD2
AD3
AD4
AD5

C159
20PF
20PF/NA

AD6
AD7
C/BE#0
AD8
AD9
AD10

3,4,11,12,28

PAR

TRDY#
STOP#
PAR
B

Y4

18.432MHz

CAP/NA

ISA14M

C160
(20PF)

47PF
6,14,15

C161
(20PF)
47PF
EC31

XADOUTL
470UF/16V
XADOUTR

470UF

1
L26
1 FB-SMD

EC30

L27 FB-SMD

SL

2
2

SR
C142
101

C172

470UF

101 101 101

CMI8738B
2

R283
2

OPEN(33)
33/NA
1

R280

33 33

DVDD

+5VA

AD[0..31]

VCC

C/BE#[0..3]

AD[0..31]
C/BE#[0..3]

3,4,11,12,28
A

3,4,11,12,28

C - M E D I A E L E C T R O NICS INC.

C170
1

C169

C171
1

C168

C167
1

C166

Title
C M I 8 7 3 8 B P C I 4 C H A N N E L A U D I O W I T H MODEM

104
5

104/NA

104/NA

104

104

104
2

104
2

104
2

104/NA

104
2

104

104
2

104
2

104
2

104
2

C165

C164

C163

MICP
C200
1000P

0.1UF

MICIN

104/NA

STOP#

C199
2
.1U

1000P

TRDY#

3,4,11,12,28

( 1 8 .632MHZ)
20PF/NA
C187

470UF/16V

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
1
22

C201
1

3,4,11,12,28

R346
560

R298
10K

10K

3,4,11,12,28
FRAME#

FRAME#

2
1M

AD11

C202

AD12
AD13
AD14
AD15
C/BE#1
PAR
STOP#

MIN

DEVSEL#
TRDY#
IRDY#
FRAME#
C/BE#2
AD16
AD17
AD18

R267
10K

AD19
AD20
AD21
AD22
AD23

+5VA

IDSELS
C/BE#3
AD24
AD25
AD26

+5VA

AD27
AD28

3,4,11,12,28

XA28
XA27
GND
VDD
XA26
XA25
XA24
XCBE3
XIDSEL
VDD
GND
XA23
XA22
XA21
XA20
XA19
GND
VDD
XA18
A17
XA16
XCBE2
XFRAME
XIRDY
XTRDY
XDEVSEL
VDD
GND
XSTOP
XPAR
XCBE1
XA15
XA14
XA13
XA12
GND
VDD
XA11

10UF
10UF/16V

AD31
AD30
AD29

C162

EC13
10UF
10UF/16V

DEVSEL#

0/NA
1

IRDY#

DEVSEL#

EC26
1

PME#

PME#

4,11,12,28

IRDY#

3,4,11,12,28

C178
104
104

104

104
2
R281

AD23

VI

64
63
62
61
60
59
58
57
56
55
54
53
52
51
50
49
48
47
46
45
44
43
42
41
40
39

VO

INT#B
INT#C
PCIRST#
PCICLK4
PGNT#3
PREQ#3
2 R295

XADOUTL
XVREF
XINTVREF
AVDD
AGND
XAFEPD
XMOUT
XMIN
XOUT
XIN
VDD
GND
XA0
XA1
XA2
XA3
XA4
XA5
GND
VDD
XA6
XA7
XCBE0
XA8
XA9
XA10

SAVDD

4.7K
+5VA

GND

4.7K

1
1 2
2 3
3
HEADER 3

3,11,12

100

+12V

JP10
1

PGNT#3

R266
IDSELS
C175
104
104

4.7K
R235
78L05
Q31
78L05

R322

VCC

PPIN111

R320
2

102 102 102 102

XAFERST
XSCLK
XHSPFS
XHSPSDI
XHSPSDO
XAFEMCLK
XBIO3
XBIO2
XBIO1
XBIO0
XHNDSET
XCIDRLY
VDD
GND
XINTA
XINTB
XPRST
XCLK33
XGNT
XREQ
XPME
VDD
GND
XA31
XA30
XA29

C177
104

C186

103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128

C176
104

C185

SWC

AFERST
MSCLK
HSPFS
HSPSDI
HSPSDO
AFEMCLK
MUTE

XOFFHOOK
XRING
VDDM
DGND
XSPDIFO
XGD7
XGD6
XGD5
XGD4
XGD3
XGD2
XGD1
XGD0
XRXD
XTXD
XGPBIO
XSPDIFI
XMBCSZ
XEECS
VDD5V
AGND
AVDD
XMICIN
XPCSPKIN
XAUXR
XAUXL
XLNR
XLNL
XREARR
XREARL
XCDR
XCDL
XCDGND
XRFILT
XLFILT
XADCHR
XADCHL
XADOUTR

R321
4.7K/NA

SWD

U16

102
101
100
99
98
97
96
95
94
93
92
91
90
89
88
87
86
85
84
83
82
81
80
79
78
77
76
75
74
73
72
71
70
69
68
67
66
65

102 102

4,11,12

SAVDD

1
0

1
1

102 102

INT#C

XADOUTR
XADOUTL

C146

1
2

C145

4,11,12

PCIRST#

PCIRST#

102
102

INT#B

INT#C

1
2

XCDG
XCDL
XCDR

* CHIPCSZ : CMI8338 CHIP SELECT


HIGH : DISABL E
LOW : ENABL E

102
102

C179
102
270

INT#B

PGNT#3

SAVDD

R296
4.7K

0/NA

XLNL
XLNR
XAUXL
XAUXR
PCSPKIN
MICIN

GPIO4

DVDD

DVDD
EECS
CHIPCSZ

R285
4

SWA

SPDIFI

103 103

104

SWB

C182

C181

C180

C184

C174
20P/NA
20P/NA

2
RFILT
LFILT
ADCHR
ADCHL

(VEND-ID)
TXD
RXD
GMRC0
GMRC1
GMRC2
GMRC3
SWA
SWB
SWC
SWD
SPDIFO

0(1/4 W) C143
104

XGVCC

3,11,12

PCICLK4

1
2
HEADER 2
RING1
OFFHOOK

PREQ#3

PCICLK4
C173
104
104

OPEN(10K)
EECS

R292
2

PREQ#3
1

R282

VCC

R284
10K/NA
RN91
1KX4

SB5V

MUTE

1
3
5
7

R270
10K

JP8

R271
10K

R297
680

C144
102

8200 8200P 8200 8200P 8200 8200P 8200 8200P 102 102
2

GAME PORT

OFFHOOK
RING1

C183

TIMD
TIMB
TIMA
TIMC
GTXD
GRXD

PPIN111
HSPSDO
HSPSDI
AFERST

C155

2.2K
2.2K
1
2.2K
2.2K
1
1
2.2K
2.2K
1
2.2K
2.2K

2
2
2
2

C154

R275
R276
R277
R278

C153

C152

104
104
VCC

VCC

R274
2
0

GMRC0
GMRC2
GMRC3
GMRC1

C150
C151

XCDL

SR

VCC

CD-AUDIO INTERFACE

10K

104
104
C158
2
104
104

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
HEADER 8X2

XCDINL

MIN

SB5V

PVCC3

R288 10K
10K 1
2

AFEMCLK
HSPFS
MSCLK

XCDG

TIMC
SWB
XGVCC
XLINR

HEADER 2X13
J7

10K

XCDGND

XCDR

SL

SB5V

R287 10K
10K 1
2

C156
2
104
104
C157
2

1
47

XGVCC
SWA
TIMD

2
4
6
8
10
12
14
16
18
20
22
24
26

R250
2

XCDINR

RXD

XLINL
MICP

J11
1
2
3
4
5
6
7
8
HEADER 2X4

SPDIFO

1
560

1
3
5
7
9
11
13
15
17
19
21
23
25

10K 1

R286
2

J4
C D - J A C K /PANASONIC

1
2
3
4

1
2
3
4

SONY/IDE
J5
PIN 1X4

1N4148

10K

J6
XGVCC
SWC
TIMB
GTXD
TIMA
SWD
GRXD

R293
D26

CD2
10K

LINE-IN/REAR-OUT
1

R236
4.7K/NA

R291
10K

R290
2

2
4
6
8

R264
10K
10K

C149
1
104
104
R269
10K

1
2

C148
101

EC29
10UF
10UF/16V

101
101

10K

SPDIFI

1
2

C147
101

R273
2 10K 10K 1

R265
10K
10K

XLNR
12

1
2

10K 1

R272
2

10UF

XLNL

2
FB-SMD
L25
FB-SMD

SB5V

XLINR

L24
XLINL

+5VA

10UF/16V
EC28

104/NA

104/NA
4

Size
B

D o c u m e n t Number
8738BM.SCH

Date:

T h u r s d a y , J u l y 06, 2000
2

Rev
0.7
Sheet

29

of
1

31

Das könnte Ihnen auch gefallen