Sie sind auf Seite 1von 180

DIVISIÓN DE ESTUDIOS DE POSGRADO E INVESTIGACIÓN

REDES NEURONALES EN EL SISTEMA DE CONTROL


VECTORIAL DEL MOTOR DE INDUCCION
POR
CESAR ALEJANDRO FRAUSTO DAVILA

TESIS
PRESENTADA COMO REQUISITO PARCIAL PARA OBTENER EL
GRADO DE MAESTRO EN CIENCIAS EN INGENIERIA ELECTRICA

DIRECTOR DE TESIS
DR. ANTONIO ZAMARRON RAMIREZ

ISSN 0188-9060

RIITEC: MCIE/VI/2007/13
Torreón, Coahuila. México
Mayo, 2008
AGRADECIMIENTOS

AL CREADOR DE LOS CIELOS Y LA TIERRA, MY LORD JESUS,


PORQUE EL DA CONOCIMIENTO O ENTENEBRECE EL ENTENDIMIENTO

A MIS PADRES FELIPA DAVILA Y NICOLAS FRAUSTO


A MIS HNOS GABRIEL, XOCHITL, FANNY
SOBRINOS, TANYA, CARINA, KAREN, MARIO Y JOSUE
POR SU AMOR FRATERNAL

AL CONACYT
POR EL APOYO BRINDADO PARA EL DESARROLLO DE ESTOS ESTUDIOS

AL INSTITUTO TECNOLOGICO DE LA LAGUNA


EN ESPECIAL AL AL DR ENRIQUE CUAN, DR VICTOR CABRERA,
AL MC RICARDO VARGAS SALAS, AL DR MARCO ARJONA,
AL DR SERGIO SELLSSCHOP, A LA MC JUANA MA. CAMARILLO

AL INSTITUTO TECNOLOGICO DE LEON


EN ESPECIAL AL DR ANTONIO ZAMARRON, AL MC ROSALES CISEÑA Y AL MC JULIAN
RENTERIA
POR SU AMISTAD, CONOCIMIENTO, Y CONSEJOS COMPARTIDOS

A MIS AMIGOS EN
TORREON Iglesia Bautista “Solo Cristo Salva” a mis amigos en la Eduardo Guerra, en la
vecindad del chavo del 8,a mis compañeros en la maestría, de GOMEZ, de CULIACAN, de
CD JUAREZ, de SALTILLO. Y a la familia Gallegos en León y mis demás amigos Cristianos
en el Jardín.
EN UNA U OTRA FORMA TIENEN PARTE EN ESTO

A TODOS
GRACIAS

i
RESUMEN

En esta tesis se aborda la implementación de un Sistema de Control Vectorial de Velocidad en


un motor de inducción tipo jaula de ardilla. La técnica de control conocida como Orientado con
el Flujo de Rotor (OFR) se toma como referencia, y se modifica sustituyendo los utilizados en
forma clásica reguladores Proporcional-Integrador por Redes Neuronales Artificiales del Tipo
Retro propagación (Back-propagation, RNA’s-BP).

La simulación del sistema de control OFR, el diseño y entrenamiento de las RNA’s-BP, así
como su inclusión en el sistema de control se realiza en la plataforma Simulink de Matlab.

Para la implementación del Sistema de control simulado se usa la herramienta Embedded


Target for the TI TMS320C2000 DSP For use with Real-Time Workshop de Matlab, la cual en
conjunto con Code Composer Studio 3.1 permite generar el código de programación
correspondiente al modelo simulado y ejecutarlo en un Procesador Digital de Señales
eZdspTMS320F2812 (eZdspF2812).

Palabras Clave: Control de Flujo Orientado, Orientado con el Flujo de Rotor, Redes
Neuronales Artificiales, Procesador Digital de Señales.

ii
ABSTRACT

The main body of this thesis is the implementation of a Velocity Vector Control System for
induction machines with squirrel-cage rotor. The control method knew as Rotor Field Oriented
is taken as reference and modified, the proportional-integral regulators are replaced for Back-
Propagation Artificial Neural Networks.

The control system emulation, Neural Network’s designing and training, and simulation of the
modified control system is using Matlab Simulink.

The control is implemented in physical form by mean of a Digital Signal Processor


eZdspTMS320F2812, this is programmed using the Embedded Target for the TI
TMS320C2000 DSP For use with Real-Time Workshop by Matlab in conjunction with Code
Composer Studio 3.1.

Keywords: Vector Control, Field Orientation, Rotor Field Oriented, Artificial Neural
Networks, Digital Signal Processor.

iii
INDICE GENERAL
Pág.
AGRADECIMIENTOS i
RESUMEN ii
ABSTRACT iii
INDICE GENERAL iv
INDICE DE FIGURAS vii
INDICE DE TABLAS x

CAPITULO I
INTRODUCCION
1.1 Objetivo 2
1.2 Justificación 2
1.3 Estado del arte 3
1.4 Panorama de la tesis 7
Referencias Bibliográficas 8

CAPITULO II
PRINCIPIOS BASICOS DEL MOTOR DE INDUCCION
2.1 Modelado Matemático 14
2.2 Transformaciones de coordenadas y vectores espaciales 17
2.2.1 Ecuaciones del motor en un sistema de ejes d-q 20
2.2.2 Ecuaciones del motor en coordenadas de flujo de rotor 21
2.3 Modelo computacional del Motor de Inducción 22
Referencias Bibliográficas 28

CAPITULO III
CONTROL VECTORIAL DEL MOTOR DE INDUCCION
3.1 Control Vectorial Orientado con el Flujo de Rotor (CV-OFR) 30
3.1.1 Sistema Orientador de Flujo 32
3.1.2 Observador de Flujo 34

iv
Pág.
3.1.3 Esquema de Control 38
3.2 Control de velocidad 40
3.3 Fuente Inversora Alimentada por Voltaje 42
3.3.1 Etapa Inversora 43
3.3.1.1 Modulación SV-PWM 43
3.3.1.2 Generación de Vectores Base 44
3.3.1.3 Reproducción del Vector Consigna 46
3.4 Modelo Computacional del CV-OFR 53
Referencias Bibliográficas 55

CAPITULO IV
REDES NEURONALES ARTIFICIALES
4.1 Neurona Artificial 60
4.2 Red Neuronal Artificial 64
4.2.1 Entrenamiento de una RNA 69
4.2.2 Entrenamiento Supervisado 69
4.2.3 RNA tipo Back-propagation (RNA BP) 70
4.2.4 Regla Delta Generalizada 72
4.2.4.1 Pesos y Parámetros de Aprendizaje 75
4.2.5 Características de las RNA’s 76
4.3 Aplicación de las RNA’s al sistema de Control Vectorial 79
4.4 Modelo Simulink de una RNA actuando como Regulador PI 81
Referencias Bibliográficas 86

CAPITULO V
CONTROL VECTORIAL CON RNA’s
5.1 Sistema de Control SV-RNA’s 90
5.1.1 Entrenamiento de las RNA’s BP 91
5.1.2 Modelo Simulink del SV-RNA’s 101
5.1.2.1 Etapa Reguladora del SV-RNA’s 101

v
Pág.
5.1.2.2 Observador de flujo del SV-RNA’s 103
5.1.2.3 Etapa de procesamiento de señales en el SV-RNA’s 105
5.1.3 Proyecto en Code Composer Studio del SV-RNA’s 113
5.2 Acondicionamiento de señales y Etapa de potencia 115
5.2.1 Fuente Inversora Alimentada por Voltaje 116
5.2.2 Sensor de Posición tipo Codificado Óptico 117
5.2.3 Circuito Acondicionador de Señales Digitales 118
5.2.4 Sensores de Corriente de efecto Hall 119
5.2.5 Circuito Acondicionador de Señales Analógicas 120
5.2.6 Conexión de entradas y salidas de tarjeta eZdspTMS320F2812 121
Referencias Bibliográficas 122

CAPITULO VI
ANALISIS DE RESULTADOS
6.1 Algoritmo de control SV-RNA’s 123
6.2 Implementación en tarjeta eZdspTMS320F2812 138
Referencias Bibliográficas 142

CAPITULO VII
CONCLUSIONES Y FUTUROS DESARROLLOS
7.1 Conclusiones 143
7.2 Propuestas para futuros desarrollos 146
Referencias Bibliográficas 148

ANEXOS
A. Estimación de parámetros del motor empleado 150
B. Tabla de datos para la Fuente Inversora alimentada por voltaje Semiteach-IGBT 152

vi
Pág.
C. Tabla de datos para el IGBT SKM 50GB123D 153
D. Tabla de datos para el Driver SKHI 22A 157
E. Tabla de datos para el Codificador Autonics E30S4 158
F. Tabla de datos para el circuito integrado ULN2003 y ULN2004 159
G. Tabla de datos para el diodo zener 1N4728 168
H. Tabla de datos para el sensor de corriente CSLA1CD 171
I. Parámetros de simulación 175

INDICE DE FIGURAS
Fig. 1.1 Esquema general del Sistema de Control para el Motor de Inducción. 2
Fig. 2.1 Representación simplificada de una máquina trifásica de dos polos. 15
Fig. 2.2 Interpretación física del vector espacial de la Fuerza Magnetomotriz (FMM). 18
Fig. 2.3 Transformación de una variable trifásica a un marco de referencia q-d. 19
Fig. 2.4 Modelo en Matlab-Simulink de un motor de inducción trifásico. 24
Fig. 2.5 Subsistema que estima los enlaces de flujo en el marco de referencia q-d. 24
Fig. 2.6 Subsistema que calcula las corrientes del estator referido a los ejes q-d. 24
Fig. 2.7 Subsistema que calcula la velocidad mecánica y transforma a un marco abc. 25
Fig. 2.8 Curva Par-Velocidad en aceleración libre. Motor de 3HP utilizado (simulación). 25
Fig. 2.9 Respuesta dinámica del Motor en Aceleración libre. Parámetros Estimados. 26

Fig. 2.10 Curva Par-Velocidad en Aceleración libre. Motor 3HP. Con 3 ⋅ rr . 27

Fig. 2.11 Respuesta dinámica del Motor en Aceleración libre. Con 3 ⋅ rr . 27


Fig. 3.1 Técnicas de control para maquinas de corriente alterna. 31
Fig. 3.2 Diagrama de bloques, Control Vectorial de un Motor de Inducción. 32
Fig. 3.3 Observador de Flujo implementado en Simulink para el CV-OFR. 36
Fig. 3.4 Observador de Flujo Discreto implementado en Simulink para el CV-OFR. 38
Fig. 3.5 Control de campo orientado alimentado en voltaje. 39
Fig. 3.6 CV-OFR, empleando Observador de Flujo. 41
Fig. 3.7 Estructura de la etapa de potencia en la Fuente VSI. 42
Fig. 3.8 Voltajes α−β versus estados de interrupción en una fuente VSI. 46

vii
Pág
Fig. 3.9 Reproducción de vector consigna en sector U0-U60 (con Vcc=160V). 47
Fig. 3.10 Modelo en Simulink del CV-OFR. 53
Fig. 3.11 Respuesta del motor de inducción, con velocidad controlada por el CV-OFR. 54
Fig. 3.12 Curva Par-Velocidad con aceleración controlada por el CV-OFR. 55
Fig. 4.1 Modelo McCullon-Pitts de una neurona. 59
Fig. 4.2 Modelo de neurona artificial general. 61
Fig. 4.3 Funciones de activación más comúnmente empleadas. 63
Fig. 4.4 Funciones de salida más empleadas. 64
Fig. 4.5 Diferentes métodos de implementación de RNA´s. 65
Fig. 4.6 Grafica dirigida de una RNA general. 66
Fig. 4.7 Ejemplos de RNA´s con distinta arquitectura de alimentación. 68
Fig. 4.8 Emulación del SCV empleando RNA’s. 79
Fig. 4.9 SCV con RNA BP estimadora de Flujo. 80
Fig. 4.10 Señal de Entrada al Bloque Regulador de Par. 81
Fig. 4.11 Señal de Salida Bloque Regulador de Par. 81
Fig. 4.12 Conjunto de Entrenamiento Entrada-Salida Deseada. 82
Fig. 4.13 Creación de una RNA BP mediante nntool de Matlab. 82
Fig. 4.14 Topología empleada en la RNA BP Reguladora de Par. 83
Fig. 4.15 Parámetros de entrenamiento empleados en la RNA BP Reguladora de Par. 83
Fig. 4.16 Reducción del error durante entrenamiento de la RNA BP Reguladora de Par. 84
Fig. 4.17 Sistema CV-OFR empleando RNA BP Reguladora de Par. 85
Fig. 4.18 Respuesta de la RNA BP Reguladora de Par. 85
Fig. 5.1 Diagrama esquemático del banco de pruebas, con flujo de señales. 90
Fig. 5.2 Señales entrada-salida de bloque regulador de U qs . 91

Fig. 5.3 Señales entrada-salida de bloque regulador de U ds . 92

Fig. 5.4 Señales entrada-salida de bloque regulador de corriente de campo I ds . 92

Fig. 5.5 Conjunto de entrenamiento para RNA BP, regula U qs . 94

Fig. 5.6 Conjunto de adaptación para RNA BP, regula U ds . 94

Fig. 5.7 Conjunto de entrenamiento RNA BP, regula corriente de campo I ds . 94

viii
Pág.
Fig. 5.8 Topología empleada en la RNA BP que regula U qs . 95

Fig. 5.9 Topología empleada en la RNA BP encargada de regular la Corriente I ds . 96

Fig. 5.10 Error MSE durante el entrenamiento de la RNA BP, regula U qs . 98

Fig. 5.11 Error MSE durante la adaptación de la RNA BP, regula U ds . 98

Fig. 5.12 Error MSE durante el entrenamiento de RNA BP, regula I ds . 99

Fig. 5.13 Respuesta de la RNA BP que regula U qs . 100

Fig. 5.14 Respuesta de la RNA BP que regula U ds . 100

Fig. 5.15 Respuesta de la RNA BP que regula I ds . 100

Fig. 5.16 Sistema CV-OFR con RNA’s. 101


Fig. 5.17 Etapa reguladora del SV-RNA’s. 102
Fig. 5.18 Modelo simulink del observador de flujo empleado en el SV-RNA’s. 104
Fig. 5.19 Bloques simulink en librería c2000lib/C281x DSP Chip Support. 106
Fig. 5.20 Ventana de configuración bloque QEP en simulink. 106
Fig. 5.21 Ventanas de configuración bloque ADC en simulink. 108
Fig. 5.22 Modulo adquisición de señales en el SV-RNA’s. 109
Fig. 5.23 Modulo generador de señal SV-PWM en el SV-RNA’s. 111
Fig. 5.24 Ventanas de configuración bloque PWM en Simulink. 111
Fig. 5.25 Modelo simulink del SV-RNA’s. 112
Figura 5.26 Pantalla del Code Composer Studio. 113
Figura 5.27 Inversor PWM trifásico SEMIKRON. 116
Figura 5.28 Diagrama electrónico para el acondicionamiento de señal digital 118
Figura 5.29 Sensor de corriente de efecto Hall. 120
Figura 5.30 Diagrama electrónico del sensor de corriente. 121
Fig. 5.31 Diagrama a bloques de la tarjeta eZdspF2812 mostrando pines de conexión. 121
Fig. 6.1 Curva Par-Velocidad con aceleración controlada empleando el CV-OFR 124
Fig. 6.2 Curva Par-Velocidad. Aceleración controlada empleando el SV-RNA’s. 125
Fig. 6.3 Respuesta de la velocidad controlada . 126
Fig. 6.4 Corrientes del estator estimadas en el marco rotatorio q-d. 127

ix
Pág
Fig. 6.5 Corriente de magnetización y par 128
Fig. 6.6 Corrientes de fase etapa de arranque 129
Fig. 6.7 Corrientes de fase durante la inversión de giro 130
Fig. 6.8 Curva Par-Velocidad. Consigna escalón, empleando Reguladores PI 131
Fig. 6.9 Curva Par-Velocidad. Consigna escalón, empleando Reguladores RNA 131
Fig. 6.10 Respuesta de la Velocidad cambio de consigna forma escalón 133
Fig. 6.11 Corrientes en cuadratura 134
Fig. 6.12 Corriente de magnetización y par 135
Fig. 6.13 Corriente de fase etapa de arranque 136
Fig. 6.14 Corriente de fase en etapa inversión de giro 137
*
Fig. 6.15 Corrientes de fase en el estator con v qds = 0.7 pu 138
*
Fig. 6.16 Corriente de fase en el estator con v qds = 1 pu 139

Fig. 6.17 Corriente de fase. Inicio de oscilaciones 140


Fig. 6.18 Corrientes de fase velocidad del rotor constante 140
Fig. 6.19 Corriente de fase, periodo de oscilaciones en el rotor 140
Fig. 6.20 Señales QEP A y B. Inicio de oscilaciones 141
Fig. 6.21 Señales QEP A y B. Velocidad del rotor constante 141
Fig. 6.22 Señales QEP A y B. Periodo de oscilaciones en el rotor 142

INDICE DE TABLAS
Tabla 2.1 Parámetros eléctricos de motor de inducción trifásico utilizado. 23
Tabla 3.1 Patrones de conmutación en una VSI, y sus voltajes de fase y línea generados. 45
Tabla 3.2 Definición de t1 y t 2 para los distintos sectores. 49
Tabla 3.3 Tabla de asignación de variables tabcON en función del sector. 52
Tabla 5.1 Características empleadas en las RNA’s BP. 96
Tabla 5.2 Parámetros de Entrenamiento. 97

x
CAPÍTULO I

Introducción

Las técnicas de control para motores de inducción es un tema que ha tenido un gran desarrollo
en los últimos años, partiendo del empleo de técnicas clásicas de control [1], hasta llegar a las
técnicas de control no lineal [2, 3] y técnicas heurísticas [4-7].

En esta tesis se presenta el desarrollo de un accionador en control vectorial (también referido


en la literatura como control en campo orientado) para un motor de inducción tipo jaula de
ardilla utilizando una técnica heurística: las Redes Neuronales Artificiales (RNA’s). El control
vectorial se implementa en la modalidad conocida como Orientado con el Flujo de Rotor
(OFR) propuesta por Blaschke, conocida como Orientación Directa [1]. Las ecuaciones para su
implementación y la configuración del sistema son tomadas del trabajo de Briz [8], y
modificado de tal forma que los bloques reguladores Proporcional-Integral implicados
clásicamente son sustituidos por RNA’s.

El algoritmo del OFR con reguladores PI y RNA’s se ha simulado en Matlab-Simulink e


implementado en el sistema de desarrollo eZdspTMS320F2812 de Spectrum Digital que
contiene como base al Procesador Digital de Señales (DSP) TMS320F2812. El software
utilizado para la programación del DSP ha sido el Code Composer Studio (CCS) y el toolbox
Embedded Target for TIC2000 de Matlab. La etapa de potencia utilizada para accionar al
motor de inducción es un inversor alimentado por voltaje (VSI por sus siglas en ingles) de tres
ramas, formado por seis transistores de unión bipolar con compuerta aislada (IGBT) activados
por pulsos PWM. Los pulsos PWM son generados usando la modulación mediante el Vector
Espacial, SVPWM (por sus siglas en Ingles, Space Vector PWM). En la figura 1.1 se muestra
un diagrama esquemático del sistema implementado.
INTERFASE ALGORITMO
12-24 V to 3.3 V DE CONTROL
SENSORES:
ENCODER , CORRIENTES (efecto Hall)
MATLAB

Simulink
ω INVERSOR DSP
CCS 3.1
IGBT's
eZdspTMS320F2812 Workshop
τ
Embedded Target for
Motor de Induccion
TIC2000

Fig. 1.1 Esquema general del Sistema de Control para el Motor de Inducción

1.1 OBJETIVO

Implementar el algoritmo de control vectorial en un DSP para regular la velocidad de un motor


de inducción tipo jaula de ardilla utilizando redes neuronales artificiales como reguladores en
los lazos de velocidad, par y campo.

1.2 JUSTIFICACION

La implementación del control vectorial para un motor de corriente alterna es un tema de gran
interés para el grupo de investigación que desarrolla algoritmos de control en máquinas
eléctricas rotativas en la Maestría en Ingeniería Eléctrica del Instituto Tecnológico de la
Laguna, ya que esta técnica de control también puede ser aplicada en máquinas sincronas y en
motores de c.a. de imanes permanentes enterrados en el rotor. El control vectorial permite
regular con gran exactitud la velocidad, par y/o posición de un motor de inducción trifásico
como si éste fuera un motor de corriente continua. Mediante está técnica las corrientes de fase
del estator son representadas por una corriente de par y una corriente de campo que giran en
sincronismo con el flujo del rotor, permitiendo el desacoplo casi perfecto de estas dos
corrientes y emulando así el control de un motor de corriente continua. El control vectorial
asociado con el SVPWM permite controlar con gran facilidad la magnitud y ángulo del vector

2
de tensión, lo que permite con relativa facilidad modificar la frecuencia y voltaje aplicado a los
devanados del motor.
El esquema básico del control vectorial incluye entre sus bloques dos reguladores, el regulador
de la corriente de par y el regulador de la corriente de campo. Si lo que se quiere es controlar la
velocidad es necesario incluir un tercer regulador, y para controlar la posición del rotor es
necesario un cuarto regulador. El desarrollo de este proyecto permitirá en un futuro
implementar estos reguladores con diferentes técnicas, como es el caso de este trabajo en el
que se sustituye el clásico regulador PI por una RNA.

El desarrollo del presente proyecto también apoyará hasta cierto punto los siguientes cursos del
programa de postgrado:

• Modelado y análisis de maquinas eléctricas.


• Propulsores de maquinas eléctricas.
• Calidad de la Energía.
• Programación y simulación.
• Microprocesadores, Microcontroladores y Procesadores Digitales.
• Sistemas Inteligentes.

1.3 ESTADO DEL ARTE

Antes de los años 1950’s el uso de motores de inducción se limitaba principalmente a


aplicaciones en modo de función libre, sin ningún control sobre su velocidad, posición o par
[11, 16]. Las aplicaciones que requerían un control aproximado de la velocidad utilizaban
técnicas básicas tales como, cambio de número de polos, variación de la resistencia del rotor,
control por tensión de alimentación, etc. Esto requería en ocasiones características especiales
de diseño en el motor y presentaban una respuesta pobre de control [13, 17].

Conforme se fueron haciendo evidentes las ventajas del motor de inducción comparado con
otros tipos de motores eléctricos, se vio la necesidad de desarrollar nuevas técnicas de control
con el fin de expandir su campo de aplicación [8]. Dos aspectos limitaban el desarrollo de

3
propulsores para motores de inducción, por un lado el motor de inducción es un sistema
dinámico no lineal y los modelos matemáticos que le representaban hacían difícil la
implementación de un sistema de control [18] y por otro lado los dispositivos de electrónica de
potencia y de procesamiento de señales aun no alcanzaban el desarrollo conveniente para
implementar dichos sistemas [8, 19].

Con los avances en la microelectrónica y electrónica de potencia fue posible desarrollar


propulsores de uso industrial empleando técnicas de Control Escalar convencionales las cuales
requerían poca capacidad de cómputo. Dichos propulsores no permitían un control sobre el par
desarrollado por la maquina y presentaban una respuesta pobre en condiciones de baja
velocidad y mayores a la nominal [11-13, 20, 21].

Gracias a los trabajos de Kovacs y Racz (1959), los cuales introdujeron el concepto de
Cantidad Vectorial de una maquina de corriente alterna [16], Hasse (1969) y Blaschke (1972)
presentan la idea de Técnica de Control Vectorial, la cual aborda el problema de control de un
motor de inducción haciendo uso de cantidades vectoriales en lugar de señales sinusoidales
periódicas [8, 12].

El método OFR propuesto por Hasse y Blaschke se basa en reescribir las ecuaciones dinámicas
del motor de inducción en un marco de referencia que rota junto con el vector de flujo de rotor.
En este nuevo sistema, si se mantiene el flujo de rotor constante, se presenta una relación lineal
entre las variables de control y la velocidad del motor, permitiendo el desacoplamiento del
control de par y flujo. El método propuesto por Hasse requiere como realimentación la
velocidad del rotor para determinar la posición del flujo de rotor (modo indirecto). El método
propuesto por Blaschke requiere además la medición o estimación de la posición del flujo de
rotor (modo directo).

Algunas desventajas de los métodos de Hasse y Blaschke son:

• Suponen la magnitud del flujo de rotor constante y esto afecta en la realidad el


desacoplamiento entre dicho parámetro y la velocidad.

4
• Al considerar el flujo del rotor como referencia la respuesta del sistema de control
depende de la estimación adecuada de la resistencia del rotor.
• Requieren como señal de realimentación la velocidad del rotor.
• Dos de las variables de estado empleadas (flujos y corrientes del rotor) son
normalmente no mesurables.
• Consideran el uso de una fuente de voltaje ideal sinusoidal variable en magnitud y
frecuencia.
• No consideran los efectos de saturación del núcleo magnético.

Aunque ya se habían propuesto desde los años 1960’s modelos matemáticos involucrando el
control vectorial, la implementación practica aun requería de avances en algunos de sus
elementos [8, 11, 15], tal como

• La fuente de excitación con magnitud y frecuencia variable (normalmente un modulo


de Conversión con Electrónica de Potencia CEP).
• El modulo Propulsor-Controlador (el cual procesa los estados de la maquina y genera
consignas de alimentación).

Algunos de los pioneros en la implementación con aplicación industrial de las técnicas de


control vectorial, fueron Leonhard (1983), R. Gabriel (1982) y Okuyama (1983) entre otros
[22].

La técnica de Control Directo de Par fue propuesta por Naguchi y Takahashi (1986) [23], como
una opción de mejora en las técnicas de control vectorial. Esta técnica se diferencia de las
anteriores en que considera la salida del controlador como la señal de activación del CEP y
hace uso de una tabla de valores para gobernar sus dispositivos de potencia, de tal forma que
todos los procesos de conmutación en el CEP dependen del estado electromagnético del motor,
logrando un control de velocidad y par con mejor respuesta dinámica [23].

Actualmente, dentro de las técnicas de control vectorial se encuentran una gran variedad de
modalidades, que difieren principalmente por [12, 15, 24]:

5
• El campo magnético que emplea como referencia (rotor, estator o entrehierro).
• La manera en la cual se obtienen los parámetros involucrados en la tarea de control
(directo o indirecto).
• Las variables de estado empleadas en el modelado (par, flujo, corriente).

Hasta la fecha se han propuesto cambios de variada índole en la implementación del esquema
del control vectorial, los cuales pueden clasificarse en los siguientes dos grupos:

1.- Los que hacen uso de Técnicas convencionales de control tales como [2, 3]: Uso de
Observadores, Control Robusto, Control Adaptativo, técnicas de Linealización, Control no
Lineal, Teoria de Lyapunov, etc., con el fin de mejorar la estimación de los parámetros
eléctricos del motor [25-30], mejorar la estimación del vector de flujo [31-37] o mejorar la
eficiencia en el CEP [38-43], entre otros. Dentro de estas técnicas sobresale el interés que ha
tenido en publicaciones científicas una modificación a la técnica de control vectorial la cual
elimina el uso del sensor de posición y/o velocidad del rotor (encoders, tacogeneradores, etc.),
nombrada en algunas publicaciones como Control Sin Sensor (Sensorless, o SVC de su
original en Ingles Sensorless Vector Controlled ) la cual sigue haciendo uso del modelo
vectorial de la maquina, empleando observadores de orden completo tal como Observadores de
Luenberg , Observadores de modo deslizante (Sliding mode Observers) o filtros de Kalman
con el fin de estimar variables de estado del motor en vez de mesurarlas [44-52].

2.- Los que hacen uso de Técnicas heurísticas [4-7] tales como: Uso de controladores difusos
(Fuzzy), Neuro Difusos (Neurofuzzy), Algoritmos Genéticos (AG), Redes Neuronales
Artificiales (RNA’s), etc., con el fin de mejorar la estimación de los parámetros eléctricos de la
maquina [53-59], la estimación del vector de flujo [60-64], la etapa de control [64-68], la
realimentación de variables [69-72] o la activación del modulo CEP [63], entre otros.

Actualmente gracias a los avances en la microelectrónica y electrónica de potencia se han


implementado de manera exitosa algunas de estas propuestas, y el motor de inducción a

6
comenzado a desplazar al motor de corriente continua en aplicaciones de velocidad variable [8,
73].

En el área de propulsores de maquinas de corriente alterna, el uso de microcontroladores [22,


70], tarjetas DSP [32, 33, 49, 60, 74-77], y otros dispositivos electrónicos [71, 72], así como el
constante desarrollo de dispositivos electrónicos de potencia, y la mejora en sus técnicas de
activación [63] ha permitido mantener esta área de investigación activa y en constante
expansión.

1.4 PANORAMA DE LA TESIS

Este trabajo consta de 7 capítulos, más Apéndices, los que se detallan a continuación.

Al ser el motor de inducción el tipo de motor a controlar, en el capítulo 2 se hace un breve


estudio de su modelo en estado estable y se obtienen las ecuaciones expresadas en forma de
vectores espaciales que serán útiles para la implementación del control vectorial. Al final del
capitulo se presenta el modelo computacional en Simulink junto con resultados obtenidos al
simular el modelo matemático considerando los parámetros del motor a utilizar.

En el capitulo 3 se define la estrategia de control a utilizar. En la primera parte de éste capítulo


se describe el modelado matemático del motor en coordenadas sincronas con el flujo del rotor.
Con este modelo matemático se desarrollan simulaciones en computadora y se implementa el
elemento principal en el control vectorial, esto es, el algoritmo de estimación de flujo. Siendo
la Fuente Inversora de Voltaje un elemento relevante en la respuesta del control vectorial, se
hace una breve referencia a la etapa inversora y la técnica de accionamiento utilizada en este
trabajo. Al final del capítulo se presenta el comportamiento de la máquina bajo el gobierno del
controlador desarrollado, estudios basados en simulaciones.

El capitulo 4 aborda el tema de las Redes Neuronales Artificiales (RNA’s), se presentan los
rasgos característicos de las topologías que emplean el algoritmo de entrenamiento conocido
como de Retro propagación (Back-propagation). En la segunda parte del capitulo se hace una

7
reseña de las distintas aplicaciones de las RNA’s en los sistemas de control vectorial y se
define la aplicación a utilizar en este trabajo. Por último, se da una descripción del software
utilizado para su diseño, entrenamiento e implementación y se presentan estudios basados en
simulaciones de la inclusión de RNA’s en el sistema de control vectorial, empleando el sistema
de control desarrollado en el capitulo 3 como modelo para la adquisición de datos de
entrenamiento.

En el capitulo 5 se presenta la implementación del hardware y software para el control


vectorial propuesto. En este capitulo se da una descripción del hardware y software que
permite su implementación. Se dan a conocer algunos aspectos relevantes de la tarjeta
eZdspTMS320F2812. Se describe el diseño y construcción de circuitos de acondicionamiento
de señales que permiten habilitar la comunicación entre el modulo CEP, el DSP, los sensores
de corriente de efecto hall y el sensor de posición empleado para la estimación de velocidad.

En el capitulo 6 se discuten los resultados obtenidos tanto a nivel simulación como


experimentación.

En el capitulo 7 se presentan las conclusiones y comentarios de este trabajo que pudieran


suscitar futuros desarrollos.

Referencias Bibliográficas

1. Buja, G.S. and M.P. Kazmierkowski, Direct Torque Control of PWM Inverter- Fed AC
Motors- A Survey. IEEE Transactions on Industrial Electronics, 2004. 51(4): p. 744-
758.
2. Chiasson, J., Nonlinear Controllers for an induction motor. Control Eng. Practice,
1996. 4(7): p. 977-990.
3. Bodson, M. and J. Chiasson, Differential -Geometric Methods for Control of Electric
Motors. International Journal of Robust and Nonlinear Control, 1998. 8: p. 923-954.
4. Cardoso, F.D.S., J.F. Martins, and V.F. Pires, A Comparative Study of a PI, Neural
Network and Fuzzy Genetic Approach Controllers for an AC-Drive, in COIMBRA.
1998, IEEE: AMC.
5. Bim, E. and L.R. Valdenebro, A Genetic Algorithms Approach for Adaptive Field
Oriented Control of Induction Motor Drives. IEEE Power Engineering Society, 1999.
9: p. 643-646.

8
6. Salmon, J.C., E.P. Nowicki, and F. Ashrafzadeh, A Self-Organizing and Self-Tuning
Fuzzy logic Controller for Field Oriented Control of Induction Motor Drives IEEE
Transaction on Neural Networks, 1995. 3: p. 1656-1662.
7. Bose, B.K., J.O.P. Pinto, and L.E. Borges da Silva, A Stator-Flux-Oriented Vector
Controlled Induction Motor Drive With Space-Vector PWM and Flux-Vector Synthesis
by Neural Networks. IEEE transactions on Industry Applications, 2001. 37(5): p. 1308-
1319.
8. Briz del Blanco, F., Control Vectorial del Motor de Inducción con Identificacion y
Adaptacion a los Parametros de la Carga, in Dep. Ing. Eléctrica, Electronica, de
Computadores y Sistemas. 1995, Universidad de Oviedo: Oviedo.
9. Haykin, S., Neural Networks A Comprehensive Foundation. 2004: IEEE Computer
Society Press.
10. Miller III, W.T., R.S. Sutton, and P.J. Werbos, Neural Networks for Control, ed. N.S.
Foundation. Vol. EET-8819699. 1991: University of New Hampshire
11. Boldea, I. and N.S. A., ELECTRIC DRIVES. 1999: CRC Press LLC.
12. Novotny, D.W. and L.T. A., Vector Control and Dynamics of AC Drives. Monographs
in Electrical and Electronic Engineering. 2000: CLARENDON PRESS - OXFORD.
13. Krause, P.C., O. Wasynczuk, and S.D. Sudhoff, Analysis of Electric Machinery and
Drive Systems. Second ed. IEEE Press Series on Power Engineering, ed. M.E. El-
Hawary. 2002: IEEEPress,Wiley-Interscience.
14. Mohan, N., Advanced Electric Drives Analysis, Control and Modeling using Simulink.
2001: MNPERE.
15. WEMPEC, Field Orientation and High Performance Motion Control, S.o.P. 1981-
1988, Editor. 1989, Wisconsin Electric Machines and Power Electronics Consortium:
Wisconsin.
16. Trzynadlowski, A.M., The Field Orientation Principle in Control of Induction Motors.
1994, Nevada, Reno: Kluwer Academic Publishers.
17. Chapman, S.J., Maquinas Eléctricas. 1987: Mc Graw-Hill.
18. Bodson, M. and J. Chiasson, High-Performance Induction Motor Control Via Input-
Output Linearization. IEEE Control Systems, 1998. 8: p. 25.
19. Stemmler, H., High Power Industrial Drives. Proceedings of the IEEE, 1994. 82(8).
20. Vargas Salas, R. and M.M.G. Muñoz, Control Escalar y Vectorial de las maquinas de
inducción, in División de Estudios de Posgrado e Investigación. 2002, Instituto
Tecnológico de la Laguna: Coahuila, MX.
21. Vargas Salas, R. and L. Marcial, Control de Velocidad del Motor de inducción Jaula de
Ardilla, in División de Estudios de Posgrado e Investigación. 2005, Instituto
Tecnológico de la Laguna: Coahuila, MX.
22. Wishart, M.T. and J.K. Steinke, Vector Control of a High Power Induction Machine.
IEEE AFRICON '92 Proceedings, 1992. 1(1): p. 132.
23. Naassani, A.A. and E. Monmasson, Synthesis of Direc Torque and Rotor Flux Control
Algorithms by Means of Sliding-Mode Theory. IEEE Transactions on Industrial
Electronics, 2005. 52(3).
24. Novotny, D.W. and a. others, Field Orientation and High Performance Motion Control.
Summary of Publications. 1988, Wisconsin: WEMPEC.

9
25. DwayneTelford, M.W. Dunnigan, and B.W. Williams, Online Identification of
Induction Machine Electrical Parameters for Vector Control Loop Tuning. IEEE
Transactions on Industrial Electronics, 2003. 50(2): p. 9.
26. Guidi, G. and H. Umida, A novel Stator Resistance Estimation Method for Speed-
Sensorless Induction Motor Drives. IEEE Transactions on Industrial Electronics, 2000.
36(6): p. 9.
27. Kerkman, R.J., J.D. Thunes, and Otros, A Frequency Based Determination of the
Transient Inductance and Rotor Resistance for Field Commissioning Purpose. Allen-
Bradley Co., 1999. Standard Drives Bussines (POB 760).
28. Wang, K., J. Chiasson, and M. Bodson, An online Rotor Time Constant Estimator for
the Induction Machine. IEEE Transactions on Control Systems Technology, 2005.
15(2): p. 9.
29. Vaclavek, P. and P. Blaha, Lyapunov-Function-Based Flux and Speed Observer for AC
Induction Motor Sensorless Control and Parameter Estimation. IEEE Transactions on
Industrial Electronics, 2006. 53(1): p. 8.
30. Hinkkanen, M. and J. Luomi, Parameter Sensitivity of Full-Order Observers for
Induction Motors. IEEE Transactions on Industrial Electronics, 2002. 15(6): p. 12.
31. Ohishi, K., et al., High-Performance Speed Servo System Considering Voltage
Saturation of a Vector-Controlled Induction Motor. IEEE Transactions on Industrial
Electronics, 2006. 53(3): p. 8.
32. Bose, B.K., T.-W. Chun, and M.-K. Choi, A Novel Start-Up Scheme of Stator Flux
Oriented Vector Controlled induction Motor Drive Without Torque Jerk. IEEE
Transactions on Industrial Electronics, 2001. 71(1): p. Feb 2001.
33. Lee, J.-S., T. Takeshita, and N. Matsui, Stator-Flux-Oriented Sensorless Induction
Motor Drive for Optimum Low-Speed Performance. IEEE Transactions on Industrial
Applications, 1997. 33(5): p. 7.
34. Hurst, K.D., T.G. Habetler, and F. Profumo, Zero-Speed Tacholess IM Torque Control:
Simply a Matter of Stator Voltage Integration. IEEE Transactions on Industrial
Applications, 1998. 34(4): p. 6.
35. Harnefors, L., M. Jansson, and R. Ottersten, Unified Sensorless Vector Control of
Synchronous and Induction Motors. IEEE Transactions on Industrial Electronics, 2003.
50(1): p. 8.
36. Wai, R.-J. and K.-M. Lin, Robust Decoupled Control of Direct Field-Oriented
Induction Motor Drive. IEEE Transactions on Industrial Electronics, 2005. 52(3): p. 18.
37. Ide, K., J.-I. Ha, and M. Sawamura, A Hybrid Speed Estimator of Flux Observer for
Induction Motor Drives. IEEE Transactions on Industrial Electronics, 2006. 53(1): p. 8.
38. Salo, M. and H. tuusa, A Vector-Controlled PWM Current-Source-Inverter-Fed
Induction Machine Drive Whit Stator Current Control Method. IEEE Transactions on
Industrial Electronics, 2005. 52(2): p. 9.
39. Steinke, J.K., G.J. Dudler, and B.P. Huber, Field Oriented Control of a High Power
GTO-VSI Fed AC Drive with High Dynamic Performance Using a Programmable High
Speed Controller (PHSC). IEEE Transactions on Control Systems Technology, 1992.
34(1): p. 7.
40. Naassani, A.A., E. Monmasson, and J.-P. Louis, Synthesis of Direc Torque and Rotor
Flux Control Algorithms by Means of Sliding-Mode Theory. IEEE Transactions on
Industrial Electronics, 2005. 52(3): p. 15.

10
41. Buja, G.S. and M.P. Kazmierkowski, Direct Torque Control of PWM Inverter-Fed AC
Motors- A Survey. IEEE Transactions on Industrial Electronics, 2004. 51(4): p. 14.
42. Boldea, I., C. Lascu, and F. Blaabjerg, Variable-Structure Direct Torque Control- A
Class of Fast and Robust Controller for Induction Machine Drives. IEEE Transactions
on Industrial Electronics, 2004. 51(4): p. 8.
43. Idris, N.R.N. and A.H.M. Yatim, Direct Torque Control of Induction Machines With
Constant Switching Frequency and Reduced Torque Ripple. IEEE Transactions on
Industrial Electronics, 2004. 51(4): p. 10.
44. Boldea, I., C. Lascu, and F. Blaabjerg, Comparative Study of Adaptive and Inherently
Sensorless Observers for Variable-Speed Induction-motion Drives. IEEE Industrial
Transactions on Industrial Electronics, 2006. 53(1).
45. Holtz, J. and H. Pan, Acquisition of Rotor Anisotropy Signals in Sensorless Position
Control Systems. IEEE Transactions on Industrial Applications, 2004. 40(5): p. 9.
46. Kilic, B., Sensorless Control of Induction Machine, in School of Engineering and
Natural Science. 2004, Sabanci University: Estanbul, Turquia.
47. Boldea, I., C. Lascu, and F. Blaabjerg, Comparative Study of Adaptive and Inherently
Sensorless Observers for Variable-Speed Induction-Motor Drives. IEEE Transactions
on Industrial Electronics, 2006. 53(1): p. 9.
48. Chiasson, J. and M. Bodson, A Comparison of Sensorless Speed Estimation Methods
for Induction Motor Control. Proceedings of the American Control Conference IEEE,
2002. 1(1): p. 6.
49. Park, M.-H., S.-K. Sul, and Y.-R. Kim, Speed Sensorless Vector Control of Induction
Motor Using Extended Kalman Filter. IEEE Transactions on Industrial Applications,
1994. 30(5): p. 9.
50. Consoli, A., G. Scarcella, and A. Testa, Speed and Current Sensorless Field Oriented
Induction Motor Drive Operating at Low Stator Frequencies. IEEE IAS 2002, 2002.
1(1): p. 8.
51. Jacobina, C.B., J.B. Fo, and F. Salvadori, A Simple Indirect Field Oriented Control of
Induction Machines Without Speed Measurement. IEEE Transactions on Industrial
Electronics, 2000. 40(4): p. 5.
52. Ferrah, A., K.J. Bradley, and P.J. Hogben-Laing, A Speed Identifier for Induction
Motor Drives Using Real-Time Adaptive Digital Filtering. IEEE Transactions on
Industrial Applications, 1998. 34(1): p. 7.
53. Wood, R., D. Katsis, and A. Trentin, A new Method for Induction Parameters
Estimation Using Genetic Algorithms and Transient Speed measurements
IEEEConference Record of the 41st IAS Annual Meeting, 2006. 5.
54. Phumiphak, T. and C. Chat-uthai, Estimation of Induction Motor Parameters based on
field test coupled whit Genetic Algorithms. IEEE International Conference on Power
System Technology. Proceedings, 2002. 2: p. 5.
55. Bim, E., L.R. Valdenebro, and J.R. Hernandez, A Neuro-Fuzzy Based Parameter
Identification of an Indirect Vector-Controlled Induction Motor Drive. Proceedings of
the IEEE, 1999. 1(3): p. 6.
56. Keyhani, A. and A.B. Proca, Identification of Variable Frequency Induction Motor
Models From Operating Data. IEEE Transactions on Energy Conversion, 2002. 17(1):
p. 8.

11
57. Bim, E. and L.R. Valdenebro, A Genetic Algorithms Approach for Adaptive Field
Oriented Control of Induction Motor Drives. IEEE Industrial Transactions 1999. 52(9):
p. 3.
58. Huerta, P.F., J.J. Rodriguez, and I.C. Torres, Modelo en Simulink de una Red Neuronal
Artificial de Retropropagacion para Estimar la Resistencia del Rotor. 9 Congreso
Nacional de Ingenieria Electromecanica y de Sistemas, 2006. ELE-09.
59. Lin, F.-J., R.-J. Wai, and C.-H. Lin, Decoupled Stator-Flux-Oriented Induction Motor
Drive With Fuzzy Neural Network Uncertainty Observer IEEE Transactions on
Industrial Electronics, 2000. 47(2): p. 12.
60. Blaabjerg, F., B.K. Bose, and Otros, A Simple Direct-Torque Neuro-Fuzzy Control of
PWM-Inverter-Fed Induction Motor Drive. IEEE Transactions on Industrial
Electronics, 2000. 47(4): p. 8.
61. Bose, B.K., W.S. Oh, and K.M. Cho, Self Tuning Neural Network Controller for
Industrial Motor Drives. IEEE Industrial Transactions on Industrial Electronics, 2002.
78(3): p. 5.
62. Rafiq, A., M.G. Sarwer, and M. Datta, Genetic Algorithm Based Fast Speed Response
Induction Motor Drive with ANN Flux Estimator. IEEE Transactions on Neural
Networks, 2005. 48(4): p. 6.
63. Bose, B.K., O.P. Pinto, and L.E.B.d. Silva, A Stator-Flux-Oriented Vector-Controlled
Induction Motor Drive With Space-Vector PWM and Flux-Vector Synthesis by neural
Networks IEEE Transactions on Industrial Applications, 2001. 37(5): p. 11.
64. Hasan, K.M., L. Zhang, and B. Singh, Neural Network Control of Induction Motor
Drives for Energy Efficiency and High Dynamic Performance. IEEE Transactions on
Industrial Applications, 1997. IA-20(6).
65. Salmon, J.C., F. Ashrafzadeh, and E.P. Nowicki, A Self-Organizing and Self-Tuning
Fuzzy Logic Controller for Field Oriented Control of Induction Motor Drives. IEEE
Transactions on Neural Networks, 1995. 30(1): p. 7.
66. Bose, B.K., G.C.D. Sousa, and J.G. Cleland, Fuzzy Logic Based On-Line Efficiency
Optimization Control of an Indirect Vector-Controlled Induction Motor Drive. IEEE
Transactions on Industrial Electronics, 1995. 42(2): p. 7.
67. Moallem, M., et al., Diseno Optimo Multiobjetivos Genetico-Difuso de Un Controlador
PIen el Control Indirecto de Campo Orientado de un motor de inducción. IEEE
Transactions on magnetics, 2001. 37(5): p. 4.
68. Hasan, K.M., L. Zhang, and B. Singh, Neural Network Idded Energy Efficiency Control
for a Field-orientation Induction Machine Drive. IEEE Conferences Publications,
1999. 468(1): p. 5.
69. Bose, B.K. and G. Simoes, Neural Networks Based Estimation of Feedback Signals for
a Vector Controlled Induction Motor Drive. IEEE Transactions on Industrial
Applications, 1994. IA-14: p. 9.
70. Heredia, J.R., F.P. Hidalgo, and J.L.D. Paz, Sensorless Control of Induction Motor by
Artificial Neural Networks. IEEE Transactions on Industrial Electronics, 2001. 48(5): p.
3.
71. Kuchar, M., P. Brandstetter, and M. Kaduch, Sensorless Induction Motor Drive with
Neural Networks. 35 Annual IEEE Power Electronics Specialists Conference 2004: p.
5.

12
72. Kim, S.-H., et al., Speed-Sensorless Vector Control of an Induction Motor Using
Neural Networks Speed Estimation. IEEE Transactions on Industrial Electronics, 2001.
48(3): p. 6.
73. Vasquez Corral, M.H. and R. Vargas Salas, Control de Velocidad de un Motor
Sincrono de Imanes Permanentes, in División de Estudios de Posgrado e Investigación.
2005, Instituto Tecnológico de la Laguna: Coahuila, MX.
74. Trzynadlowski, A.M. and C. Lascu, A Sensorless Hybrid DTC Drive for High-Volume
Low-Cost Applications. IEEE Transactions on Industrial Electronics, 2004. 51(5): p. 8.
75. Wai, R.-J. and W.-K. Liu, Nonlinear Control for Linear Induction Motor Servo Drive.
IEEE Transactions on Industrial Electronics, 2003. 50(5): p. 16.
76. Chiasson, J., Nonlinear Controller for an Induction Motor. Control Eng. Practice Vol 4.
Vol. 4. 1996: Elsevier Science Ltd.
77. Arribas, J.R. and C.M.V. Gonzales, Optimal Vector Control of Pumping and
Ventilation Induction Motor Drives. IEEE Transactions on Industrial Electronics, 2002.
49(4): p. 7.

13
CAPÍTULO II

Principios Básicos del Motor de Inducción

En este capitulo se da una breve reseña del modelo matemático del motor de inducción en
estado estacionario empleando vectores espaciales, esto es una herramienta matemática
que permite implementar el Control Vectorial. Al inicio del capitulo se presentan las
ecuaciones matemáticas que modelan el motor empleando un sistema de referencia
trifásico estacionario (a-b-c), posteriormente se introduce lo que se conoce como
traslación de ejes implementado mediante las transformadas de Clark y de Park, que
permiten trasladar el sistema de referencia a un sistema de ejes (d-q) ortogonal y rotatorio
[1-3]. Al final del capitulo se presenta la simulación computacional en Simulink de
Matlab software empleado en este trabajo para la simulación del motor (Capitulo 2), del
sistema de control (Capitulo 3 y 4) y para la programación de la Tarjeta eZdspF2812
(Capitulo 5).

2.1 Modelado Matemático

Al momento de modelar un motor de inducción es común hacer una serie de


simplificaciones del sistema tales como:

• Considerar el campo de magnetización uniformemente distribuido.


• Considerar lineal el comportamiento del sistema magnético.
• Considerar una distribución de los devanados en el estator idéntica, formando una
fuerza magnetomotriz de forma sinusoidal.
Fig. 2.1 Representación simplificada de una máquina trifásica de dos polos [3].

• Considerar la distribución de barras o devanados en el rotor de tal manera que


forman una fuerza magneto motriz con el mismo número de polos que el estator.

En este trabajo se toma como referencia el modelo propuesto por Krause [3] y el circuito
eléctrico mostrado en la figura 2.1. El devanado del estator se representa mediante una
inductancia equivalente que expresa el acoplamiento mutuo entre rotor y estator en
función del desplazamiento angular entre los ejes magnéticos de ambos devanados. En el
caso de los motores jaula de ardilla el devanado del rotor se puede considerar como un
conjunto de inductancias equivalentes formando un sistema de tres conductores [3-5].

Durante el desarrollo de las ecuaciones se considera como fuente de alimentación un


sistema trifásico (a-b-c) sinusoidal con pulsación ω1 y valor máximo de voltaje V
representado por las siguientes ecuaciones

va = Vsen(ω1t ) Ec. 2.1


vb = Vsen(ω1t − 2π 3) Ec. 2.2
vb = Vsen(ω1t − 4π 3) Ec. 2.3

Del circuito eléctrico mostrado en la figura 2.1 se tiene que el vector de voltaje de línea a
neutro presente en el estator de un motor de inducción es [2, 3, 6]

v abc − s = pλ abc − s + iabc − s rs Ec. 2.4

15
Donde:
vabc − s Vector de voltaje aplicado a los devanados del estator en el marco de
referencia a-b-c.
pλabc − s Variación respecto al tiempo de los enlaces de flujo magnético en el
estator referenciados al marco a-b-c.
iabc − s Vector de corriente del estator en el marco de referencia a-b-c.
rs Resistencia equivalente del devanado de una fase del estator.

El vector de voltaje de línea a neutro del rotor es

v abc − r = pλ abc − r + iabc − r rr Ec. 2.5

Donde:
vabc − r Vector de voltaje del rotor en el marco de referencia a-b-c.
pλabc − r Variación respecto al tiempo de los enlaces de flujo magnético en el rotor,
marco de referencia a-b-c.
iabc − r Vector de corriente del rotor, marco de referencia a-b-c.
rr Resistencia equivalente del devanado de una fase del rotor.

Y los enlaces de flujo están dados por

Ec. 2.6

⎡ 2π 2π ⎤
Lss 0 0 Lsr cos θ r Lsr cos(θ r + ) Lsr cos(θ r − )

⎢ 3 3 ⎥⎥
⎡λas ⎤ ⎢ 2π 2π ⎥ ⎡i ⎤
0 Lss 0 Lsr cos(θ r − ) Lsr cosθ r Lsr cos(θ r + ) as
⎢ ⎥ ⎢
λ 3 3 ⎥ ⎢i ⎥
⎢ bs ⎥ ⎢ 2π 2π ⎥ ⎢ bs ⎥
⎢ λcs ⎥ ⎢ 0 0 Lss Lsr cos(θ r + ) Lsr cos(θ r − ) Lsr cos θ r ⎥ ⎢ics ⎥
⎢ ⎥=⎢ 3 3 ⎥⎢ ⎥
⎢λar ⎥ ⎢ 2π 2π ⎥ ⎢iar ⎥
Lsr cos θ r Lsr cos(θ r − ) Lsr cos(θ r + ) Lrr 0 0
⎢λ ⎥ ⎢ 3 3 ⎥ ⎢i ⎥
⎢ br ⎥ ⎢ 2π 2π ⎥ ⎢ br ⎥
⎢⎣λcr ⎥⎦ ⎢ Lsr cos(θ r + ) Lsr cos θ r Lsr cos(θ r − ) 0 Lrr 0 ⎥ ⎢⎣icr ⎥⎦
⎢ 3 3 ⎥
⎢ L cos(θ − 2π ) L cos(θ + 2π ) L cos θ 0 0 L ⎥
⎢⎣ sr r
3
sr r
3
sr r rr
⎥⎦

En la ecuación 2.6

Lss = Ls − Lsm Ec. 2.7

16
Lrr = Lr − Lrm Ec. 2.8

Donde:
θr Desplazamiento angular entre los ejes del estator y el rotor (ver fig. 2.1).
Lsr Inductancia mutua entre los devanados del estator y rotor.
Ls Inductancia propia del estator.
Lr Inductancia propia del rotor.
L sm Inductancia mutua entre las fases del estator.
Lrm Inductancia mutua entre las fases del rotor.

2.2 Transformaciones de coordenadas y vectores espaciales

Ya que la variación de inductancias mutuas que involucran la ecuación 2.6, es una


función sinusoidal del desplazamiento angular θ r , algunos de los coeficientes en las
ecuaciones de voltaje (ecuación 2.4 y ecuación 2.5) son variantes en el tiempo. Para
eliminar esta condición no deseada, se puede emplear un cambio de variables que
transforme los voltajes y corrientes del estator y del rotor a un marco de referencia común
invariante en el tiempo, presentando una estructura similar al de un motor de corriente
directa.

Se presentan ventajas en términos de simplicidad matemática y claridad en el análisis del


fenómeno físico, el manipular las variables de la maquina en forma de vectores espaciales
representados en un marco de referencia conveniente, haciendo uso de la relación
trigonométrica que existe entre los sistemas de ejes a emplear [2-4, 7].

Si consideramos el sistema trifásico de voltajes representado por las ecuaciones 2.1 a 2.3,
en un determinado instante de tiempo, el devanado de cada una de las fases produce en el
entrehierro una distribución sinusoidal de flujo electromagnético, creando un vector
espacial de la fuerza magnetomotriz resultante que gira a la misma frecuencia del voltaje
aplicado (Ec. 2.9) [4].

17
Eje B
Eje b
IB
Ib

Fc (t)
Fb (t)
Fc (t)

Fs (t)
Fa (t)
Eje a Fa (t)
Eje A
Fb (t) Ia IA

Ic

Eje c
Fig. 2.2 Interpretación física del vector espacial de la Fuerza Magnetomotriz (FMM)[4].

→ → → →
Ec. 2.9
Fsa (t ) = Faa (t ) + Fba (t ) + Fca (t )

Donde:

Vector espacial de flujo electromagnético del estator referenciado al eje de la
Fsa
fase a.
→ Vector instantáneo de flujo electromagnético del estator de la fase a, b o c,
Faa,b ,c
referenciados a la fase a.

Al considerar el sistema como trifásico balanceado, se puede definir una transformación


sobre un sistema arbitrario de ejes 0-d-q empleando la siguiente matriz de transformación
[7]

⎡ ⎤ Ec. 2.10
⎡ Fs 0 ⎤ ⎢ c1 c1 c1 ⎥ ⎡F ⎤
⎢ ⎥ ⎢ 2π 4π ⎥ ⎢ ⎥
sa

⎢ Fsd ⎥ = ⎢ c 2 cos θ c 2 cos(θ − ) c 2 cos(θ − ) ⎥ ⎢ Fsb ⎥


⎢ Fsq ⎥ ⎢
3 3 ⎥
⎣ ⎦ ⎢− c senθ 2π 4π ⎥ ⎢⎣ Fsc ⎥⎦
− c 2 sen(θ − ) − c 2 sen(θ − )
⎢⎣ 2 3 3 ⎥⎦

ϖ k = dθ dt Ec. 2.11

18
Fig. 2.3 Transformación de una variable trifásica a un marco de referencia q-d.

En la ecuación 2.11, ω k es la velocidad a la cual gira el conjunto de ejes 0-d-q respecto al

eje estacionario a del sistema trifásico.

Realizando esta transformación sobre un sistema de ejes estacionario A-B (Fig. 2.3b)
obtenemos la transformada de Clark

⎡ Fs 0 ⎤ ⎡ c1 c1 c1 ⎤ ⎡ Fsa ⎤ Ec. 2.12


⎢ F ⎥ = ⎢c − 12 c 2 − 12 c 2 ⎥⎥ ⎢⎢ Fsb ⎥⎥
⎢ sA ⎥ ⎢ 2
⎢⎣ FsB ⎥⎦ ⎢⎣ 0 − 23 c 2 − 23 c 2 ⎥⎦ ⎢⎣ Fsc ⎥⎦


Podemos referir el vector espacial F s (t ) a un sistema de ejes d-q desfasado un ángulo θ
respecto a A-B mediante la transformación

→ → Ec. 2.13
Fsk = Fs e − jθ = Fsd + jFsq

Al fijar el valor para c1− 2 en las ecuaciones 2.10 y 2.12 se determina la manera en la que
se comportan las magnitudes de las señales manipuladas, de tal forma que se puede
mantener invariante la potencia en cualquiera de los marcos de referencia, o hacer que el
modulo del vector espacial coincida con el valor máximo de la señal transformada, esto
solo afecta los valores absolutos de las variables en las transformaciones, pero se
mantendrán las forma de onda [7].

19
En este trabajo se consideran los valores de c1 = 1
2 y c2 = 1 , en este caso la potencia en la
transformación no se mantiene constante y el modulo del vector espacial será 3/2 del
valor máximo de la señal trifásica. Esta transformación puede aplicarse a cualquier
conjunto de magnitudes trifásicas [7].

2.2.1 Ecuaciones del motor en un sistema de ejes q-d

Al observar el vector espacial de voltaje empleando un marco de referencia estacionario


(transformada de Clark ωk = 0 ) este girara a una velocidad ω1 , y será de naturaleza

sinusoidal. Este vector espacial de voltaje en el marco estacionario puede ser


transformado a un marco de referencia cuya velocidad de giro ω e (del Eje q en Figura
2.3) coincida con la del sistema , arrojando las siguientes expresiones [3-5, 8]

vqse = pλeqs + λeds pθ + iqse rs Ec. 2.14

vdse = pλeds − λeqs pθ + idse rs Ec. 2.15

vqre = pλeqr + λedr pβ + iqre rr Ec. 2.16

vdre = pλedr − λeqr pβ + idre rr Ec. 2.17

λeqs = Lss iqse + Lsr iqre Ec. 2.18

λeds = Lssidse + Lsr idre Ec. 2.19

λ qre = Lrr iqre + Lsr iqse Ec. 2.20

λedr = Lrr idre + Lsr idse Ec. 2.21

3P e e Ec. 2.22
Te = (λqr idr − λedr iqre )
22

Donde:
El superíndice e indica un marco de referencia sincrono con los ejes q-d.
v eqs , ds Componentes del vector voltaje del estator en el marco de referencia q-d.
pλeqs ,ds Variación respecto al tiempo de los enlaces de flujo magnético en el estator

20
referenciados a los ejes q–d.
λeqs,ds Enlaces de flujo magnético en el estator referenciados a los ejes q–d.
θ Desplazamiento angular entre los ejes de la fase a del estator y la fase A del
rotor.
iqse ,ds Componentes del vector de corriente del estator referenciados a los eje q–d.
v qre ,dr Componentes del vector de voltaje del rotor referenciados a los ejes q–d.
pλeqr ,dr Variación respecto al tiempo de los enlaces de flujo magnético en el rotor
referenciados a los ejes q–d.
λeqr ,dr Enlaces de flujo magnético en el rotor referenciados a los ejes q–d.
β Desplazamiento angular entre el eje de la fase A del rotor y el eje q.
iqre ,dr Componentes del vector de corriente del rotor referenciados a los ejes q–d.
Te Par eléctrico generado.
P Numero de polos de la máquina.

2.2.2 Ecuaciones del motor en coordenadas de flujo de rotor

Para la implementación del control en campo orientado, existen algunos marcos de


referencia que permiten simplificar la expresión de las ecuaciones mediante la selección
adecuada de su velocidad de giro ω k [1, 2, 4, 7, 9, 10]. Existen tres posibles sistemas de
ejes que permiten modelar de manera desacoplada las variables del motor de inducción,
respecto a cada uno de los flujos magnéticos predominantes de la máquina (rotor, estator
y entrehierro). En la modelación matemática no existe mucha diferencia, sin embargo en
términos de control, la respuesta dinámica del motor cambia mucho de un sistema a otro
[7] . Para el caso en el que se desea tener control sobre el par es necesario tener control
sobre parámetros eléctricos en el rotor, por lo que es conveniente referenciar las
expresiones al campo magnético del rotor [7].

Si se escoge un marco de referencia tal que el flujo del rotor este alineado con el eje d y
la componente q del flujo sea cero, tenemos que

21
λ qre = 0 = Lrr iqre + Lsr iqse Ec. 2.23

λ qre = 0 = Lrr iqre + Lsr iqse Ec. 2.24

La ecuación 2.24 presenta una gran similitud con la ecuación de par del motor de
corriente directa. Para que se cumpla esta condición de alineamiento, el deslizamiento
debe satisfacer:

e Ec. 2.25
rr Lsr iqs
ωe − ωr = ⋅
Lrr λedr

De donde

1 Ec. 2.26
λ dre = Lsr ⋅ idse
1+τr ⋅ p

Lrr Ec. 2.27


τr =
rr

2.3 Modelo Computacional del Motor de Inducción

Teniendo las ecuaciones matemáticas que describen el comportamiento dinámico de un


motor de inducción (Ecuaciones de la 2.14 a la 2.27), es posible implementar el sistema
empleando una plataforma de programación de alto nivel, tal como el Matlab, para
simular el comportamiento de esta máquina en distintas condiciones de funcionamiento.

Cuando se desea analizar la respuesta de un motor especifico, se requieren determinar los


siguientes parámetros:

• Resistencia eléctrica del rotor rr .

22
Parámetros motor 3 HP Clase B Siemens
Parámetro Valor
rr 0.3173 Ω
rs 0.8333 Ω
X rr 2.2357 Ω
X ss 1.4905 Ω
X sr 35.9295 Ω
Tabla 2.1 Parámetros eléctricos del motor de inducción trifásico utilizado.

• Resistencia eléctrica del estator rs .

• Inductancia del rotor Lrr .


• Inductancia del estator Lss .

• Inductancia mutua Lsr .

En la literatura podemos encontrar una gran variedad de metodologías [11-18] y


herramientas [19-21] que permiten determinar estos parámetros. En este trabajo se toman
como base las pruebas recomendadas por el estándar IEEE-112 [22]: motor sin carga y
rotor bloqueado (Apéndice A, Estimación de Parámetros). La tabla 2.1 muestra los
parámetros del motor estimados de acuerdo a las pruebas realizadas.

El modelo computacional del motor se observa en las figuras 2.4 a 2.7. En la figura 2.4 se
muestra un modelo del motor de inducción en Simulink, éste se compone de tres
subsistemas: estimador de flujos, cálculo de corrientes y cálculo de velocidad mecánica y
transformación de marco de referencia. El modelo requiere como parámetros de entrada
los voltajes de estator y el par de carga del motor.

Las figuras 2.5 a 2.7 muestran cada uno de los bloques empleados en los subsistemas del
modelo. La implementación de cada uno de ellos se realizó tomando como base las
ecuaciones desarrolladas en este capitulo. Un análisis más detallado puede verse en los
artículos de Krauze [3] y Ozpineci [23].

23
iqds
iabcs 1

iqdr psiqr psiqr 1 Tm iabcs


iqdr
T_mec wr 2
wr psidr psidr Te
iqds wr
2 v abcs psiqs psiqs Velocidad Mecanica y
Marco de Referencia
Voltajes_abcs Te 3
iqds psids psids
Tem
Estima enlaces Calcula
de Flujo Ejes q-d Corrientes del estator

Fig. 2.4 Modelo en Matlab-Simulink de un motor de inducción trifásico.

Rr*iqr

1 Rr em
2
1/wb
iqdr
wr psidr

1
psiqr
psiqr

Rr*idr psidr
1/wb 2
1
Rs*iqs wb em psidr
s
3
4 Rs em psiqs
Rs*ids psiqs
iqds 4
psids
v qs psids
3 2*(u(1)-u(2)/2-u(3)/2)/3
vabcs De ecuaciones 2.14 a 2.17
v ds
(u(3)-u(2))/sqrt(3)

Transforma marco de referecia


Ecuacion 2.12

Fig. 2.5 Subsistema que estima los enlaces de flujo en el marco de referencia q-d.

Despejando de
Ecuaciones 2.18 a 2.21

1 psimq
Xaq*(u(1)/Xlr+u(2)/Xls) 1/Xlr 1
psiqr
iqdr

2 psimd
Xad*(u(1)/Xlr+u(2)/Xls)
psidr

3
psiqs 1/Xls

4
psids 2
iqds
3*P*(u(4)*u(1)-u(3)*u(2))/(4*wb) 3
Te
Calcula Par Electrico
Ecuacion 2.22

Fig. 2.6 Subsistema que calcula las corrientes del estator en el marco de referencia q-d.

24
3
Te
u(1)

1 -u(1)/2-sqrt(3)*u(2)/2 1 1
P/(2*J*wb) wb 2
iqds iabcs s
wr
Considerando ecuaciones 2.24 y 2.25
-u(1)/2+sqrt(3)*u(2)/2

2
Tm Transforma marco de referecia
Inversa de ecuacion 2.12

Fig. 2.7 Subsistema que calcula la velocidad mecánica y transforma a un marco de


referencia estacionario.

Fig. 2.8 Curva Par-Velocidad en aceleración libre del motor de 3HP utilizado
(simulación).

Empleando los parámetros de la Tabla 2.1, en las Figuras 2.8 y 2.9 se muestra la
respuesta dinámica del motor simulado, con los parámetros arrojados por las pruebas de
rotor bloqueado y aceleración libre. Alimentado con una fuente sinusoidal ideal a voltaje
nominal. De dichas figuras se puede ver que la respuesta se asemeja al comportamiento
de una máquina de bajo deslizamiento y alta inercia [9].

25
400

350

Wmr (rad/s) y Te (N*m)


300

250

200

150

100

50

-50
0 1000 2000 3000 4000 5000 6000 7000 8000 9000 10000

100

80

60

40
I-abc-s (A)

20

-20

-40

-60

-80
0 1000 2000 3000 4000 5000 6000 7000 8000 9000 10000

60

40

20
I-qd-r (A)

-20

-40

-60

-80
0 1000 2000 3000 4000 5000 6000 7000 8000 9000 10000

tiempo (s/10000)

Fig. 2.9 Respuesta dinámica del Motor en aceleración libre. Parámetros Estimados

Como se menciono anteriormente, el control vectorial (orientado con el flujo de rotor) es


muy sensible a variaciones en la resistencia del rotor rr . En las figuras 2.10 y 2.11 se
muestra la respuesta del motor con una modificación en el valor estimado de rr , este es

multiplicado por 3 . Como se observa en dichas figuras, la respuesta dinámica del


modelo se modifica de manera considerable. Las corrientes de estator se estabilizan en un
tiempo menor, la curva Par-Velocidad en aceleración libre es mas estable, y la respuesta
es similar a la de un motor de alto deslizamiento [9], como es el caso del motor
considerado en este trabajo (Apéndice A).

En este trabajo se tomara el valor modificado de la resistencia del rotor en las


simulaciones que se desarrollen, ya que es el que mejor representa la respuesta real de la
máquina utilizada en la experimentación.

26
Fig. 2.10 Curva Par-Velocidad en aceleración libre de un motor 3HP con rr = 3 ⋅ rr − est
Wmr (rad/s) y Te (N*m)

400
350
300

250
200

150
100

50
0

-50
0 1000 2000 3000 4000 5000 6000 7000 8000 9000 10000

80

60

40
I-abc-s

20

-20

-40

-60

-80
0 1000 2000 3000 4000 5000 6000 7000 8000 9000 10000

60

40

20
I-qd-r

-20

-40

-60

-80
0 1000 2000 3000 4000 5000 6000 7000 8000 9000 10000

Tiempo (s /10 000)

Fig. 2.11 Respuesta dinámica del Motor en Aceleración libre. Con rr = 3 ⋅ rr − est

27
Referencias Bibliográficas

1. Trzynadlowski, A.M., The Field Orientation Principle in Control of Induction


Motors. 1994, Nevada, Reno: Kluwer Academic Publishers.
2. Novotny, D.W. and L.T. A., Vector Control and Dynamics of AC Drives.
Monographs in Electrical and Electronic Engineering. 2000: CLARENDON
PRESS - OXFORD.
3. Krause, P.C. and C.H. Thomas, Simulation of Symmetrical Induction Machinery.
IEEE Transactions on Power Apparatus and Systems, 1965. 11.
4. Mohan, N., Advanced Electric Drives Analysis, Control and Modeling using
Simulink. 2001: MNPERE.
5. Vas, P., Parameter Estimation, Condition Monitoring, and Diagnosis of
Electrical Machines. Monographs in Electrical and Electronic Engineering. 2001:
Oxford University Press.
6. Boldea, I. and N.S. A., ELECTRIC DRIVES. 1999: CRC Press LLC.
7. Briz del Blanco, F., Control Vectorial del Motor de Induccion con Identificacion
y Adaptacion a los Parametros de la Carga, in Dep. Ing. Electrica, Electronica,
de Computadores y Sistemas. 1995, Universidad de Oviedo: Oviedo.
8. Novotny, D.W. and a. others, Field Orientation and High Performance Motion
Control. Summary of Publications. 1988, Wisconsin: WEMPEC.
9. Krause, P.C., O. Wasynczuk, and S.D. Sudhoff, Analysis of Electric Machinery
and Drive Systems. Second ed. IEEE Press Series on Power Engineering, ed. M.E.
El-Hawary. 2002: IEEEPress,Wiley-Interscience.
10. Vargas Salas, R. and M.M.G. Muñoz, Control Escalar y Vectorial de las
maquinas de induccion, in Division de Estudios de Posgrado e Investigacion.
2002, Instituto Tecnologico de la Laguna: Coahuila, MX.
11. Trentin, A., et al., A New Method for Induction Motors Parameter Estimation
Using Genetic Algorithms and Transient Speed Measurements. IEEE Conference
Records 2006, 2006. 5: p. 2435-2440.
12. Phumiphak, T. and C. Chat-uthai, Estimation of Induction Motor Parameters
Based on Field Test Coupled with Genetic Algorithm. IEEE Proceedings Power
System Technology, 2002. 2(2): p. 1199-1203.
13. Kerkman, R.J., J.D. Thunes, and T.M. Rowan, A Frequency Based
Determination of the Transient Inductance and Rotor Resistance for Field
Commissioning Purposes. IEEE Industry Applications Conference Records 1995,
1995. 1: p. 359-366.
14. Telford, D., M.W. Dunnigan, and B.W. Williams, Online Identification of
Induction Machine Electrical Parameters for Vector Control Loop Tuning. IEEE
Transactions on Industrial Electronics, 2003. 50(2): p. 253-261.
15. Donescu, V., A. Charette, and Z. Jao, A New Automated Method for Estimation of
Induction Motor Parameters. IEEE Conference Records 1998, 1998. 1: p. 381-
384.
16. Stokcs, M. and A. Medvedev, Estimation of Induction Machine Parameters at
Start-up Using Current Envelope. IEEE Conference Records 2002, 2002. 2: p.
1163-1170.

28
17. Grantham, C. and D. McKinnon, Rapid Parameter Determination for Induction
Motor Analysis and Control. IEEE Transactions on Industrial Applications, 2003.
39(4): p. 1014-1020.
18. Zhou, X. and H. Cheng, The Induction Motor Parameter estimation through an
adaptive Genetic Algorithm. IEEE Conference Records 2004, 2004. 1: p. 494-
498.
19. Roy, P.V., B. Renier, and K. Hameyer, A practical Set-up for a Standard Test
Procedure on Polyphase Induction Motors. IEEE Conference Records 1997,
1997. 1: p. 207-214.
20. Chiasson, J., K. Wang, and M. Bodson, An Online Rotor Time Constant Estimator
for the Induction Machine. IEEE Transactions on Control Systems Technology,
2007. 15(2): p. 339-348.
21. Cirrincione, M., M. Pucci, and G. Cirrincione, A New Experimental Application of
Least-Squares Techniques for the Estimation of the Induction Motor Parameters.
IEEE Transactions on Industrial Applications, 2003. 39(5): p. 1247-1256.
22. IEEE, IEEE-112 Standard Test Procedure for Polyphase Induction Motor and
Generators. IEEE Power Engineering Society, 1991. 1.
23. Ozpineci, B. and L.M. Tolbert, Simulink Implementation of Induction Machine
Model - A Modular Approach IEEE IEMDC'03, 2003. 2: p. 728-734.

29
CAPÍTULO III

Control Vectorial del Motor de Inducción

En este capitulo se presenta la simulación del Control Vectorial de un motor de inducción


tipo jaula de ardilla orientado con el Flujo de Rotor (OFR). En primer lugar se presentan
las ecuaciones para desarrollar el modelo de flujo del motor. Posteriormente, y debido a
su importancia en el control vectorial, se hace una reseña de la Fuente Inversora
alimentada por Voltaje (VSI, por sus siglas en ingles) y de la técnica de modulación
PWM con vectores espaciales (SV-PWM) [1, 2].

Por último se presentan una serie de simulaciones donde muestran la respuesta del motor
de inducción gobernado por el control OFR.

3.1 Control Vectorial Orientado con el Flujo de Rotor (CV-OFR)

Los accionamientos de motores de inducción de altas prestaciones dinámicas requieren


un control preciso e independiente (desacoplado) tanto del par como del flujo. La
mayoría de los esquemas modernos se basan en el método de control vectorial o campo
orientado, conocida así por el control que se hace de las corrientes y voltajes en forma
vectorial para orientar espacialmente los campos electromagnéticos del motor de
inducción [3]. El control vectorial intenta controlar el motor de inducción como un motor
de corriente continua de excitación separada. La clave para conseguirlo se encuentra en
determinar de manera correcta la posición del flujo del rotor, el cual se puede medir
(modo directo) o estimar (modo indirecto). El control vectorial mediante la orientación
del flujo de rotor basa su funcionamiento en los puntos siguientes:
Figura 3.1Tecnicas de control para maquinas de corriente alterna [4].

• Uso de un modelo de flujo del motor expresado mediante vectores espaciales.


• Orientación del flujo de rotor en un marco de referencia que permita observar en
estado estacionario las corrientes de rotor en forma desacoplada y no variantes en
el tiempo. Considera estimable la posición del vector de flujo de rotor.
• Etapa reguladora, en base a los resultados arrojados por el Orientador de flujo
genera Voltajes de alimentación consigna. Normalmente emplea elementos
reguladores Proporcional-integral.

Dentro de las técnicas de control para motores de inducción no solo existe la de control
vectorial por flujo orientado, existen otras que han sido agrupadas en el bosquejo de la
figura 3.1.

31
Fig. 3.2 Diagrama de bloques, Control Vectorial de un Motor de Inducción [5].

3.1.1 Sistema Orientador de Flujo [1]

La figura 3.2 muestra un diagrama de bloques general para la implementación física de


un Control Vectorial. Una de las premisas en que se basa el CV-OFR es en la similitud
del modelo q-d del motor de inducción con el modelo matemático del Motor de CC [1, 3,
6-8]

Te = kλr ia = cψ f ia Ec. 3.1

Donde:
ia Corriente de armadura.
ψf enlace de flujo de excitación en el motor de CC.

Después de algunas manipulaciones en las ecuaciones que modelan la máquina de


inducción y auxiliado de vectores espaciales, la corriente del estator se puede
descomponer en una componente proporcional al flujo ( ids ) y en otra componente

proporcional al par ( iqs ), por lo que el flujo de magnetización puede ser directamente

32
controlado por la proyección en el eje d de la corriente del estator de acuerdo a la
ecuación 2.26.

1
λ dre = Lsr ⋅ idse
1+τr ⋅ p

Si consideramos un vector de enlaces de flujo del rotor orientado en el sistema de ejes q-d
(girando a velocidad ωk , sección 2.3.1), siendo su componente q igual a cero, tenemos

que

ψ kre = ψ dre = Lr ⋅ ikre + Lsr ⋅ ikse Ec. 3.2

De ecuaciones 2.16 y 2.17

vkre = pψ kre −ψ kre pβ + ikre rr Ec. 3.3

e
Si se define una corriente i mR denominada corriente magnetizante del rotor, de forma que

esta sea la única responsable del flujo del rotor, tendremos [1]

Lr e Ec. 3.4
e
i mR = i kse + ⋅ i kr
Lsr

Sustituyendo ikse en la ecuación 3.2, se puede expresar el flujo del rotor en términos de la

corriente imR

ψ kre = ψ dre = Lsr ⋅ imR


e Ec. 3.5

e
Expresando el Par (ecuación 2.24), en términos de i mR tenemos que

Te = PCT imR
e e
iqs Ec. 3.6

Siendo CT la constante de par, ecuación 3.7.

33
2 Lsr Ec. 3.7
CT =
3 (1 + σ r )

y σ r el coeficiente de dispersión de rotor (coeficiente de Blonde)

Lr − Lsr (L ) 2 Ec. 3.8


σr = = 1 − sr
Lsr L s Lr

Si se trabaja con una corriente de campo constante el flujo será constante, y entonces el
par se controlara solo por la componente q de la corriente del estator iqse (ecuación 3.6).

Esta es una peculiaridad en este sistema de referencia ya que en los otros dos sistemas
(estator y entrehierro) el flujo del rotor depende de las dos componentes q-d del vector
espacial de corriente del estator.

Al modelo del motor que se obtiene cuando se elige el eje d coincidente con el flujo de
rotor se le denomina modelo de flujo en campo orientado, en este caso las ecuaciones de
voltaje en el estator pueden ser deducidas considerando la definición de i mR [1]

di ds u di Ec. 3.9
σ r ⋅τ s + i ds = ds + σ r ⋅ τ s ⋅ ω mR ⋅ i qs − (1 − σ r ) ⋅ τ s mR
dt Rs dt

diqs u qs Ec. 3.10


σ r ⋅τ s + iqs = − σ r ⋅ τ s ⋅ ω mR ⋅ ids − (1 − σ r ) ⋅ τ s ⋅ ω mR ⋅ imR
dt Rs

3.1.2 Observador de Flujo [1]

La realimentación de la posición del flujo de rotor, necesaria en el CV-OFR, es


determinante en la orientación del sistema de referencia y por ende en su actuación. Con
el fin de suprimir el uso de los sensores de flujo se han propuesto diferentes soluciones,
éstas se pueden separar en dos grupos:

34
Las basadas en Observadores, entendiendo como tales aquellos sistemas que incluyen
algún tipo de realimentación para mejorar la precisión o la rapidez en la estimación, y que
pueden denominarse Observadores de Cadena Cerrada

Los basados en estimadores, también denominados Observadores en Lazo Abierto, y que


no van a incluir ningún tipo de realimentación.

Existe una gran variedad de Observadores de flujo para el motor de inducción que
difieren principalmente por las variables de estado que emplean (Modelo de Corriente,
Modelo de Voltaje, Mixto, etc.) y su arquitectura (de lazo abierto o de lazo cerrado) [3, 7,
9, 10]. En este trabajo se emplea el utilizado por Briz del Blanco en su tesis doctoral [1].
e
Dicho modelo considera el uso de i mR como señal mediática en el control del flujo de

magnetización del rotor y emplea como señales de entrada las corrientes del estator y la
velocidad mecánica del rotor.

e
Ya que la corriente i mR se encuentra definida en función de parámetros que involucran

corrientes del rotor (ecuación 3.4) y estas no son accesibles en el motor de inducción, es
conveniente expresarla en términos de corrientes del estator.

Al orientar el marco de referencia con el flujo del rotor se considera que todo el flujo del
rotor se encuentra en el eje d, de la ecuación 2.23 se obtiene la relación entre la corriente
del rotor iqre y la corriente iqse , que es la que comanda el par,

Lsr e Ec. 3.11


i qre = − ⋅ i qs
L' r

Con λeqr siendo cero, pλeqr es cero, por lo tanto la ecuación de voltaje del eje q del rotor

(ecuación 2.16), se convierte en:

v qre = 0 = rr ⋅ i qre + (ω e − ω r ) ⋅ λedr Ec. 3.12

35
Ecuacion 2.10 y 2.12
Transforma marco de referencia

1 Is_d iabcs 1
Isde Is_abc

1
2 Is_q rho
s
Isqe
2
4 wr
Rho Is_q Ecuacion 3.14
3
ImR ImR
1
Tr
Tr.s+1
Ecuacion 3.15
Despejando
Ecuacion 3.16

0.0001

ImR_remanente

Fig. 3.3 Observador de Flujo implementado en Simulink para el CV-OFR.

De la ecuación 3.3 tenemos

vkre = 0 = Lsr pimR


e
+ j ⋅ (ω k − ω ) ⋅ imR
e
+ ikre rr Ec. 3.13

Donde:
e
pimR Variación respecto al tiempo de la corriente magnetizante del rotor.

En otras palabras, el deslizamiento debe satisfacer [1, 3, 7, 8]

e
rr Lsr i qs rr ⋅ i qre i qs Ec. 3.14
ωe − ωr = S ⋅ ωe = =− e =
Lr λ dr
e
λ dr τ r i mR

Haciendo uso de las ecuaciones 3.4, 3.5 y 3.13 se puede expresar idse en términos de imR

idse = τ r pimR + imR Ec. 3.15

De acuerdo a la ecuación 3.14 la alineación del eje d con el campo del rotor se puede
mantener en todo momento conservando dicha velocidad de deslizamiento, y la magnitud
del flujo en el rotor λedr , se puede ajustar controlando imR .

36
De ecuación 2.26 podemos obtener una expresión que represente la dinámica del flujo del
rotor

λedr Ec. 3.16


1/1 + τ r p = e
L i
sr ds

En caso de conocer las corrientes del estator y la velocidad del rotor, las ecuaciones 3.14,
3.15 y 3.16 permiten implementar dicho Modelo de flujo mediante un Observador en lazo
abierto, mostrado en figura 3.3.

Algunas condiciones presentes al emplear este modelo son [1, 7]:

• Contenido bajo de armónicos en las señales de corriente, comparadas con las de


voltaje.
• Su velocidad de convergencia (con parámetros estimados adecuadamente) es
controlada por la constante de tiempo del rotor (ecuación 2.27).
• Los errores en la estimación de dicha constante afectan de forma importante la
precisión de la estimación del flujo y por ende el desempeño del controlador.
• Con deslizamientos elevados, el flujo estimado va a ser muy sensible en magnitud
a la resistencia de rotor rr .
• Con valores de deslizamiento bajos, el parámetro que mas afecta a la magnitud va
a ser Lsr .

• Hay un problema especial en motores con ranuras cerradas en el rotor, ya que la


inductancia de dispersión del rotor Llr depende fuertemente de la corriente del

rotor cuando ésta tiene valores bajos. El error que resulta en el ángulo del flujo
puede ser grande si no se emplea alguna forma de adaptación.

Aunque existen otros modelos para la estimación del flujo, no van a existir, desde el
punto de vista de la sensibilidad ante errores en los parámetros, grandes diferencias con el
aquí presentado [1].

37
ImR
Remanente
double 1 (inicial)
4
Isde 0.0001
1 Ia ImR
Ia Ds
Tmue.z
double Tr
(Tr+Tmue)z-Tr
2 Ib
Ib

Qs double 2
rho Isqe

Rho
Convierte
3
marco de referencia

Tmue.z
double Convert
z-1

3 Convert
F2812 eZdsp wr

Fig. 3.4 Observador de Flujo Discreto implementado en Simulink para el CV-OFR.

En la figura 3.4 se muestra el observador de flujo en forma discreta. Para la conversión al


espacio discreto se emplea la transformada z de Fourier

1 − z −1 Ec. 3.17
s=
Tmue

Dicho observador en simulaciones bajo condiciones normales de operación a 10 KHz


estima de manera aceptable la respuesta del motor en el espacio discreto.

3.1.3 Esquema de control [1]

El esquema de control depende del funcionamiento del inversor, ya sea si este funciona
como fuente de voltaje o como fuente de corriente. En cualquiera de los casos, la filosofía
de control es la misma. Se dispone de dos ramas independientes, una para el flujo y otra
para el par, siendo reguladas por separado. Para nuestro caso en el sistema de control se
emplea una fuente inversora alimentada por voltaje, por lo que solo se considerara el
esquema de control para dicho dispositivo, como se muestra en la figura 3.5.

38
Fig. 3.5 Control de campo orientado alimentado en voltaje [1].

En la implementación del CV-OFR, el modelo de flujo desarrollado en la sección anterior


se complementa con la estructura general del control que muestra la figura 3.5. Los
bloques representados en dicha figura son:

• RTE , Ri ds , Riqs , Ru ds , Ru qs : Reguladores de velocidad, flujo, par y voltaje.

Normalmente son del tipo Proporcional-integrador (PI).


• DF : Bloque de Debilitamiento de campo (Field Weakening). Es el encargado de
generar la referencia de flujo en función de la velocidad. Emplea una ley de
debilitamiento, ejemplo, 1 / ω .
• PWM: Fuente Inversora alimentada por Voltaje. En este trabajo se asume
funcionar con modulación por ancho de pulso.

• e − jρ , 2 → 3 : Corresponde a la transformación inversa de coordenadas de campo a


coordenadas de estator y al paso de vector espacial de corrientes de estator al
sistema trifásico.
• Compensa: Bloque de compensación. Su misión es mantener el desacoplo en el
control al obtener los voltajes de referencia.

De figura 3.5, en la rama de par (superior) el error de velocidad va a generar, a través del
regulador correspondiente, la referencia de par Te* , la cual se compara con el par motor

39
efectivo Te , que ha sido estimado por el modelo de flujo, para proporcionar la corriente

de par necesaria iqs* . Esta, a su vez, va a ser comparada con la corriente iqs estimada y su

error regulara el voltaje referencia vqs* . El funcionamiento de la rama de flujo es idéntico,


*
solo que ahora la referencia i mR es generada por el bloque DF su error respecto a i mR es

estimado y su regulación proporciona la componente ids* .

De las ecuaciones de tensiones en el estator (ecuaciones 3.9 y 3.10) se deduce que el


desacoplo existente en las corrientes en coordenadas de campo se pierde al pasar a
tensiones. Es decir, las variaciones en u ds van a afectar a iqs y las variaciones en u qs van

a afectar a ids . La finalidad del bloque de compensación es mantener este desacoplo,

compensando los términos cruzados de las ecuaciones de tensión, que para la rama de
flujo son

e
dimR Ec. 3.18
(1 − σ ) ⋅ τ s ⋅ + σ ⋅ τ s ⋅ ω mR ⋅ iqse
dt

Y para la rama de par

(1 − σ ) ⋅ τ s ⋅ ω mR ⋅ imR
e
− σ ⋅ τ s ⋅ ω mR ⋅ idse Ec. 3.19

3.2 Control de velocidad

En la figura 3.6 se muestra la estructura del CV-OFR dispuesto en tal forma que
considera el control de una velocidad consigna. En dicho esquema el observador de flujo
estima las variables de estado requeridas en su etapa reguladora.

La estructura del control corresponde al esquema de control del motor alimentado en


tensión, figura 3.5, pero sin bloque de compensación y con su etapa reguladora de Par
modificada.

40
Fig. 3.6 Control Vectorial Orientado con el Flujo del Rotor, empleando Observador de
Flujo [1].

La finalidad del bloque de compensación es recuperar el desacoplo existente en el vector


espacial de corrientes de estator, el cual no se mantiene en el de tensiones. Sin embargo,
cuando la frecuencia de conmutación es suficientemente grande, menor a 1 ms, los
reguladores de corriente van a ser en general lo suficientemente rápidos como para
compensar el acoplamiento entre las ramas de flujo y par. Bajo estas circunstancias, los
términos dependientes de i mR y sus derivadas van a ser filtrados por la constante de

tiempo en el rotor, por lo que sus variaciones van a ser lentas y fácilmente compensables
por los reguladores. Los términos dependientes de iqs son los que van a presentar

variaciones mas rápidas y los reguladores mantienen perfectamente el desacoplo, por lo


que no es necesario utilizar ningún tipo de compensación.

Los objetivos habituales en un sistema de regulación de velocidad son, por una parte
mantener constante la velocidad de funcionamiento del motor ante variaciones de la
carga, cumpliendo ciertos requisitos de respuesta. En ciertos casos, es conveniente
mantener el flujo constante, siempre que sea posible, en su valor nominal, puesto que su
dinámica esta controlada por la constante del rotor τ r , la cual toma valores relativamente
elevados. Asumiendo una correcta sintonización del modelo del motor y por tanto un
desacoplo efectivo entre las ramas de flujo y de par, el control de la velocidad se va a
realizar, mientras no se entre en la región de debilitamiento de campo, únicamente a
través de la corriente de par iqs .

41
Fig. 3.7 Estructura de la etapa de potencia en la Fuente VSI.

3.3 Fuente Inversora alimentada por Voltaje

Como se menciono anteriormente, el CV-OFR determina los voltajes del estator en sus
componentes q-d. Las Fuentes Inversoras alimentadas por Voltaje (VSI) accionadas
mediante la técnica de modulación por ancho de pulso (PWM, por sus siglas en ingles),
son las más empleadas para la generación de los voltajes arrojados por el control [1, 4,
11, 12].

En este trabajo se emplea una VSI de seis ramas con interruptores tipo Transistores de
unión bipolar con compuerta aislada (IGBT, por sus siglas en ingles) trabajando como
todo-nada, la figura 3.7 muestra la estructura de la etapa de potencia, la cual esta
constituida principalmente por una etapa de rectificación y otra de inversión [8, 13, 14].

La etapa de rectificación utiliza un puente de diodos y un capacitor para el filtrado. Esta


etapa tiene sus limitaciones en cuanto a introducción de armónicos y dirección de flujo de
potencia. No se puede retornar energía del motor a la red, por lo que se requiere emplear
resistencias como disipadoras de energía cuando se frena el motor [1].

42
3.3.1 Etapa Inversora

Dentro de la etapa de inversión, la selección de estrategia de control para los interruptores


de potencia depende de criterios tales como: criterios de optimización, minimización de
armónicos, buena respuesta dinámica, rango de modulación, frecuencia de trabajo,
perdidas por conmutación de los interruptores, etc. [12, 15-22].

Las técnicas PWM son hoy en día unas de las más empleadas en la etapa inversora de
fuentes VSI. Basan su funcionamiento en la representación de una señal análoga
mediante una aproximación digital, esto es, una señal de onda cuadrada con su amplitud
constante y su ancho de banda variable. La mas sencilla de estas técnicas emplea una
señal sinusoidal portadora y una señal triangular moduladora, en base a dichas señales se
determina el periodo de activación de los interruptores de potencia [7, 12, 16].

Aunque al alimentar un motor con este tipo de señales, la energía se proporciona en


forma de paquetes discretos, la inercia mecánica del rotor actúa como filtro y su dinámica
es similar a la que se observaría si se hubieran aplicado señales sinusoidales.

3.3.1.1 Modulación SV-PWM

La modulación mediante Vectores Espaciales (SV-PWM , por sus siglas en ingles) refiere
a una técnica de activación para los interruptores de potencia. Esta técnica genera menor
distorsión armónica en los voltajes de salida y en las corriente generadas en el devanado
del motor, además permite un uso mas eficiente de la fuente de Voltaje, en comparación a
otras técnicas de modulación [2, 12, 22-25].

La técnica SV-PWM ha ganado popularidad por su simplicidad en la implementación a


nivel hardware [23], aunque aun presenta algunas limitaciones tales como el uso de poder
de calculo considerable y su respuesta deficiente en rangos de sobre-modulación. El
termino sobre-modulación hace referencia a la relación entre una señal portadora y señal
moduladora, se presenta cuando la pendiente de la señal portadora es igual o menor a la

43
pendiente de la señal referencia[2, 12, 15, 19]. La búsqueda de alternativas en el diseño
de VSI y sus técnicas de modulación aun es un campo de investigación activo [19, 22,
26-32].

En este trabajo se hace uso de dos algoritmos para la implementación de la técnica SV-
PWM, el primero de ellos a nivel hardware emplea la función Space Vector Generator
incluido en la librería Embedded Target for TIC2000, la exposición que se hace en este
capitulo toma como referencia la filosofía empleada en dicha librería.

El segundo algoritmo se emplea en la simulación y es la técnica hibrida propuesta por


Blasko [15], la cual basa su funcionamiento en el comportamiento del SV-PWM ante la
presencia de armónicos de tercer orden.

3.3.1.2 Generación de Vectores Base [33]

En una fuente inversora de voltaje como la mostrada en la figura 3.7, solo se permite
conducir simultáneamente a uno de los interruptores en cada una de las ramas con el fin
de no crear corto circuito. Considerando solo la parte superior del dispositivo, solo
pueden presentarse ocho posibles combinaciones en los estados de activación de los
interruptores.

Si definimos las variables de conmutación abc como representativas de los estados de

activación en cada una de las ramas formando el vector de conmutación [a b c] , el


T

valor de los voltajes de fase pueden ser definidos como:

⎡V AB ⎤ ⎡ 1 − 1 0 ⎤ ⎡a ⎤ Ec. 3.20
⎢V ⎥ = V ⎢ 0 1 − 1⎥⎥ ⎢⎢b ⎥⎥
⎢ BC ⎥ CC ⎢

⎢⎣VCA ⎥⎦ ⎢⎣− 1 0 1 ⎥⎦ ⎢⎣ c ⎥⎦

Donde
VCC Voltaje instantáneo medido en el bus de voltaje continuo (Fig. 3.7).

44
Tabla 3.1 Patrones de conmutación en una VSI, y sus voltajes de fase y línea generados.

Teniendo en cuenta que el motor tiene un bobinado simétricamente distribuido se pueden


expresar los voltajes de línea como:

⎡V AN ⎤ ⎡ 2 − 1 − 1⎤ ⎡ a ⎤ Ec. 3.21
⎢V ⎥ = VCC ⎢ − 1 2 − 1⎥ ⎢b ⎥
⎢ BN ⎥ 3 ⎢ ⎥⎢ ⎥
⎢⎣VCN ⎥⎦ ⎢⎣ − 1 − 1 2 ⎥⎦ ⎢⎣ c ⎥⎦

La tabla 3.1 muestra los valores posibles arrojados por las ecuaciones 3.20 y 3.21 [33].

Los voltajes al ser un sistema balanceado pueden representarse en un marco de referencia


α-β, mediante la transformada de Clarke

⎡ 1 1 ⎤ ⎡v ⎤ Ec. 3.22
⎡v sα ⎤ 2 ⎢1 − 2 −
2 ⎥ ⎢v ⎥
AN

⎢v ⎥ = ⎢ 3

3 ⎥ ⎢ BN ⎥
⎣ sβ ⎦ 3 ⎢3 − ⎢v ⎥
⎢⎣ 2 2 ⎥⎦ ⎣ CN ⎦

Al sustituir los posibles valores de voltajes de fase en la ecuación 3.22 se obtendrían las
proyecciones α-β representadas en la figura 3.8, dichos vectores de voltaje son conocidos
como vectores base, de los cuales los seis voltajes no nulos definen una zona compuesta
por seis sectores.

45
Fig. 3.8 Voltajes α−β versus estados de interrupción en una fuente VSI.

3.3.1.3 Reproducción del Vector Consigna [33]

El propósito de la técnica SV-PWM es, dado un vector de voltaje consigna U salida generar

un vector aproximado mediante la combinación de los estados de conmutación de la


fuente durante un periodo de tiempo determinado T . Considerando el área hexagonal
determinada por los vectores base (Figura 3.8), U salida se encontrara en un sector limitado

por dos de los seis vectores no nulo. Los distintos algoritmos SVPWM difieren en la
forma en que debe determinar los periodos de activación de los vectores base que lo
contienen y la forma en que se administra la generación de los vectores nulos, ya que esto
permite reducir el numero de conmutaciones y por ende el contenido de armónicos en la
señal generada [12, 15, 20, 21, 23, 28].

La técnica SV-PWM requiere U salida representado en sus componentes Uαβ , en la figura

3.9 se muestra el caso para cuando U salida se encuentra en la región limitada por los

vectores U 0 y U 60 .

46
Fig. 3.9 Reproducción de vector consigna en sector U0-U60 (con Vcc=160V).

En dicha figura también se muestran las componentes α-β generadas por los vectores U 0

y U 60 . Si consideramos el periodo T en el cual se mantendrá reproduciendo U salida

tenemos que

T = T1 + T2 + T0 Ec. 3.23

T1 T Ec. 3.24
U salida = U 0 + 2 U 60
T T

Donde:
T1 Porción del Periodo de tiempo que se aplica el vector U 0 .

T2 Porción del Periodo de tiempo que se aplica el vector U 60 .

T0 Porción del Periodo de tiempo que se aplica el vector nulo.

Estos tiempos se pueden calcular mediante las siguientes ecuaciones

T2 Ec. 3.25
Uβ = U 60 sen (60°)
T

47
T1 T Ec. 3.26
Uα = U 0 + 2 U 60 cos(60°)
T T

De figura 3.8 es evidente que la magnitud de los seis vectores base es 2VCC / 3 . Cuando

dichos voltajes son normalizados empleando el voltaje de fase máximo ( VCC / 3 ), la

magnitud de los vectores viene a ser 2 / 3 , de lo que los tiempos de duración son
expresados como

T1 =
T
2
( 3U α − U β ) Ec. 3.27

T2 = TU β Ec. 3.28

En donde las componentes U α y U β se encuentran normalizadas respecto al voltaje de

fase máximo. El resto del periodo de tiempo T se gasta aplicando el vector de voltaje
nulo V0 . Las duraciones de tiempo, como fracción del periodo total T están dadas por

t1 =
T1 1
=
T 2
( 3U α − U β ) Ec. 3.29

T2 Ec. 3.30
t2 = = Uβ
T

En forma similar si el vector U salida se encuentra contenido en la región limitada por los

vectores base U 60 y U120 , sabiendo que sus magnitudes normalizadas son 2 / 3 , los
tiempos de duración pueden ser expresados como

t1 =
T3 1
T
(
= − 3U α + U β
2
) Ec. 3.31

t2 =
T2 1
T
=
2
( 3U α + U β ) Ec. 3.32

Donde:
T3 Porción del Periodo de tiempo que se aplica el vector U120 .

48
Tabla 3.2 Definición de t1 y t 2 para los distintos sectores.

Si se definen las variables X , Y y Z de acuerdo a las siguientes ecuaciones

X = Uβ Ec. 3.33

Y=
1
2
( 3U α + U β ) Ec. 3.34

Z=
1
2
(
− 3U α + U β ) Ec. 3.35

Para el primer caso, en que el vector U salida se encuentra contenido en el sector U 0U 60∠

los tiempos de duración serian t1 = − Z , t2 = X . Para el segundo caso en que U salida se

encuentra contenido en el sector U 60U120∠ los tiempos de duración serian t1 = Z , t2 = Y .

En forma similar, dichos tiempos pueden ser calculados para el caso en que U salida se

encuentre contenido en cualquiera de los otros cuatro sectores. Las expresiones para t1 y
t 2 en términos de las variables X , Y y Z , correspondiente a cada uno de los sectores se
muestran en la tabla 3.2.

Con el fin de conocer cual de los vectores base aplicar, se requiere identificar el sector en
el cual se encuentra U salida . Esto se realiza convirtiendo primeramente las componentes

Uαβ a un sistema de cantidades trifásicas balanceadas Vref 1 , Vref 2 y Vref 3 empleando la

transformada inversa de Clarke.

49
Vref 1 = U β Ec. 3.36

− U β + Uα ⋅ 3 Ec. 3.37
Vref 2 =
2

− U β − Uα ⋅ 3 Ec. 3.38
Vref 3 =
2

Se debe notar que esta transformación proyecta la componente U β completamente en

Vref 1 . Esto significa que los voltajes Vref 1 , Vref 2 y Vref 3 se encuentran 90° adelantados

comparados con los voltajes generados al utilizar la transformada inversa de Clarke


convencional, la cual proyecta completamente la componente U α en el voltaje V AN . Las

siguientes ecuaciones describen las componentes Uαβ y los voltajes referencia en

términos del ángulo base ωt (para U 60 , ω t = 60 )

U α = sen(ωt ) Ec. 3.39

U β = cos(ωt ) Ec. 3.40

Vref 1 = cos(ωt ) Ec. 3.41

Vref 2 = cos(ωt − 120°) Ec. 3.42

Vref 3 = cos(ωt + 120°) Ec. 3.43

De las ecuaciones 3.41, 3.42 y 3.43 se pueden obtener la siguiente información:

Si Vref 1 > 0 entonces a = 1 , en caso contrario a = 0

Si Vref 2 > 0 entonces b = 1 , en caso contrario b = 0

Si Vref 3 > 0 entonces c = 1 , en caso contrario c = 0

Y el sector puede ser estimado mediante la ecuación 3.44

50
Sec = 4 ⋅ c + 2 ⋅ b + a Ec. 3.44

Las componentes Uαβ definidas en las ecuaciones 3.39 y 3.40 representan los voltajes de

fase V AN , VBN y VCN . Las siguientes ecuaciones describen dichos voltajes de fase:

V AN = sen(ωt ) Ec. 3.45

VBN = sen(ωt + 120°) Ec. 3.46

VCN = sen(ωt − 120°) Ec. 3.47

El algoritmo de modulación SV-PWM a programar en el DSP se compone de las


siguientes etapas:

• Identifica el sector donde se ubica U salida (Ecuación 3.44).

• Calcula las variables X , Y y Z (Ecuaciones 3.33, 3.34 y 3.35).


• Calcula los tiempos t1 y t 2 (Tabla 3.2).
• Determina los porcentajes de activación de la señal PWM en la DSP (duty cicles,
taON , tbON y tcON ) .

• Asigna los porcentajes de activación a las variables Ta , Tb y Tc .

En términos del periodo PWM PRD = T , se pueden definir las variables taON , tbON y tcON :

PWM PRD − t1 − t 2 Ec. 3.48


t aON =
2

t bON = t aON + t1 Ec. 3.49

t cON = t bON + t 2 Ec. 3.50

Las variables taON , tbON y tcON son asignadas al porcentaje de activación adecuado( Ta ,

Tb o Tc ) dependiendo del sector en el cual se encuentre U salida , de la forma en que se

muestra en la tabla 3.3.

51
Tabla 3.3 Tabla de asignación de variables t abcON en función del sector.

Como lo muestra el estudio realizado por Van der Broke [2, 21] la técnica SV-PWM
presenta cierta inmunidad ante la presencia del tercer armónico por la no reversibilidad
plena de la transformada de Park. Si se modifica la técnica sinusoidal PWM añadiendo
intencionalmente un tercer armónico de forma triangular a la señal portadora, la señal
generada presenta comportamiento similar a la técnica SV-PWM [15].

El algoritmo descrito por Mohan [25] aprovecha esta condición y es mas simple de
implementar a nivel simulación, además se emplea en las simulaciones de este trabajo.
Dicho algoritmo implica la generación de Voltajes de control Vcont , y la inyección de una

señal de frecuencia igual al tercer armónico pero de forma triangular y con magnitud
optima [23, 25].

Al realizarse este cambio se logra modificar el lapso de aplicación de los voltajes U 0 y

U 111 , ya que los intervalos de tiempo de activación están en función de Vcont . Al añadir

esta componente armónica permite obtener los voltajes referencia de los valores mínimo
y máximo de las señales a reproducir y no mediante la identificación de sector que se
emplea en la técnica de control vectorial clásica (Ecuaciones 3.41, 3.42 y 3.43) [15].

52
Consigna de

Signal 1
velocidad Estimador de Flujo
Rho
Rho_cal W_in
Ids
15
IDs_con Ia_set
Iqs
IQs_con Ib_set

ImR
ImR_con Ic_set
2/P

wr* Iqs* 40s+30


32s+7 me*
s Uqs*
s Uas* Uas*
wr Controlador
Controlador de Uqs Uqdse* Ubs* Ubs*
Vs_abc
de par wr
Ucs* Ucs*
v abcs

Transforma PWM
iabcs em
marco de
70s+50 Ids* 40s+30 Uds* referencia
ImR* Tm
wr ImR* qdse* a abcs* Tem
s s
Controlador Controlador
FW Motor de inducción 3F
de Corriente de Campo de Uds

2/P

Fig. 3.10 Modelo en Simulink del CV-OFR.

3.4 Modelo computacional del CV-OFR

Para la implementación del CV-OFR a nivel simulación se hace uso del modelo Simulink
del motor (como planta a controlar), del Estimador de flujo (Sección 3.1.2) y de la
estructura de control para control de velocidad (Sección3.2). La etapa reguladora se
ajusta a prueba y error hasta lograrse una respuesta aceptable. El modelo Simulink para el
CV-OFR obtenido se muestra en la figura 3.10.

El CV-OFR en cada periodo de control del inversor (10 KHz) realiza los siguientes
pasos:

• Lectura de las corrientes de fase y velocidad del rotor.


• Computo del vector espacial de corrientes de estator, ias , ibs , ics → iAs , iBs .

• Transformación del vector espacial de corrientes a coordenadas de campo


orientado iAs , iBs → ids , iqs .

• Evaluación del modelo de flujo.

53
w (rad/s)
200
0
-200

20
Isq

0
-20

20
Isd

-20
20
ImR

-20
Par (N*m)

20
0
-20
0.7 1.4 2.1 2.8 3.5 4.2
Tiempo (s)
Fig. 3.11 Respuesta del motor de inducción, con velocidad controlada por el CV-OFR.

• *
Actuación de los reguladores de corriente. Obtención de u ds , u qs* . Los reguladores

son Proporcional-Integral con saturación (a 220 V).


• Transformación del vector espacial en coordenadas de campo a coordenadas de
estator u ds* , u qs* → u *As , u Bs
*
.

• Computo de las tensiones de fase de referencia u*As , uBs


*
→ uas* , ubs* , ucs* .

• Computo de los tiempos de disparo de los Interruptores de potencia.


• Alimentación del motor mediante la fuente VSI

La respuesta del motor ante el control CV-OFR se presenta con la siguiente consigna de
velocidad: aceleración lineal, cambio de giro en forma lineal y frenado en forma lineal.
Manteniendo la consigna de Flujo de rotor en eje d constante. La respuesta
electromecánica del MI se puede observar en las figuras 3.11 y 3.12.

54
Fig. 3.12 Curva Par-Velocidad con aceleración controlada por el CV-OFR.

Referencias Bibliográficas
1. Briz del Blanco, F., Control Vectorial del Motor de Induccion con Identificacion
y Adaptacion a los Parametros de la Carga, in Dep. Ing. Electrica, Electronica,
de Computadores y Sistemas. 1995, Universidad de Oviedo: Oviedo.
2. Van Der Broeck, H.W., H.-C. Skudelny, and G.V. Stanke, Analysis and
Realization of a Pulsewidth Modulator Based on Voltage Space Vectors. IEEE
transactions on Industry Applications 1988. 24(1): p. 142-150.
3. Novotny, D.W. and L.T. A., Vector Control and Dynamics of AC Drives.
Monographs in Electrical and Electronic Engineering. 2000: CLARENDON
PRESS - OXFORD.
4. Buja, G.S. and M.P. Kazmierkowski, Direct Torque Control of PWM Inverter-
Fed AC Motors- A Survey. IEEE Transactions on Industrial Electronics, 2004.
51(4): p. 744-758.
5. Trzynadlowski, A.M., The Field Orientation Principle in Control of Induction
Motors. 1994, Nevada, Reno: Kluwer Academic Publishers.
6. Novotny, D.W. and a. others, Field Orientation and High Performance Motion
Control. Summary of Publications. 1988, Wisconsin: WEMPEC.
7. Vargas Salas, R. and M.M.G. Muñoz, Control Escalar y Vectorial de las
maquinas de induccion, in Division de Estudios de Posgrado e Investigacion.
2002, Instituto Tecnologico de la Laguna: Coahuila, MX.
8. Mohan, N., Advanced Electric Drives Analysis, Control and Modeling using
Simulink. 2001: MNPERE.
9. Krause, P.C., O. Wasynczuk, and S.D. Sudhoff, Analysis of Electric Machinery
and Drive Systems. Second ed. IEEE Press Series on Power Engineering, ed. M.E.
El-Hawary. 2002: IEEEPress,Wiley-Interscience.
10. WEMPEC, Field Orientation and High Performance Motion Control, S.o.P.
1981-1988, Editor. 1989, Wisconsin Electric Machines and Power Electronics
Consortium: Wisconsin.

55
11. Stemmler, H., High-Power Industrial Drives. Proceedings of the IEEE, 1994.
82(8): p. 1266-1287.
12. Hava, A.M., Carrier Based PWM-VSI Drives in the Overmodulation Region in
Electrical and Computer Engineering. 1998, University of Wisconsin-Madison:
Wisconsin.
13. Langdon, S.J., The evolution of IGBT technology. New Developments in Power
Semiconductor Devices, IEE Colloquium on, 1991. 1(1): p. 1-7.
14. Stemmler, H., High Power Industrial Drives. Proceedings of the IEEE, 1994.
82(8).
15. Blasko, V., A hybrid PWM strategy Combining Modified Space vector and
Triangle comparison Methods. Conf. Rec. of PESC 96, 1996. 1(1): p. 1872-1878.
16. Blasko, V. and V. Kaura, A method to Improve Linearity of a Sinusoidal PWM in
the Overmodulation Region. Conf. Rec. of PESC 95, 95. 1(1): p. 523-528.
17. Blasko, V. and V. Kaura, A New method to Extend Linearity of a Sinusoidal
PWM in the Overmodulation Region. IEEE transactions on Industry Applications,
1996. 32(5): p. 1115-1122.
18. Steinke, J.K., Switching Frequency Optimal PWM Control of a Three-Level
Inverter. IEEE transactions on Power Electronics, 1992. 7(3): p. 487-497.
19. Manditeresa, P.T., D.T.W. Liang, and J. Li, Comparisons of Real-Time Generated
Space Vector Modulated Signals for motor Drive Applications. Conference
publication IEE, 1998. 456.
20. Skudelny, H.-C. and A. Mertens, Calculations on the Spectral Performance of
Discrete Pulse Modulation Strategies. IEEE transactions on Power Electronics,
1991. PE-2(3): p. 357-366.
21. Van Der Broeck, H.W. and H.-C. Skudelny, Analytical Analysis of the Harmonic
Effects of aPWM AC Drive. IEEE transactions on Power Electronics, 1988. 3(2):
p. 216-224.
22. Casadei, D., et al., Theoretical and Experimental Analysis for the RMS Current
Ripple Minimization in Induction Motor Drives Controlled by SVM Technique.
IEEE transactions on Industrial Electronics 2004. 51(5): p. 1056-1066.
23. Handley, P.G. and J.T. Boys, Space Vector Modulation : An Engineering Review.
Proceedings of the IEE, 1989. Pt B(132): p. 260-265.
24. Boys, J.T. and P.G. Handley, Harmonic Analysis of Space Vector Modulated
PWM waveforms. IEEProceedings, 1990. 137(Pt. B): p. 197-205.
25. Mohan, N., et al., Including Voltage Space Vector PWM in Undergraduate
Courses, in First Course on Power Electronics. 2004, MNPERE.
26. Holtz, J., Sensorless Vector Control of Induction Motors at Very Low Speed using
a Nonlinear Inverter Model and Parameter Identification. IEEE IAS Annual
Meeting 2001. 1(1): p. 1-9.
27. Bowes, S.R. and Y.-s. Lai, Optimal Bus-Clamped PWM Techniques for Three-
Phase Motor Drives. The 30thAnnual Conference of IEEE IES, 2004. 1(1): p.
1475-1482.
28. Hao, M., L. Yunping, and C. Huiming, A simplified Algorithm for Space Vector
Modulation of Three-phase Voltage Source PWM Rectifier. 35th Annual IEEE
PESC, 2004. 1(1): p. 3665-3672.

56
29. Chan, C.C., K.T. Chau, and S.Z. Jiang, Spectral Analysis of a New Six-Phase
Pole-Changing Induction Motor Drive for Electric Vehicles. IEEE transactions on
Industrial Electronics, 2003. 50(1): p. 123-1332.
30. Mahlein, J., M. Bruckmann, and M. Braun, Passive Protection Strategy for a
Drive System With a Matrix Converter and an Induction Machine. IEEE
transactions on Industrial Electronics, 2002. 49(2): p. 297-304.
31. Jabbar, M.A., A.M. Khambadkone, and Z. Yanfeng, Space-Vector Modulation in
a Two-phase Induction Motor Drive for Constant-Power Operation. IEEE
transactions on Industrial Electronics, 2004. 51(5): p. 1081-1089.
32. Casadei, D., G. Serra, and A. Tani, The Use of Matrix Converters in Direct
Torque Control of Induction Machines. IEEE transactions on Industrial
Electronics, 2001. 48(6): p. 1057-1065.
33. Digital Control Systems (DCS), G., Digital Motor Control Libraries, A. Report,
Editor. 2003, Texas Instruments.

57
CAPÍTULO IV

Redes Neuronales Artificiales

Las técnicas de computación suave (Soft Computing) pretenden emular el


comportamiento inteligente de los seres vivos con el fin de dotar de inteligencia a
máquinas o procesos [1], en cierta forma acordes con el postulado inicial de la
Association for Computing Machinery editado en 1947 [2] y que dice:

“El objetivo de esta organización será el progreso de la ciencia, el desarrollo,


construcción y aplicación de maquinaria nueva para computar, razonar y hacer
tratamientos de la información.”

Dentro de la computación suave podemos mencionar las técnicas que emplean: Lógica
Difusa (LD), Sistemas Inteligentes (SI), Algoritmos Genéticos (AG) y Redes Neuronales
Artificiales (RNA´s) [3]. A diferencia de la LD y de los SI en los cuales el conocimiento
previo del sistema se emplea de manera explicita en forma de reglas de decisión, las
RNA’s generan sus propias reglas durante el proceso de entrenamiento [4]. Los AG se
pueden considerar una modalidad de RNA’s que emplea Aprendizaje Reforzado [5].

Como RNA se puede entender un sistema implementado, ya sea mediante un sistema


computacional u otro dispositivo electrónico, cuyo modelado esta inspirado en la
habilidad y característica de procesamiento paralelo del sistema nervioso biológico [6, 7].

Actualmente las RNA’s son un campo de investigación en las áreas de Inteligencia


Artificial, Psicología, Medicina, Ingeniería y Física. Algunas de las aplicaciones
industriales que han empleado este tipo de tecnología son: Aproximación de funciones
(control y modelado de procesos y modelado de datos), predicción de series en el tiempo
(predicción de señales en el tiempo y modelado de sistemas dinámicos) y clasificación
(diagnostico de máquinas, reconocimiento de patrones y minería de datos) [6, 8-10].

En aplicaciones de control las RNA’s se encargan de monitorear y clasificar sus señales


con el fin de influir en su comportamiento. Las aplicaciones en control pueden ser
realizadas mediante distintas topologías de RNA’s. A diferencia de otras RNA’s estas se
diseñan explícitamente para aprender mediante iteraciones con su entorno [7].

En este capitulo se presenta una descripción general de las RNA’s, haciendo énfasis en
una de las topologías que más ha sido empleada en aplicaciones de control, la de
Prealimentación entrenada mediante la técnica de retro propagación del error (BP, por
siglas del inglés Feed-Forward-Back-Propagation), ya que dicha topología es acorde para
la aplicación pretendida en este trabajo: sustitución de los reguladores proporcional-
integral de un sistema de control vectorial.

En la primer parte del capitulo se describen las RNA’s haciendo énfasis en la RNA BP.
En la segunda parte del capitulo se presentan algunos ejemplos de su aplicación en los
sistemas de control vectorial (SCV), terminando con el entrenamiento de una RNA BP
que aproxima el comportamiento de un regulador proporcional-Integral empleado en el
SCV, estudio basado en simulaciones empleando Simulink de Matlab.

Fig. 4.1 Modelo McCullon-Pitts de una neurona [9].

59
4.1 Neurona artificial

El elemento básico de una RNA es la Neurona Artificial (también conocida como PE por
sus siglas en Inglés, Process Element). La neurona artificial propuesta por McCullon y
Pitts se muestra en la figura 4.1 y es una de las más simples [11-13].

En dicho modelo se presentan varias señales de entrada a la neurona, y cada una de estas
señales es multiplicada por un valor (peso de conexión). En el caso más simple (este
caso), estos productos solo son sumados, y alimentados a través de una función de
transferencia de límites rígidos para finalmente generar un resultado binario. Si la entrada
a la neurona es menor al valor de umbral entonces la salida será 0, en caso contrario será
1. En este modelo las conexiones de entrada (flechas) modelan los axónes y dendritas de
una neurona biológica, los pesos de conexión a las sinapsis, y la función de límites
rígidos a la actividad del soma. Este modelo presenta una gran cantidad de
simplificaciones que no reflejan el verdadero funcionamiento de una neurona biológica.

El modelo matemático de la neurona McCulloc-Pitts esta dado por

n Ec. 4.1
u = ∑ wj y j +θ
j =1

Donde:
u Valor de la señal de salida de la neurona, regularmente se considera igual
al nivel de activación de la neurona.
wj Peso de la entrada j.
yj Valor de señal de entrada j.
θ Valor de umbral o sesgo de la función.
n Numero de entradas a la neurona.

60
Fig. 4.2 Modelo de neurona artificial general [14].

La modelación de una neurona artificial también es posible mediante estructuras de redes


que utilizan otras funciones de sumatoria o diferentes funciones de transferencia, el caso
general se ve representado en la figura 4.2. Las funciones de entrada más empleadas y
conocidas son:

Sumatoria del producto de los valores de entrada por sus respectivos pesos.

n Ec. 4.2
∑w
j =1
j yj

Productoria de las entradas pesadas. Producto de todos los valores de entrada,


multiplicados por sus correspondientes pesos.

n Ec. 4.3
∏w
j =1
j yj

Máximo de las entradas pesadas. Solo considera el valor de entrada más fuerte,
previamente multiplicado por su peso correspondiente.

(
max j w j y j ) Ec. 4.4

61
Distancia euclidiana.
Ec. 4.5
( )
n
∑ y j − wij
2
j =1

Una neurona artificial puede estar activa o inactiva; esto significa que tiene un estado de
activación. Algunas neuronas artificiales pueden encontrarse en un valor de activación
dentro de un conjunto determinado.

La función de activación calcula el estado de actividad de una neurona, esta es una


función del valor x proporcionado por la función de entrada. Las funciones de activación
más empleadas se mencionan a continuación. Su representación gráfica se presenta en la
figura 4.3.

Función lineal.
⎧ −1 Ec. 4.6
⎪− 1, x≤
a
⎪⎪ −1
f ( x ) = ⎨ ax,
1
<x<
⎪ a a
⎪ 1, 1
x≥
⎪⎩ a
Siendo a un valor que afecta la pendiente de la función.

Función Sigmoidea.

f (x ) =
1 Ec. 4.7
− gx
1+ e

Siendo g un valor que afecta la pendiente de la función.

62
Fig. 4.3 Funciones de activación más comúnmente empleadas.

Función Gausiana.

(x ) = A e− B x Ec. 4.9
2

Siendo A y B un valor que afecta la dispersión de la función.

Por ultimo el PE esta listo para proporcionar el valor de la señal de salida u. Esta salida es
transferida a otros elementos de procesamiento, o a una conexión de salida, como
corresponda a la estructura de la red.

Si el valor de entrada en la función de activación de una neurona está por debajo de un


umbral determinado (ver figura 4.1), en su salida se pasa un valor nulo a la neurona
siguiente. Los valores de entrada a una neurona pueden restringirse dentro de un rango.

Dos de las funciones de salida más empleadas son (figura 4.4):

La función identidad. Donde la salida es la misma que la entrada.

u i (t ) = f ( x ) Ec. 4.10

Siendo ui(t) el valor de la señal de salida de la neurona i en el tiempo t.

63
Fig. 4.4 Funciones de salida más empleadas.

La función binaria.

( )=
ui t { 1
0
si u i ( t ) ≥ ξ i
si u i ( t ) < ξ
i
Ec. 4.11

Siendo ξi el valor de umbral de la neurona i.

4.2 Red Neuronal Artificial

Una RNA es un modelo de procesamiento de información diseñado para modelar la


forma en que el cerebro ejecuta una tarea particular o función de interés; la RNA se
implementa normalmente empleando componentes electrónicos o emulándola en
software mediante una computadora digital (Ver Figura 4.5).

El estudio de las RNA’s esta inspirado en la premisa de que el cerebro realiza su función
en una forma completamente distinta a la manera en que lo hace una computadora
convencional [5-9, 11, 15-19]. El cerebro se puede considerar una computadora
compleja, no lineal y paralela (un sistema procesador de información), la cual tiene
capacidades de organizar las neuronas de tal forma que pueda ejecutar ciertos procesos de
cómputo, por medio de la experiencia es la forma en que se logran ciertas habilidades.

64
Fig. 4.5 Diferentes métodos de implementación de las RNA´s [13].

La RNA hace resemblanza del cerebro en dos aspectos:

• El conocimiento se adquiere a través de un proceso de aprendizaje.


• Los pesos de conexión entre las neuronas conocidos como pesos sinápticos se
emplean para almacenar el conocimiento.

Para lograr una buena ejecución de la tarea esperada, la RNA emplea interconexiones
masivas de neuronas artificiales.

El proceso de aprendizaje se realiza mediante la ejecución de un algoritmo de


aprendizaje, cuya función es modificar los pesos sinápticos de la RNA con el fin de
obtener un objetivo de diseño deseado.

La modificación de los pesos sinápticos proporciona el método tradicional para el diseño


de RNA’s, similar a la teoría del filtro adaptativo lineal. Sin embargo, también es posible
modificar la topología de una RNA , con un cambio en el número de neuronas activas y
la creación de nuevos pesos sinápticos o reforzamiento de los existentes, como sucede en
el cerebro humano en el cual normalmente mueren neuronas biológicas [6].

65
Fig. 4.6 Grafica dirigida de una RNA general.

En una RNA los PE´s se encuentran agrupados en capas (layers) y altamente


interconectados por medio de sinapsis; de esta forma la estructura posee varias entradas y
salidas, las cuales es común manejarlas en forma de vectores. Las sinapsis son
establecidas (entrenadas) para reaccionar de una forma determinada a los estímulos de
entrada y generar una salida deseada.

La configuración de las interconexiones es representada normalmente por medio de


Graficas Dirigidas. Una Grafica Dirigida consiste de nodos (en el caso de una RNA
representan las neuronas y las señales de entrada externas) así como flechas dirigidas
(que representan las uniones sinápticas), Figura 4.6.

La arquitectura y dinámica de una RNA definen un elemento que aproxima su(s) salida(s)
en base a su(s) entrada(s), en la cual una función desconocida f: X→Y genera las parejas
de muestras observadas (x1, y1), (x2, y2), (x3, y3), ….Los datos de muestra modifican
parámetros en las neuronas de la RNA de tal forma que dicha RNA responda en una
forma cercana a la función desconocida f. Dicha aproximación tiende a mejorar
conforme se incrementa la cantidad de muestras.

66
Podemos decir que una RNA esta definida por cuatro parámetros:

• Tipo de Neurona Artificial (o nodo, cuando se realiza su grafica)


• Arquitectura de conexión. En relación a la manera en que esta organizada la
conexión entre las capas de neuronas
• El algoritmo de entrenamiento
• El algoritmo de adaptación

Como se muestra en la figura 4.6, podemos distinguir 3 tipos de capas:

- De entrada. Donde se recibe la información proveniente de fuentes externas a la RNA.

- Ocultas. Son las que se encuentran en la estructura interna de la RNA y no tienen


contacto directo con el exterior.

- De salida. Transfiere la información obtenida por la RNA hacia el exterior.

Básicamente, todas las RNA tienen una estructura o topología similar a la que se muestra
en la figura 4.6. Existen redes útiles que contienen una sola capa, o aun un solo elemento,
pero la mayoría de las aplicaciones requieren redes que al menos tengan los tres tipos de
capa.

La capa de neuronas de entrada recibe los datos ya sea por medio de archivos de entrada
o en aplicaciones de tiempo real directamente de sensores. La capa de salida envía
información directamente al “mundo exterior”, ya sea a un proceso de cálculo secundario
o la etapa de potencia electrónica de un sistema de control mecánico, por ejemplo. Entre
estas dos capas puede haber muchas capas ocultas. Estas capas internas contienen
neuronas interconectadas en varias estructuras. La entrada y salida de cada una de estas
neuronas ocultas simplemente alimenta a otras neuronas.

67
Todas las neuronas correspondientes a una capa pueden estar conectadas con todas las
neuronas de las capas vecinas, esto seria una Conexión Completa (Un ejemplo es la RNA
BP). Cuando no existen todas las conexiones posibles de una neurona o capa de neuronas
se dice que están Parcialmente Conectadas.

Existen dos clasificaciones importantes de arquitecturas de conexión que se distinguen


por el número de conjuntos de entradas y salidas, y la cantidad de capas empleadas:

• Auto-asociativas. En estas RNA´s las neuronas de entrada son también las


neuronas de salida.
• Hetero-asociativas. Los conjuntos de neuronas de entrada son distintas a las
neuronas de salida (un ejemplo es la RNA BP).

La manera en que las neuronas se encuentran conectadas a otras tiene un impacto


significativo en la operación de la red. Considerando la existencia de conexiones entre las
neuronas de entrada y de salida, se pueden distinguir dos clases de arquitecturas (también
mostradas en la figura 4.7):

• Arquitectura de Prealimentación (feedforward). No existe alguna conexión desde


las neuronas de salida hacia las neuronas de entrada. En esta RNA no se
almacenan los valores de salida previos o el estado de activación de alguna de sus
neuronas (un ejemplo es la RNA BP).
• Arquitectura de Retroalimentación (feedback). Existen conexiones desde las
neuronas de salida hacia las neuronas de entrada. En este tipo de RNA´s es
necesario almacenar los valores previos de salida y los estados de activación de
algunas neuronas.

Fig. 4.7 Ejemplos de RNA´s con distinta arquitectura de alimentación.

68
4.2.1 Entrenamiento de una RNA

El proceso de aprendizaje de una RNA se puede realizar bajo distintas condiciones de


aprendizaje y empleando distintos algoritmos de aprendizaje. Durante el proceso de
aprendizaje la RNA debe ajustar sus valores de pesos y sesgos para aprender la tarea
deseada. Para diseñar un proceso de aprendizaje se debe contar con un modelo del
entorno en el cual la RNA operará, definiendo lo que es conocido como arquitectura de
entrenamiento.

Existen tres arquitecturas principales de entrenamiento: supervisado, sin supervisión y


reforzado. En este trabajo se empleará el entrenamiento supervisado ya que es posible
obtener los datos de entrenamiento necesarios y la RNA BP a emplear en esta tesis puede
ser enseñada empleando dicho entrenamiento.

4.2.2 Entrenamiento supervisado

En el entrenamiento supervisado se le proporciona a la RNA el valor de salida correcto


para cada uno de los patrones de entrada y posteriormente los valores de los pesos de
conexión son modificados de tal forma que la RNA produzca una respuesta en la salida
que sea lo mas cercana posible al valor deseado. Durante el entrenamiento de una red el
mismo conjunto de datos se procesa tantas veces como sea necesario para que el peso de
las conexiones sea el adecuado. Al conjunto de datos que se emplea en el aprendizaje se
le llama “Conjunto de entrenamiento” (Training Set). A pesar del entrenamiento, algunas
RNA´s nunca aprenden la tarea deseada. Esto puede ser debido a que los datos de entrada
no contienen la información suficiente para producir la salida esperada. Las RNA´s
además no convergen si no se tienen los suficientes datos para generar el aprendizaje.
Idealmente, debe haber suficientes datos, de tal forma que parte de los datos se conserven
de respaldo para verificar la respuesta de la RNA ya entrenada, dichos datos no se le han
presentado antes y de esta manera se puede comprobar que la RNA ha generalizado y no
solo memorizado los valores que se le presentaron. La memorización se puede evitar no
teniendo exceso de PE´s.

69
Si una RNA no puede resolver un problema, se deben revisar: los datos de entradas y
salidas, el número de capas, el número de elementos por capa, el tipo de conexión entre
capas, las funciones de entrada, activación, salida y de adiestramiento. Por lo regular se
emplea un solo tipo de función para todos los PE´s de una capa, pero esto solo por
conveniencia de programación. Otra cosa que puede afectar el funcionamiento de una
RNA es la forma en que se le presentan los datos de entrada y salida (codificados o no).
Las RNA solo tratan con datos de entrada numéricos, sin embargo, normalmente el
formato del dato puede ser convertido desde el exterior. Adicionalmente, es necesario en
ocasiones escalar el dato, o normalizar este al modelo de la red.

Existen muchas leyes (algoritmos o reglas) empleadas para implementar la adaptación de


los pesos mediante realimentación durante el entrenamiento. La más empleada es la de
propagación de error hacia atrás o retro-propagación (Back-Propagation).

4.2.3 RNA TIPO BACKPROPAGATION (RNA BP)

La RNA BP fue formalmente definida por Werbos (1974), y después refinada por Parker
(1985), posteriormente por Rummelhart y McClelland (1986). Este tipo de red esta
diseñada para funcionar como red de capas múltiples, con pre-alimentación, empleando
el modo de entrenamiento supervisado [8].

La RNA BP aprende un conjunto predefinido de parejas de entrada-salidas deseadas


empleando un ciclo de dos fases propagación-adaptación. Después de que un patrón de
entrada ha sido aplicado como estimulo a la primera capa de la red, este es propagado a
través de cada una de las capas siguientes hasta que se genera una salida de la RNA BP.
Este patrón de salida es comparado entonces con la salida deseada y una señal de error se
calcula para cada unidad de la capa de salida.

La señal de error calculada es entonces transmitida hacia atrás desde la capa de salida
hasta cada uno de los nodos de las capas intermedias que contribuyen directamente con la
salida. Sin embargo, cada una de las unidades de las capas intermedias solo recibe una

70
porción de la señal de error total calculada, basada estrictamente en la contribución que
cada unidad hace para la salida original. Este proceso se repite, capa por capa, hasta que
cada nodo de la red ha recibido una señal de error que describe su contribución relativa al
error total. Basado en la señal de error recibida, los pesos de conexión se actualizan en
cada unidad provocando que la red converja hacia un estado que le permita codificar
todos los patrones de entrenamiento.

Conforme se entrena la RNA BP, los nodos en las capas intermedias se ajustan así
mismos de tal forma que distintos nodos aprenden a reconocer diferentes objetos del
espacio total de entrada. Después del entrenamiento, cuando se le presenta un patrón
arbitrario de entrada que contiene ruido o esta incompleto, las unidades en la capa
intermedia de la red responderán con una salida activa si la nueva entrada contiene un
patrón que asemeje el objeto que la unidad individual fue enseñada a reconocer durante el
entrenamiento. Convencionalmente, las unidades de las capas ocultas tienen una
tendencia a inhibir sus salidas si el patrón de entrada no contiene el objeto para el cual
fueron entrenadas a reconocer.

Conforme la señal se propaga a través de las diferentes capas en la RNA BP, la actividad
del patrón presente en cada una de las capas superiores se puede considerar como un
patrón con objetos que pueden ser reconocidos por unidades en las capas siguientes. El
patrón de salida generado puede considerarse como una representación de la presencia o
ausencia de muchos objetos combinados en la entrada.

Algunas investigaciones han mostrado que durante el entrenamiento, las RNA BP tienden
a desarrollar relaciones internas entre los nodos como si organizaran los datos de
entrenamiento dentro de clases o patrones. De esta forma la RNA BP encuentra una
forma de representar internamente los patrones de forma que le permite generar la salida
deseada cuando se le proporciona una entrada de entrenamiento. La RNA BP puede
clasificar estas entradas desconocidas de acuerdo a los objetos que fueron aprendidos
durante el entrenamiento.

71
4.2.4 Regla Delta Generalizada

El algoritmo que se emplea para entrenar una RNA BP es la Regla Delta Generalizada.
Como se menciono, la RNA BP esta compuesta por capas múltiples, con propagación de
las entradas hacia adelante y completamente interconectada en sus capas. Esto significa
que no existen conexiones hacia atrás ni conexiones que alimenten la salida de una capa
hacia otra que no sea la siguiente. Puede existir más de una capa oculta en una RNA BP.

Una RNA BP es llamada red representativa (mapping network) si esta es capaz de


calcular alguna función de relación entre las entradas y las salidas. Estas son útiles en
situaciones donde se desconoce la función de relación entre las entradas y salidas, en
estos casos la alta capacidad de la RNA BP para descubrir su propia representación es
extremadamente útil.

Si se cuenta con un conjunto de P vectores de parejas, (x1 , u1 ),......(xp , up ), los cuales


son ejemplo de una función representativa u = φ (x) : x ∈ NR, u ∈ NR (NR numero Real).

Se puede entrenar una RNA BP para obtener una aproximación O = u´ = φ (x)´. Para
emplear la Regla Delta Generalizada es necesario que los vectores de parejas hayan sido
adecuadamente seleccionados y que exista suficiente cantidad de ellos. El algoritmo
asemeja al problema de encontrar la ecuación de una línea que mejor aproxima un
conjunto de puntos. Como este caso se asemeja a contar con no linealidades y
dimensiones múltiples, se emplea una versión interactiva del método simple de los
mínimos cuadrados, llamada técnica de gradiente descendente.

Para iniciar se considera un vector de entradas, xp = ( xp1, xp2 , ..... xpN )T , que se aplica a
la capa de entrada de una RNA BP. Las unidades de la entrada distribuyen los valores a
las unidades de las capas ocultas. La entrada a la red de la unidad oculta j esta dado por la
ecuación 4.12.

72
N Ec. 4.12
Net hpj = ∑ w hji x pi + θ jh
i =1

Donde
w hji Peso en la conexión de unidad de entrada i a la unidad de la capa oculta j.
θ jh Término de sesgo o umbral.
N Número de entradas.
h Súper índice, refiere a la capa oculta (hidden)..

Considerando que la activación del nodo es igual a la entrada de la red; entonces, la


salida de los nodos en la capa oculta será dada por:

(
I pj = f jh net hpj ) Ec. 4.13

La ecuación para la salida de la capa será:

L
Ec. 4.14
Net o
pk = ∑w o
kj I pj + θ ko
j =1

O pk = f Net opk
k
o
( )

Donde L es el numero de neuronas en la capa oculta y o refiere a objetos en la capa de


salida.

El conjunto de valores de pesos iniciales representan una primera suposición de los pesos
adecuados al problema. El proceso básico de entrenamiento de una RNA BP esta incluido
en la siguiente descripción:

1.- Aplicar un vector de entrada, xp = ( xp1 , xp2 ,....., xpN ) T a las unidades de entrada.
2.- Calcular el valor de entrada a cada una de las neuronas en las capas ocultas ( Ec.
4.12).
3.- Calcular la salida de la capa oculta (Ec. 4.13).

73
4.- Cambiar a la capa de salida. Calcular el valor de entrada a cada neurona (Ec. 4.14a).
5.- Calcular la salida (Ec 4.14b).
6.- Calcular el término de error para las unidades de salida mediante la Ec. 4.15.
( ) (
δ pko = y pk − o pk f ko ´ Net opk ) Ec. 4.15

7.- Calcular el error para las unidades en la capa oculta con la Ec. 4.16.
(
δ pjh = f jh ´ Net hpj )∑δ o
pk wkjo Ec. 4.16

Notar que el error para las unidades en la capa oculta se calcula antes que los pesos de
conexión de la capa de salida sean actualizados.
8.- Actualizar los pesos en la capa de salida:
wkjo ( t + 1) = wkjo ( t ) + ηδpko i pj Ec. 4.17

donde η es el rango de aprendizaje


9.- Actualizar los pesos en la capa oculta con la Ec. 4.18.
w hji ( t + 1) = w hji ( t ) + ηδpjh xi Ec. 4.18

El orden de la actualización de los pesos en una capa individual no es importante.

Asegurarse de calcular el valor de error dado por la Ec. 4.19.


M Ec. 4.19
1
Ep =
2M
∑δ
k =1
2
pk

donde M es el número de neuronas en la capa de salida.

Ya que el valor de error es una medida de que tan bien esta aprendiendo (suma de los
errores cuadrados de todas las unidades en la salida). Cuando el error es aceptablemente
pequeño para cada uno de los vectores de entrenamiento, el entrenamiento puede darse
por terminado.

La RNA BP es buena para generalizar, esto quiere decir, dados diferentes vectores de
entrada, siendo todos de la misma clase, una RNA BP aprenderá a asimilar las similitudes
en los vectores de entrada. Y los datos irrelevantes serán ignorados.

74
En oposición a la generalización, una RNA BP no puede extrapolar bien, si una RNA BP
es inadecuada o insuficientemente entrenada en una clase particular de vectores de
entrada, posteriores identificaciones de miembros de esta clase podrían fracasar. Uno se
debe asegurar que el conjunto de entrenamiento cubra todo el espacio de entradas
esperadas. Es conveniente durante el entrenamiento, seleccionar parejas de entrada-salida
de forma aleatoria en el conjunto de entrenamiento. En algunos casos, el no entrenar
la red completamente con una clase y entonces cambiar a otra podría hacer a la red
olvidar el entrenamiento original.

4.2.4.1 Pesos y Parámetros de Aprendizaje

Los pesos pueden ser inicializados a valores pequeños y aleatorios, por ejemplo entre
+0.5 y -0.5, al igual que los términos de sesgo (o umbrales). Es común emplear los
valores de sesgo como otro peso que esta conectado a una neurona ficticia cuya salida
siempre es uno. De esta manera podría participar del proceso de aprendizaje como peso y
ser modificado. Otra opción es no emplear sesgos, el uso de ellos es opcional.

La selección de un valor para el parámetro de factor de aprendizaje, tiene un efecto


significante en la ejecución de la RNA. Usualmente debe ser un valor pequeño (orden de
0.05 a 0.25) para asegurarse que la RNA se dirigirá hacia una solución. Un valor pequeño
del rango de aprendizaje significa que la RNA tendrá que hacer un mayor número de
iteraciones. Es posible modificar la tasa de aprendizaje conforme el entrenamiento se
desarrolla, aumentando este conforme el error de la red disminuye ayudara por lo regular
a la velocidad de convergencia, mediante el incremento de la dimensión del paso
conforme el error llega al mínimo, pero la red podría rondar muy lejos del valor mínimo
actual si el valor del rango de entrenamiento es demasiado grande.

Otra manera de incrementar la velocidad de convergencia es emplear el método del


momento. Cuando se calculan los valores de cambio para los pesos, se añade una fracción
del cambio previo. La adición de este termino tiende a mantener los cambios de los pesos

75
en una misma dirección, por eso se le llama momento. La ecuación para los cambios de
los pesos en la capa de salida vendría a ser:

wkjo (t + 1) = wkjo (t ) + ηδ pk
o
∗ i pj + αΔ p ∗ wkjo (t − 1) Ec. 4.20

Con una ecuación similar se puede encontrar los cambios de pesos en la capa oculta. En
la ecuación el símbolo α es el parámetro de momento y por lo regular es positivo y menor
que 1. El uso de este término es opcional.

Una consideración final es la posibilidad de converger en un mínimo local en el espacio


de los pesos. Una vez que la RNA se establece en un mínimo, ya sea local o global, el
aprendizaje termina. Si se alcanza un mínimo local, el error en la salida de la RNA podría
ser inaceptable. Si el aprendizaje de una RNA cesa antes de alcanzar un error aceptable,
un cambio en la cantidad de nodos ocultos o en los parámetros de aprendizaje por lo
regular soluciona este problema; o simplemente uno podrá empezar con otro conjunto
distinto de pesos iniciales. Cuando una RNA alcanza una solución aceptable, no se puede
asegurar que ésta allá alcanzado el mínimo global.

4.2.5 Características de las RNA’s [6]

Dos de las características sobresalientes de las RNA’s es su estructura masivamente


paralela y su capacidad de aprender y por lo tanto generalizar su respuesta.

La ventaja que presentan las RNA´s a otros tipos de controladores es que estas aprenden
el funcionamiento deseado mediante ejemplos sin necesidad de un modelado matemático
que represente la tarea a realizar, incluso las RNA´s tienen capacidad de aproximar
funciones no lineales complejas [6, 7, 9]. Aun así, las RNA’s tienen limitaciones
practicas por lo que es común descomponer una tarea determinada en subconjuntos en los
cuales se aplican RNA’s.

76
El uso de RNA’s ofrece las siguientes propiedades y capacidades:

- No linealidad. Las neuronas son básicamente dispositivos no lineales, por lo tanto una
RNA es inherentemente no lineal. Dicha no linealidad se encuentra distribuida a través de
la RNA.

- Mapeo de Entradas-Salidas (Mapping). Un paradigma de aprendizaje popular conocido


como “entrenamiento supervisado” involucra la modificación de pesos sinápticos en la
RNA mediante la aplicación de un conjunto de ejemplos de entrenamiento o ejemplos de
tarea. Cada ejemplo consiste en una señal de entrada única con su correspondiente
respuesta deseada. En dicho proceso de aprendizaje se le presenta a la RNA un ejemplo
aleatorio de su conjunto de entrenamiento, y entonces los pesos sinápticos son
modificados de tal forma que se minimice el error entre la salida deseada y la de la RNA
de acuerdo a un criterio estadístico apropiado. Esto se repite hasta alcanzar un punto en el
cual el cambio en los pesos es relativamente insignificante, los ejemplos pueden
presentarse nuevamente a la RNA pero por lo regular en un orden distinto al empleado
anteriormente. De esta forma la RNA aprende su tarea mediante la asignación de una
salida a una entrada del problema atacado. Desde el punto de vista estadístico esto seria
similar a lo que se realiza en la inferencia estadística no paramétrica, la cual es una rama
de la estadística que trata con la estimación de modelos libres (no emplea un modelo de
distribución probabilística), desde el punto de vista biológico esto es conocido como
aprendizaje de Tabula Rasa. Un punto de vista similar se encuentra implícito en el
paradigma de entrenamiento no supervisado.

-Adaptabilidad. Una RNA tiene la capacidad (en su construcción) de adaptar sus pesos
sinápticos cuando se presenten cambios en su entorno, esto puede ser mediante un
reentrenamiento. En el caso que la RNA opere en un ambiente no estacionario (por
ejemplo uno que estadísticamente cambia con el tiempo), la RNA puede diseñarse para
que cambie sus pesos sinápticos en tiempo real. Dicha capacidad es requerida en forma
natural para aplicaciones de control adaptativo. Dicha adaptabilidad debe de ser
congruente con la constante de tiempo principal del sistema ya que ante ruido podría

77
causar una respuesta no aceptable, el dilema aquí mencionado es conocido como dilema
Estabilidad-Plasticidad. La adaptabilidad es un tópico de investigación abierto.

-Respuesta Evidencial. En relación a la clasificación de patrones, aumenta información


con el fin de mejorar la clasificación que realiza la RNA, considerando aparte de la
selección, la confiabilidad de la selección.

-Información Contextual. El conocimiento se encuentra representado por la estructura y


estado de activación de la RNA. Cada neurona en la RNA es potencialmente afectada por
la activación global y por las otras neuronas en la red. Consecuentemente, información
contextual se encuentra distribuida naturalmente en una RNA.

-Tolerancia a Fallas. Al implementar en forma de hardware la RNA se ha observado


tolerante a fallas en su funcionamiento ante condiciones de operación adversas, tales
como perturbaciones o estimación errónea de las señales de alimentación.

-Implementabilidad en VLSI (Tecnología very-large-scale-integrated). La virtud principal


de la tecnología VLSI es que esta provee una forma de capturar comportamientos
verdaderamente complejos en una forma altamente jerárquica, característicamente
deseada en aplicaciones de RNA’s en tareas de control en tiempo real.

-Diseño y análisis uniforme. Las RNA’s son universales como procesadores de


información.

-Analogía Neurobiológica. Es de utilidad mutua los desarrollos en la neurobiología como


en las RNA’s.

78
4.3 Aplicación de las RNA’s al Sistema de Control Vectorial (SCV)

Las RNA’s pueden tratar con las no linealidades variantes con el tiempo del Motor de
inducción debido a su propia naturaleza no lineal [10]. Se han hecho investigaciones
respecto a aplicación de las RNA’s en varios aspectos del SCV tales como emulación del
sistema de control [20-22], estimación del vector de flujo [4, 23], estimación de
parámetros del motor [24-26] y regulación de la etapa inversora [27-29]. Se ha prestado
menos atención a la implementación de dichos sistemas de control [30], aun así, algunas
tecnologías han sido probadas con éxito [31-33].

La emulación del sistema de control mediante RNA’s involucra un conjunto muy


diferente de requerimientos para sus métodos de aprendizaje que aquellos que
comúnmente se consideran en otras aplicaciones. En algunas tareas de control es
importante aprender en línea, sin necesidad de un supervisor explicito que indique el
comportamiento deseado [21, 34], o empleando entrenamiento reforzado [35]. Aun así,
algunas tareas de control pueden ser desempeñadas empleando técnicas de entrenamiento
supervisado fuera de línea [20, 22, 36-38]. En la figura 4.8a se muestra el diagrama
esquemático de un Controlador Neuro-Difuso diseñado fuera de línea y en la figura 4.8b
una RNA del tipo Recurrente con entrenamiento en línea (on-line), comúnmente
empleada en tareas de control [6, 7].

Fig. 4.8 Emulación del SCV empleando RNA’s [22, 34].

79
Fig. 4.9 SCV con RNA BP estimadora de Flujo [23].

Como se mencionó, algunas tareas de control pueden dividirse en etapas y en estos casos
es posible emplear RNA’s de manera individual para cada una de ellas.

La estimación de posición del flujo en el Sistema de Control Vectorial, es una de las


etapas clave para lograr un buen desempeño del SCV. En la literatura se pueden
encontrar resultados satisfactorios con RNA’s BP [4, 23, 31, 39], empleando RNA de
distinta topología [40, 41] o combinando RNA BP y Algoritmos Genéticos [42, 43]. En la
figura 4.9 se muestra el diagrama esquemático de un SCV empleando una RNA BP para
la estimación del Flujo.

En el capitulo anterior se puso de manifiesto que la variación en los parámetros del motor
o su estimación errónea afectan de manera sustancial la respuesta del SCV. Otra
aplicación de las RNA’s en el SCV es la estimación de parámetros del motor, empleando
RNA BP para estimar la velocidad [24-26], la resistencia del rotor-estator [44, 45] y las
perdidas en el rotor [46]. Otras topologías de RNA’s tal como Neuro-Difusas y
Recurrentes también han sido empleadas con éxito en los SVC [25, 47, 48]. Por ultimo, el
uso de RNA’s como generadoras de secuencia de pulsos PWM han mostrado resultados
alentadores [27-29].

Algunos de estos sistemas de control se han implementado en tiempo real haciendo uso
de un procesador [40], microcontrolador [23] o un Procesador Digital de Señales [31-33].

80
4.4 Modelo Simulink de una RNA actuando como regulador PI

Como lo muestra Cardoso en su estudio [20], el uso de RNA’s en sustitución de bloques


PI presenta ventajas considerables, principalmente de inmunidad ante ruido. En esta
sección se diseña una RNA BP de tal forma que aproxime la respuesta del Regulador de
Par empleado en el CV-OFR (Fig. 3.10), para esto se emplea Simulink de Matlab y su
caja de herramientas Neural Network Toolbox (NNT) [49].

Ya que la RNA BP requiere datos representativos del entorno en el cual funcionara


(conjunto de entrenamiento), la primera acción para su implementación es obtener dichos
datos. El error en la consigna de velocidad que corresponde a la entrada del bloque del
regulador de par y la salida de dicho bloque en condiciones de operación descritas se
muestra en las figuras 4.10 y 4.11. Con el fin de procurar un conjunto representativo de
todas las condiciones de operación presentes en la simulación, se genera un conjunto de
entrenamiento de 2000 elementos seleccionados de forma aleatoria, mostrados en la
figura 4.12.
Entrada al Bloque Regulador de Par (RP)
3

2
Consigna de Velocidad

1
Error en

-1

-2

-3

-4
0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5
Tiempo (s)

Fig. 4.10 Señal de Entrada al Bloque Regulador de Par.

Salida del Bloque Regulador de Par (RP)


100

50
Salida del RP

-50

-100

-150
0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5
Tiempo (s)

Fig. 4.11 Señal de Salida Bloque Regulador de Par.

81
Conjuntos de Entrenamiento

Entrada
0

-2

-4
0 500 1000 1500 2000

100

50
Salida Deseada

-50

-100

-150
0 200 400 600 800 1000 1200 1400 1600 1800 2000
#Muestra

Fig. 4.12 Conjunto de Entrenamiento Entrada-Salida Deseada.

Fig. 4.13 Creación de una RNA BP mediante nntool de Matlab.

Para simular la RNA BP en Simulink se emplea la herramienta NNT de Matlab, la cual se


accede introduciendo el codigo nntool. En la ventana grafica de NNT es posible generar
RNA’s de distinta topología, definidas mediante un menú de opciones (Figura 4.13).

82
Fig. 4.14 Topología empleada en la RNA BP Reguladora de Par.

Fig. 4.15 Parámetros de entrenamiento empleados en la RNA BP Reguladora de Par.

Como se menciono anteriormente, no existe actualmente una metodología que permita


definir la arquitectura de una RNA para una determinada aplicación, por lo que es
necesario seleccionar una topología adecuada mediante prueba y error. En la Figura 4.14
se muestra un diagrama esquemático de la arquitectura empleada en esta aplicación.
Como se observa en la figura 4.13, en la capa de entrada se emplea la señal de entrada (el
error en la consigna de velocidad) junto con cuatro retardos de dicha señal. En la figura
4.14 se puede observar que en la capa oculta se emplean cuatro neuronas con función de
activación tangente sigmoidea. La capa de salida esta compuesta por una neurona con
función de activación lineal.

83
Fig. 4.16 Reducción del error durante el entrenamiento de la RNA BP Reguladora de Par.

La herramienta NNT permite entrenar la RNA Reguladora de Par, para ello es necesario
contar con los vectores de entrada-salida que contengan la tarea a aprender. Haciendo
uso de los valores mostrados en la figura 4.13 y empleando los parámetros de
entrenamiento mostrados en la figura 4.15 se realiza el entrenamiento de la RNA BP. La
convergencia de la RNA durante su entrenamiento se muestra en la Figura 4.16. Después
de 10 000 épocas de entrenamiento se reduce el error a 0.138, considerándose adecuado
el aprendizaje y por ende culminado el entrenamiento.

Es conveniente presentar la RNA BP ante condiciones no presentes durante su


entrenamiento, para asegurar su generalización de la tarea aprendida. En la figura 4.17 se
muestra la RNA BP incorporada al CV-OFR.

84
Consigna de Estimador_de_Flujo

Signal 1
velocidad Rho
Rho_cal W_in

Isde
IDs_con Ia_set

15 Isqe
IQs_con Ib_set

ImR* ImR_con Ic_set

wr*
Isq* 40s+30
2/P
s
me* Uas* Uas*
Controlador Usq*
Usq Uqdse* Ubs* Ubs*
Vs_abc
wr
Saturation Ucs* Ucs*
RNA v abcs
Reguladora de Par
Transforma PWM
iabcs em
marco de
Usd*
Saturation1 referencia
70s+50 39s+30 Tm Iabc
wr ImR* qdse* a abcs* Tem
s s
Isd*
Controlador Controlador Tem
FW Motor de inducción 3F
Usd1 Usd

2/P

Fig. 4.17 Sistema CV-OFR empleando RNA BP Reguladora de Par.

Respuesta RNA BP Reguladora


2

0
Entrada

-1

-2

-3

-4

100

50

0
Salida

-50

-100

-150
0 1 2 3 4 5 0 1 2 3 4
Tiempo (s)

Fig. 4.18 Respuesta de la RNA BP Reguladora de Par.

El comportamiento de la RNA en sustitución del bloque PI, bajo las mismas condiciones
de operación en el CV-OFR se muestra en la figura 4.18. Observando las figuras 4.10,
4.11 y 4.18 se deduce la efectividad de la RNA BP para sustituir un bloque Regulador
Proporcional-Integral.

85
Referencias Bibliográficas

1. Konar, A., Artificial Intelligence and Soft Computing. 1º ed. 2000, Boca Raton,
Florida: CRC Press. 415-443.
2. Smith, H.T. and T.R.G. Green, El Hombre y los Ordenadores inteligentes. Textos
de informática y documentación 1982, Barcelona: Editorial Mitre.
3. Pedrycz, W., Computacional Intelligence: an introduction. 1º ed. Computer
Engineering. 1998, Florida USA: CRC PressLLC. 7-35.
4. Nowicki, E., A.K.P. Toh, and F. Ashrafzadeh, A Flux Estimator for Field
Oriented Control of an Induction Motor using an Artificial Neural Network. Conf.
Record of IEEE, IAS 1994, 1994. 1(1): p. 585-592.
5. Pham, D.T. and L. Xing, Neural Networks for Identification, Prediction and
Control. 1997: Springer-Verlag.
6. Haykin, S., Neural Networks A Comprehensive Foundation. 2004: IEEE
Computer Society Press.
7. Miller III, W.T., R.S. Sutton, and P.J. Werbos, Neural Networks for Control, ed.
N.S. Foundation. Vol. EET-8819699. 1991: University of New Hampshire
8. Freeman, J.A. and D.M. Skapura, Neural Networks: algorithms, applications, and
programming techniques. 1 ed. Computation and Neural Systems, ed. C. Koch.
Vol. 1. 1991, California: Addison Wesley. 45-124,341-371.
9. Jain, A.K. and J. Mao, Artificial Neural Networks: A tutorial. IEEE Computer,
1996. 18: p. 31-44.
10. Simoes, M.G., M.P. Almeida, and M.R. Meireles, A Comprehensive Review for
Industrial Applicability of Artificial Neural Networks. IEEE Transactions on
Industrial Electronics, 2003. 50(3): p. 585-602.
11. Pitas, I., Parallel algorithms: for digital image processing, computer vision, and
neural networks. Parallel Computing, ed. R.G.B. II and Otros. 1993: Jhon Wiley
and sons. 259-301.
12. Jones, P.C. and S. Tepavich, ADALINE Stabilization of an Inverted Robot Arm.
1997, www.aracnet.com.
13. Pino, B. and others, Implementaciones hardware de redes neuronales artificiales.
Inteligencia Artificial, 1997. 1: p. 48-56.
14. Matich, D.J., Redes Neuronales: Conceptos Básicos y Aplicaciones, in
Catedra:Informática aplicada a la Ingeniería de Procesos, C.A. Ruiz and M.S.
Basualdo, Editors. 2001, Universidad Tecnológica Nacional Facultad Regional
Rosario: Rosario, Argentina. p. 8-28.
15. Kasabov, N.K., Foundations of Neural Networks, Fuzzy Systems, and Knowledge
Engineering. 2 ed. Expert systems (Computer science), ed. B. Book. Vol. 1. 1998,
London, England: MIT Press. 251-307.
16. Kosko, B., Neural Networks and Fuzzy Systems: a dynamical systems approach.
1992: Prentice Hall. 39-110.
17. Hinton, G.E. and T.J. Sejnowski, Neural Networks architectures for AI, in MP2.
1987: Seattle WA.
18. Cuevas de la Rosa, F. and M. Servin Girardo, La Neurona Biologica y su
Implementacion Artificial. 1993, CIO: León, Guanajuato. p. 3-16.

86
19. Burns, R.S., Advanced Control Engineering. 1º ed. 2001, Woburn,MA:
Butterworth-Heinemann. 347-360.
20. Cardoso, F.D.S., J.F. Martins, and V.F. Pires, A Comparative Study of a PI,
Neural Network and Fuzzy Genetic Approach Controllers for an AC-Drive, in
COIMBRA. 1998, IEEE: AMC.
21. Moallem, M., et al., Multi-objetive Genetic-Fuzzy Optimal Design of PI
Controller in the Indirect Field Oriented Control of an Induction Motor. IEEE
Transactions on Magnetics, 2001. 37(5): p. 3608-3613.
22. Bose, B.K., F. Blaabjerg, and M.P. Kazmierkowski, A Simple Direct-Torque
Neuro-Fuzzy Control of PWM-Inverter-Fed Induction Motor Drive. IEEE
Transactions on Industrial Electronics, 2000. 47(4): p. 863-871.
23. Heredia, J.R., F. Perez Hidalgo, and J.L. Duran Paz, Sensorless Control of
Induction Motors by Artificial Neural Networks. IEEE Transactions on Industrial
Electronics, 2001. 48(5): p. 1038-1041.
24. Gonzales, J.A., M.A. Da Silveira, and E.J. Pacheco, Comparacion de la Red
Neuronal y del Filtro de Kalman en la Estimacion de Velocidad del Motor de
Induccion. 1er Congreso Iberoamericano de EIE ( I CIBELEC 2004), 2004. 1(1).
25. Ben-Brahim, L., Motor Speed Identification Via Neural Networks. IEEE Industry
Applications Magazine, 1995. 1(1): p. 28-33.
26. Kim, S.-H., T.-S. Park, and J.-Y. Yoo, Speed-Sensorless Vector Control of an
Induction Motor Using Neural Networks Speed Estimation. IEEE Transactions on
Industrial Electronics, 2001. 48(3): p. 609-615.
27. Bose, B.K., Artificial Neural Network Applications in Power Electronics.
IECON'01 IEEE, 2001. 1(1): p. 1631-1638.
28. Bose, B.K., et al., A Neural Network Based Space Vector PWM Controller for
Voltage-Fed Inverter induction Motor Drive. IEEE IAS 1999, 1999. 1(1): p.
2614-2622.
29. Bose, B.K., L.E. Borges da Silva, and J.O.P. Pinto, A Stator-Flux- Oriented
Vector-Controlled Induction Motor Drive With Space-Vector PWM and Flux-
Vector Synthesis by Neural Networks. IEEE transactions on Industry
Applications, 2001. 37(5): p. 1308-1329.
30. Mohamadian, M., E. Nowicki, and F. Ashrafzadeh, A Novel Neural Network
Controller and Its Efficient DSP Implementation for Vector-Controlled Induction
Motor Drives. IEEE Transactions on Industry Applications, 2003. 39(6): p. 1622-
1629.
31. Bose, B.K. and M.G. Simoes, Neural Networks Based Estimation of Feedback
Signals for a Vector Controlled Induction Motor Drives. IEEE transactions on
Industry Applications, 1995. 31(3): p. 620-629.
32. Kuchar, M., P. Brandstetter, and M. Kaduch, Sensorless Induction Motor Drive
with Neural Network. 35 Annual IEEE Power Electronics Specialists Conference,
2004. 1(1): p. 3301-3307.
33. Mahmoud Ali Sowilam, G., Aplicacion de las Redes Neuronales en los Sistemas
de Control Vectorial de los motores de Induccion, in Ing. Elec. 2000, Universidad
Politecnica de Cataluña: Cataluña.
34. Bose, B.K., et al., Self Tuning Neural Network Controller for Induction Motor
Drives. IEEE Conferences Records, 2002. 1(1): p. 152-157.

87
35. Grigore, O. and O. Grigore, Reinforcement Learning Neural Network Used in
Control of Nonlinear Systems. IEEE Conferences Records, 2000. 1(1): p. 662-
666.
36. Werbos, P., Beyond Regression: New Tools for Prediction and Analysis in the
Behavioral Sciences, in Computational Sciences. August 1974, Harvard:
Cambridge.
37. Sastry, P.S., G. Shantaram, and K.P. Unnikrishnan, Memory Neuron Networks for
Identification and Control of Dynamical Systems. IEEE Transactions on Neural
Networs, 1994. 5(2): p. 306-320.
38. Harley, R.G. and M.T. Wishart, Identification and Control of Induction Machines
Using Artificial Neural Networks. IEEE transactions on Industry Applications,
1995. 31(3): p. 612-620.
39. Kuchar, M., P. Brandstetter, and M. Kaduch, Sensorless Induction Motor Drive
with Neural Network. 35th Annual IEEE PESC, 2004. 1(1): p. 3301-3305.
40. Keerthipala, W.W.L., B.R. Duggal, and M. Hua Chun, Torque and speed control
of Induction Motors Using ANN Observers. IPEC'98 IEEE, 1998. 1(1): p. 282-
288.
41. Wai, R.-J., Development of New Training Algorithms for Neuro-Wavelet Systems
on the Robust Control of Induction Servo Motor Drive. IEEE Transactions on
Industrial Electronics, 2002. 49(6): p. 1323-1342.
42. Rafiq, A., M. Golam Sarwer, and B.C. Ghosh, Genetic Algorithm Base Fast
Speed Response Induction Motor Drive With ANN Flux Estimator. IEEE
Conferences Records, 2005. 2(1): p. 882-890.
43. Bim, E. and L.R. Valdenebro, A Genetic Algorithms Approach for Adaptive Field
Oriented Control of Induction Motor Drives. IEEE Power Engineering Society,
1999. 9: p. 643-646.
44. Huerta, P.F., J.J. Rodriguez, and I.C. Torres, Modelo en Simulink de una Red
Neuronal Artificial de Retropropagacion para Estimar la Resistencia del Rotor. 9
Congreso Nacional de Ingenieria Electromecanica y de Sistemas, 2006. ELE-
09(1): p. 1-6.
45. Karanayil, B., M. Fazlur Rahman, and C. Grantham, On-line Stator and Rotor
Resistance Estimation Scheme for Vector Controlled Induction Motor Drive
Using Artificial Neural Networks. IEEE Conferences Records, 2003. 2(1): p. 132-
140.
46. Keyhani, A. and A. Bogdan Proca, Identification of Variable Frequency Induction
Motor Models From Operating Data. IEEE Transactions on Energy Conversion,
2002. 17(1): p. 24-36.
47. Bim, E., Fuzzy Optimization for Rotor Constant Identification of an Indirect FOC
Induction Motor Drive. IEEE Transactions on Industrial Electronics, 2001. 48(6):
p. 1293-1296.
48. Bim, E., J. Reyes Hernandez, and L. Rosell Valdenebro, A Neuro-Fuzzy Based
Parameter Identification of an Indirect Vector-Controlled Induction Motor
Drive. Proceedings of the 1999 IEEE/ASME, 1999. 1(1): p. 347-353.
49. Demuth, H. and M. Beale, Neural Networks Toolbox User´s Guide. Version 4 ed.
2000, Natick,MA: The MathWorks,Inc. 1-208.

88
CAPÍTULO V

Control Vectorial con RNA’s

En este capitulo se describe el desarrollo del sistema de control vectorial orientado con el
flujo del rotor utilizando RNA’s (CV-RNA’s) del tipo BP. Las RNA’s sustituyen a los
reguladores PI utilizados de forma clásica en el control vectorial. La implementación del
CV- RNA’s se realiza en la tarjeta eZdspTMS320F2812 (en adelante eZdspF2812).

En la programación del CV-RNA’s se emplean los programas Matlab, Simulink, Neural


Network Toolbox (NNT) [1], Real Time Workshop [2] y Embedded Target for the TI
TMS320C2000 DSP [3]. Estos programas son utilizados para:

• Generar y entrenar las RNA’s BP.


• Implementar el modelo Simulink del CV-RNA’s
• Interactuar con el programa Code Composer Studio para generar el programa
equivalente del CV-RNA’s en código C.

El software de programación Code Composer Studio 3.1 [4] permite generar el código en
lenguaje ensamblador para descargarlo en la tarjeta eZdspF2812.

En el capitulo también se incluyen los elementos que forman el banco de pruebas para la
implementación del hardware; en esta parte además se describen las interfases empleadas
en la interconexión del encoder, inversor y sensores de corriente al DSP. Una
representación esquemática del sistema de control implementado se muestra en la figura
5.1.
Fig. 5.1 Diagrama esquemático del banco de pruebas, con flujo de señales.

5.1 Sistema de Control SV-RNA’s

Para el desarrollo del Sistema SV-RNA’s, en primer lugar, se realiza el entrenamiento de


las RNA’s BP tomando como referencia los datos obtenidos de la simulación del SV-
OFR. Una vez que las RNA’s han sido entrenadas y muestran un resultado aceptable para
ejecutar su tarea como reguladores, estas se sustituyen en el modelo desarrollado en
Simulink. Después de verificar la funcionalidad del sistema de control a nivel simulación,
se realizan los siguientes ajustes para su implementación en hardware:

• Se excluyen las señales de realimentación generadas en la simulación.


• Se ajusta al tipo de dato requerido en la programación del DSP (punto fijo).
• Se ajusta el modelo SV-RNA’s para recibir las señales de realimentación de
sensores físicos (encoder y sensores de corriente).

La tarjeta eZdspF2812 fue seleccionada para la implementación del sistema de control


principalmente porque tiene funciones especializadas para aplicación en el control de
motores.

90
5.1.1 Entrenamiento de las RNA’s BP

Como se mencionó en el capitulo 4, las RNA’s BP requieren de datos representativos del


entorno en el cual estarán funcionando (conjunto de entrenamiento). Las condiciones de
aceleración, inversión de giro y frenado controlado empleadas en la sección 3.4 cubren
un gran espectro de las condiciones en las cuales opera el CV-OFR. En el presente
trabajo las simulaciones del CV-OFR se realizan bajo dichas condiciones para generar los
conjuntos de entrenamiento de las RNA’s BP.

En primer lugar se almacenan los valores de entrada y salida de cada uno de los bloques
reguladores empleados en el CV-OFR. No se considera el bloque regulador de Par, ya
que este se empleó solo como regulador ejemplo en el entrenamiento mostrado en la
sección 4.4.

La señal de entrada al bloque Regulador de voltaje U qs , que corresponde al error en la

consigna de corriente I qs* , así como la señal de salida de dicho bloque se muestran en la

figura 5.2. De igual forma la señal de entrada al bloque Regulador de U ds , que

corresponde al error en la consigna de corriente I ds* , así como la señal de salida de dicho

bloque U ds* se muestran en la figura 5.3.

Entrada-Salida de Bloque Regulador Uqs


4
Entrada: error en Iqs*

-2

-4

-6
0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5

200

100
Salida: Uqs*

-100

-200
0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5
Tiempo (s)

Fig. 5.2 Señales entrada-salida del bloque regulador de U qs .

91
Entrada-Salida de Bloque Regulador Uds
10

Entrada: error en Ids*


5

-5

-10
0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5

150

100

Salida: Uds*
50

-50

-100

-150
0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5
Tiempo (s)

Fig. 5.3 Señales entrada-salida del bloque regulador de U ds .

Entrada-Salida de Bloque Regulador de Corriente de Campo


0.1
Entrada: error en ImR*

0.05

-0.05

-0.1
0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5

10

5
Salida: Ids*

-5

-10
0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5
Tiempo (s)

Fig. 5.4 Señales entrada-salida del bloque regulador de corriente de campo I ds .

Las señales correspondientes a la entrada (el error en la consigna de corriente de


magnetización) y salida (la consigna de Corriente I ds* ) del bloque regulador de la

corriente de campo se muestran en la figura 5.4.

Como puede observarse en las señales mostradas en las figuras 5.2, 5.3 y 5.4 los rangos
de valores en que oscilan, así como su variación, son distintos para cada una de ellas.

Con el fin de procurar un conjunto de datos de entrenamiento representativo que


contenga información de todas las condiciones de operación presentes en los reguladores

92
a sustituir, se generan conjuntos de entrenamiento de 2000 elementos seleccionados de
forma aleatoria para cada uno de los reguladores.

Como se menciono en la sección 4.2.1, en ocasiones la normalización de los valores de


entrada a la RNA BP permite mejorar el aprendizaje de la tarea deseada. Una manera de
identificar si dicha normalización mejora el aprendizaje de una aplicación en particular
es mediante prueba y error. Para el caso de la RNA BP a entrenar como reguladora de
U qs , se considera conveniente tomar dicha medida, por lo que sus entradas son

normalizadas empleando un factor de 1/5. En la figura 5.5 se muestra el conjunto de


entrenamiento generado para la RNA encargada de regular U qs* .

Ya que el bloque regulador de U qs es igual al bloque regulador de U ds (Figura 3.10) se

emplea la misma RNA BP en cada uno de los reguladores. Solo se genera un conjunto de
entrenamiento para la adaptación fuera de línea de esta RNA. Al entrenar la RNA que
regulará a U ds mediante una adaptación fuera de línea, se evita realizar el proceso

completo de diseño y entrenamiento de una nueva RNA BP. Solo se modifican los pesos
sinápticos de la RNA BP ya entrenada para ejecutar la tarea de regulación de U qs ,

tomando como patrones de entrada salida-deseada las condiciones presentes en el


regulador de U ds [5]. El conjunto de datos empleados para la adaptación se presentan en

la figura 5.6.

Para el caso de la RNA a entrenar como reguladora de la corriente de campo, sus entradas
también son normalizadas, pero en este caso empleando un factor de 10. En la figura 5.7
se muestra el conjunto de datos de entrenamiento a emplear en dicha RNA BP.

93
Conjunto de Entrenamiento (RNA Reguladora de Uqs)

Salida Deseada: Uqs* Entrada: error en Iqs*


1

0.5

-0.5

-1
0
200

100

-100

-200
0 200 400 600 800 1 000 1 200 1 400 1 600 1 800 2 000
#Muestra

Fig. 5.5 Conjunto de entrenamiento para la RNA BP del regulador U qs .

Conjunto de Adaptacion (RNA Reguladora de Uds)


Salida Deseada: Uds* Entrada: error en Ids*

0.5

-0.5

-1
0

100

-100

0 200 400 600 800 1 000 1 200 1 400 1 600 1 800 2 000
#Muestra

Fig. 5.6 Conjunto de adaptación para RNA BP, regula U ds .

Conjunto de Entrenamiento
(RNA Reguladora de Corriente de Campo)
Entrada:Error en ImR*

0.5

-0.5

-1

10
Salida Deseada:Ids*

-5

-10
0 200 400 600 800 1000 1200 1400 1600 1800 2000
# Muestra

Fig. 5.7 Conjunto de datos de entrenamiento de la RNA BP que regula la corriente de


campo I ds .

94
Fig. 5.8 Topología empleada en la RNA BP que regula U qs .

La arquitectura a emplear en cada una de las RNA’s BP es seleccionada mediante prueba


y error. Procurando que la topología final cuente con la cantidad de neuronas suficientes
para generar un error aceptable en su salida, de manera que su respuesta generada no
diverja considerablemente al sustituir el bloque regulador correspondiente. Una neurona
prescindible solo mejora el aprendizaje en una cantidad poco significativa al ser
incorporada a la RNA, no siendo justificable el costo computacional añadido.

El tipo de función de activación a emplear en las neuronas también influye en la


capacidad de aprendizaje de la RNA BP ante una determinada tarea, por lo que mediante
prueba y error se selecciona la función de activación que presenta una mayor
generalización de los patrones de entrenamiento [1].

En la Figura 5.8 se muestra la grafica dirigida de la RNA encargada de regular U qs , las

características específicas de la RNA se muestran en la tabla 5.1. En la capa de entrada se


incluyen cuatro retardos con el fin de proporcionarle información a las neuronas del
comportamiento dinámico de la tarea a aprender [6]. Como se menciono anteriormente
los bloques Reguladores de U qs y U ds son sustituidos por RNA’s iguales, difiriendo solo

en su señal de entrada.

95
Tabla 5.1 Características empleadas en las RNA’s BP.

Arquitectura empleada en las RNA’s encargadas de regular U qs y U ds .


(Figura 5.8)
No capa Tipo No. Neuronas Función Transferencia Retardos Tiempo
1 Entrada 1 NA 4
2 Oculta 7 Lineal 0
3 Salida 1 Lineal 0

Arquitectura empleada en la RNA encargada de regular la corriente de campo.


(Figura 5.9)
1 Entrada 1 NA 4
2 Oculta 4 Tangente-Sigmoidea 0
3 Salida 1 Lineal 0

Arquitectura empleada en la RNA encargada de regular el Par (Sección 4.4).


(Figura 5.9)
1 Entrada 1 NA 4
2 Oculta 4 Tangente-Sigmoidea 0
3 Salida 1 Lineal 0

Fig. 5.9 Topología empleada en la RNA BP encargada de regular la corriente I ds .

Para el caso de la RNA BP encargada de regular la corriente de campo, la Figura 5.9


muestra la grafica dirigida de la estructura seleccionada. En la Tabla 5.1 se presentan las
características y composición de las capas de cada una de las RNA’s que actuaran como
reguladoras.

96
Tabla 5.2 Parámetros de Entrenamiento.

RNA Reguladora de U qs
(Figura 5.8)
Regla de Entrenamiento Momento Factor de Factor en Factor en Ciclos de
Aprendizaje Incremento Decremento Entrenamiento
Delta (con factor de aprendizaje 0.9 0.01 1.05 0.7 10 000
variable y momento) TRAINGDX

RNA Reguladora de U ds
(Figura 5.8)
Delta (con factor de aprendizaje 0.9 0.01 1.05 0.7 2 000
variable y momento) TRAINGDX

RNA Reguladora de Corriente de Campo


(Figura 5.9)
Delta (con factor de aprendizaje 0.9 0.01 1.05 0.7 40 000
variable y momento) TRAINGDX

RNA Reguladora de Par (Sección 4.4)


(Figura 5.9)
Delta (con factor de aprendizaje 0.9 0.01 1.05 0.7 10 000
variable y momento) TRAINGDX

Con la ayuda de Matlab/Simulink y su caja de herramientas nntool [1], se inicia el


proceso de entrenamiento para la RNA encargada de regular U qs , empleando el conjunto

de datos de entrenamiento mostrado en la figura 5.5 y los parámetros de entrenamiento


indicados en la Tabla 5.2.

La convergencia del error en la salida de la RNA encargada de regular U qs durante su

etapa de entrenamiento se muestra en la Figura 5.10. Después de 10 000 épocas de


entrenamiento se reduce el error MSE a 6.63 (Mean Squared Error, Ecuación 4.19),
siendo poca la reducción de este empleando el mismo conjunto de datos de
entrenamiento a mayor numero de épocas de entrenamiento, por lo que se considera
culminado el entrenamiento.

97
Fig. 5.10 Error MSE durante el entrenamiento de la RNA BP que regula U qs .

Fig. 5.11 Error MSE durante la adaptación de la RNA BP que regula U ds .

Para realizar el proceso de adaptación de la RNA BP que regula U ds (basada en la RNA

BP reguladora de U qs ) se hace uso de los parámetros de entrenamiento mostrados en la

tabla 5.2 y el conjunto de adaptación mostrado en la figura 5.6. La convergencia de la


RNA encargada de regular U ds durante su adaptación se muestra en la Figura 5.11.

Después de 2 000 épocas de entrenamiento se reduce el error MSE a 5.91,


considerándose adecuada la adaptación y por ende culminado el entrenamiento.

98
Fig. 5.12 Error MSE durante el entrenamiento de la RNA BP que regula I ds .

Haciendo uso del conjunto de entrenamiento mostrado en la figura 5.7 y empleando los
parámetros de entrenamiento mostrados en la Tabla 5.2, se entrena la RNA encargada de
regular la corriente de campo. La convergencia de la RNA BP durante su entrenamiento
se muestra en la Figura 5.12. Después de 40 000 épocas de entrenamiento se reduce el
error MSE a 0.559, considerándose aceptable el aprendizaje y por ende culminado el
entrenamiento.

El comportamiento de cada una de las RNA en sustitución del bloque PI correspondiente,


bajo las mismas condiciones de operación se muestran en las figura 5.13, 5.14 y 5.15.

Al comparar las figuras 5.13 a la 5.15 con sus contrapartes, esto es las señales generadas
en el sistema SV-OFR empleando reguladores Proporcional-Integral (figuras 5.2, 5.3 y
5.4 ), se puede ver que dichas RNA BP han aprendido de manera satisfactoria su función
como elementos regulador del tipo Proporcional-Integral. Por lo cual pueden ser
sustituidos en el Sistema SV-OFR en forma confiable.

99
Respuesta RNA BP Reguladora de Uqs
20

Entrada: error en Iqs*


10

-10

-20
0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5

200

100

Salida: Uqs*
0

-100

-200
0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5
Tiempo (s)

Fig. 5.13 Respuesta de la RNA BP que regula U qs .

Respuesta RNA BP Reguladora de Uds


10
Entrada: error en Ids*

-5

-10
0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5

150

100
Salida: Uds*

50

-50

-100

-150
0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5
Tiempo (s)

Fig. 5.14 Respuesta de la RNA BP que regula U ds .

Respuesta RNA BP Reguladora de Corriente de Campo


Entrada: error en ImR*

0.1

0.05

-0.05

-0.1
0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5
10

5
Salida: Ids*

-5

-10
0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5
Tiempo (s)

Fig. 5.15 Respuesta de la RNA BP que regula I ds .

100
Fig. 5.16 Sistema CV-OFR con RNA’s.

5.1.2 Modelo Simulink del SV-RNA’s

En la figura 5.16 se muestra el modelo Simulink del Sistema CV-OFR empleando RNA’s
en la etapa reguladora. En dicho sistema se emplean las RNA’s BP entrenadas en la
sección anterior. Para la implementación del hardware es necesario modificar la
estructura del modelo computacional de tal forma que se ajuste a las condiciones
presentes en el banco de pruebas.

5.1.2.1 Etapa Reguladora del SV-RNA’s

Como se hace notar en la figura 5.16, las consignas de velocidad ωr* (que a nivel
simulación se asigna mediante un bloque generador de funciones, disponible en
*
Simulink) y de la corriente de magnetización I mR (que en simulación pudiera estar regida

por una regla de debilitamiento de campo, sección 3.1.3) pueden ser modificadas para
simplificar su implementación en hardware. Para fines de evaluación en este caso ambos
valores se consideran constantes en el programa a ejecutar en la tarjeta eZdspF2812.

101
4

Velocidad consigna IQmath IQmath


Constante IQmath
A Y double single A Y A
Y
IQNtoF IQN B IQmath
IQNdiv
RNA Reguladora A Y double
Vel_actual 5 de Par
IQNtoF
2
3 IQs_est
ImR_est
IQmath Vqs
single A Y 1
IQN
RNA Reguladora
de Uqs

IQmath IQmath
A Y double single A Y
IQmath
IQNtoF IQN
A Y double
IQmath RNA Reguladora
3.078 A Y de Corriente de Campo IQNtoF
1
IQN
IDs_est
ImR
Constante
IQmath
single A Y 2
IQN Vds
Regula
Uds

Fig. 5.17 Diagrama a bloques de la etapa reguladora del SV-RNA’s.

Los modelos de las RNA’s BP generados en simulink son bloques que en su entrada y
salida utilizan valores numéricos del tipo doble precisión. Para implementar las RNA’s
BP en la tarjeta eZdspF2812 se requiere de una conversión de tipo de dato, tanto en la
entrada como a la salida, para ajustarse a un nuevo ambiente de operación (la tarjeta
ejecuta sus operaciones empleando números con formato punto fijo).

La utilería IQmath de Texas Instruments [7] es una librería escrita en lenguaje C que
contiene el código equivalente para ejecutar la conversión de datos de punto fijo a datos
en punto flotante y viceversa; además permite realizar algunas operaciones matemáticas
con distintos formatos de tipo de dato empleando código equivalente de punto fijo. De
esta forma IQmath permite implementar proyectos desarrollados en punto flotante
empleando dispositivos del tipo punto fijo, como es el caso de las RNA’s implementadas
en la tarjeta eZdspF2812 [4]. Las operaciones mas comunes que se realizan con la utilería
IQmath cuentan con su bloque correspondiente en la caja de herramientas Embedded
Target for the TI TMS320C2000 DSP de Simulink [3].

En la figura 5.17 se muestra el modelo simulink de la etapa reguladora adaptada a las


nuevas condiciones en los lazos de control y considerando el tipo de dato a emplear en la
implementación del hardware.

102
Como se puede observar en la figura 5.17, el lazo de control de Par ahora considera un
valor constante en su consigna de velocidad. En el lazo de control de campo el valor de
*
I mR se considera constante, tomando el valor de 90% de la corriente del motor sin carga

(los datos del motor de prueba y los resultados de las pruebas realizadas a este se
presentan en el Anexo A). En la entrada y salida de cada RNA BP se realiza el ajuste
adecuado del tipo de dato a emplear en el algoritmo de control (doble precisión o tipo
IQmath). Para trabajar con números IQmath, los cuales son una representación de datos
en punto fijo a datos en punto flotante, se necesita definir la cantidad de bits dedicado a la
representación de la parte decimal. En esta tesis si no se indica otra cosa, se utilizan 17
bits (de los 32) para representar la parte decimal (IQ=17).

Las señales de entrada ωr* , ωrest , I mR


e
, I qse , I dse en la etapa de regulación (figura 5.17), son

suministradas por otros bloques del sistema SV-RNA’s, en su mayoría del bloque
Observador de Flujo. Los súper índices empleados en la nomenclatura de las señales
indican lo siguiente:

e Referida al marco de referencia síncrono.


est Estimada a partir de una lectura o de la evaluación de un modelo.
* Es un valor consigna.

Las salidas de la etapa reguladora, son los valores consigna de U qs* y U ds* .

5.1.2.2 Observador de Flujo del SV-RNA’s

El observador de flujo desarrollado en el capitulo 3 (figura 3.4) requiere adecuarse a las


nuevas condiciones de re-alimentación de señales presentes en el SV-RNA’s. Aunque la
librería Embedded Target for the TI TMS320C2000 DSP de Simulink [3] contiene
bloques que ejecutan algunas tareas comunes en el control digital de motores [8], entre
ellas la transformada de Clark y de Park, dichas transformadas se implementan en el
sistema CV-RNA’s en base a sus ecuaciones (ecuaciones 2.10 y 2.12).

103
Fig. 5.18 Modelo Simulink del observador de flujo empleado en el SV-RNA’s.

En la figura 5.18 se muestra el observador de flujo modificado, en dicha figura los


bloques color naranja corresponden a la implementación de la transformada de Clark, y
los color rosa a la transformada de Park. La alimentación se realiza mesurando solo dos
de las tres fases del devanado del estator, la tercera se obtiene aritméticamente
considerando al motor como un sistema trifásico balanceado.

Ya que el observador de flujo requiere de la velocidad eléctrica del rotor ωelec


est
y no la

velocidad mecánica ω rest mesurada, se emplea un factor de conversión que considera el


número de polos eléctricos, resaltado en color amarillo en la figura 5.18.

P est Ec. 5.1


ω elec
est
= ωr
2

Donde:
ωelec
est
= Valor de la velocidad eléctrica del rotor calculada.

ω rest = Valor de la velocidad mecánica estimada por el modulo QEP (Ecuación 5.2).

104
5.1.2.3 Etapa de Procesamiento de Señales en el SV-RNA’s

La implementaciones en tiempo real del modelo desarrollado en Simulink y la


configuración de los periféricos a usar en el dispositivo final puede realizarse empleando
la caja de herramientas Embedded Target for the TI TMS320C2000 DSP la cual cuenta
con bloques ligados a código de configuración para tareas comunes en aplicaciones de
control.

En esta sección se emplea dicha utilería para configurar:

• Las terminales de entrada-salida digital de propósito general son configuradas


para estimar la velocidad.
• Los Convertidores análogo-digital empleados en la adquisición de las señales de
corrientes.
• Las terminales de entrada-salida digital son configuradas para generar la señal
PWM.

Velocidad del rotor

Para la realimentación de velocidad, se emplea el frecuencimetro incluido en la librería


Digital Motor Control [8] de Texas Instruments. El frecuencimetro es un algoritmo de
estimación de velocidad que funciona en base a una variación de posición mesurada por
un codificador óptico, empleando un tiempo de muestreo constante [9]. El Bloque
Quadrature Encoder Pulse (QEP) disponible en la utilería c2000lib/C281x Chip Support
de Simulink (figura 5.19) permite configurar el circuito asociado con esta operación en la
tarjeta eZdspF2812.

En dicho bloque, la opción Module (figura 5.20) define el administrador de eventos a


emplear (en el caso de la tarjeta eZdspF2812 tiene dos disponibles: A y B), determinando
de esta forma los pines de conexión a utilizar en la lectura del codificador óptico (QEP1-

105
QEP2 o QEP3-QEP4), la base de tiempo a emplear (T1 o T2, Temporizadores definidos
en la tarjeta), así como las unidades de captura (CAP1 o CAP4).

La opción Counting mode (Figura 5.10) configura la salida del bloque QEP. Se puede
configurar para que la salida sea la cuenta de los pulsos leídos durante el periodo de
muestreo (Counter) o una estimación de la velocidad en revoluciones por minuto (rpm).

Fig. 5.19 Bloques Simulink en librería c2000lib/C281x DSP Chip Support [3].

Fig. 5.20 Ventana de configuración Bloque QEP en Simulink.

106
La velocidad es estimada empleando la siguiente formula [8]:
60 Ec. 5.2
ω rest = (CNTk − CNTk −1 )
Tm ⋅ QP ⋅ 4

donde:
ω rest Velocidad del motor estimada por el bloque QEP.
CNTk Valor actual del registro de memoria asociado con el contador.
CNT k −1 Valor anterior del registro de memoria asociado con el contador.
Tm Tiempo de muestreo.
QP Resolución del codificador óptico (pulsos por revolución).

Ya que los Codificadores ópticos de tipo cuadratura generan canales de pulsos desfasados
90˚ (normalmente dos canales, A y B) es posible seleccionar el sentido de giro positivo
mediante la opción Positive rotation que identifica cual canal de pulsos esta adelantado
en su generación y de esta forma determina su sentido de giro.

La opción Initial Count permite definir un valor inicial en el registro de memoria


asociado con el resultado del contador.

La resolución del codificador óptico (numero de pulsos por revolución de un canal) se


introduce en la opción Encoder resolution.

El periodo de muestreo que se emplea en el circuito asociado con el bloque QEP se


introduce en la opción Sample time.

Existen varios formatos de datos que puede emplear el modulo QEP en la representación
de su salida. En este caso se emplea el formato punto flotante simple, 32 bits.

107
a) b)

Fig. 5.21 Ventanas de configuración bloque ADC en Simulink.

Corrientes del estator

Para la adquisición de las señales de corriente del estator iab se emplean las entradas

análogo-digital de la tarjeta eZdspF2812. La configuración de los convertidores A/D se


realiza empleando el bloque ADC de la librería c2000lib (figura 5.19).

Como se menciono anteriormente, solo se realiza el monitoreo de dos de las tres


corrientes presentes en el devanado del estator. La activación y configuración de los
convertidores A/D correspondientes se realiza mediante las ventanas de configuración del
bloque ADC, figura 5.21. Mediante dichas ventanas se configuran los convertidores A/D
para ejecutar la conversión de las señales conectadas a los pines seleccionados. De esta
forma los valores de las salidas del bloque representan el comportamiento de las señales
analógicas presentes en su entrada. El resultado de la conversión del A/D se asigna a un
registro de memoria en la tarjeta.

La tarjeta eZdspF2812 cuenta con dos módulos convertidores A/D, cada uno de ellos con
8 canales de conversión. Para seleccionar el modulo a emplear y la cantidad de
conversiones a realizar, se seleccionan las opciones correspondientes en los menú Module
y Number of conversions accesibles en la ventana de configuración del bloque ADC. En
nuestro caso se seleccionaron dos canales de conversión del modulo A a través de la
ventana de configuración mostrada en la figura 5.21.

108
Fig. 5.22 Modulo adquisición de señales en el SV-RNA’s.

La conversión de las señales puede ser ejecutada en forma secuencial o simultanea


mediante el menú Conversion mode (figura 5.21a), en el modo secuencial (seleccionado
en este caso) la tarjeta ejecuta la conversión de las señales respetando el orden definido
en la configuración (figura 5.21b), iniciando la conversión de la señal siguiente hasta
haber ejecutado todas las tareas correspondientes a la conversión de la señal precedente.

El inicio de un ciclo de conversión puede realizarse mediante una señal de interrupción


suministrada por hardware (mediante alguna de las entradas-salida digital de propósito
general) o mediante software (basado en el tiempo de muestreo). Para seleccionar el
modo en que iniciaran las conversiones se selecciona la opción correspondiente en el
menú Start of conversion. En nuestro caso las conversiones se iniciaran mediante
software. Al igual que el modulo QEP, el modulo ADC puede expresar su salida en
varios formatos de tipos de dato (Data type), en este caso se emplea el formato entero sin
signo de 16 bits.

En la figura 5.22 se muestra el modelo Simulink para la etapa de adquisición de señales a


emplear en el SV-RNA’s. En la parte superior de la figura 5.22 se observa el
procesamiento que se hace a la señal de velocidad. Ya que el bloque QEP calcula la

109
velocidad en revoluciones por minuto y las unidades utilizadas en el modelo son radianes
por segundo, es necesario emplear un factor de conversión para el cambio de unidades.
En la parte inferior de la figura 5.22 se muestra el procesamiento realizado al valor
almacenado en el registro de memoria asociado con los ADC.

Ya que los convertidores de la tarjeta eZdspF2812 solo manejan señales analógicas en el


rango de 0 a 3 voltios, las señales de corriente a monitorear se acondicionan de tal forma
que su punto de referencia (cero amperes) coincida con el valor de 1.5 Voltios. En el
modelo mostrado en la figura 5.22, dicho desplazamiento en la señal es compensado
mediante la resta de 2048 que corresponde a la mitad de la resolución del convertidor (12
bits). Por ultimo se emplea un factor de escalamiento que contempla la constante de
proporción de los sensores empleados y la resolución del convertidor, de tal forma que el
valor digitalizado a la salida de la etapa de adquisición corresponda con las unidades
físicas mesuradas.

Generación de señal SV-PWM

Como se menciono en el capitulo 3, la generación de la señal SV-PWM se realiza


empleando el bloque Space Vector Generator SVG (figura 5.23) disponible en la librería
c2000lib de Simulink. El bloque SVG calcula los ciclos de activación (duty cicle) que se
necesitan para generar un voltaje de referencia dado usando la técnica Space Vector
PWM, proceso descrito en la sección 3.3.1. El voltaje a reproducir se define por sus
componentes dq en el marco de referencia estacionario. Ya que las componentes
arrojadas por la etapa de regulación (figura 5.17) corresponden a las componentes
proyectadas en un marco rotatorio, es necesario aplicar la transformada inversa de Park
con el fin de alimentar al bloque SVG. Al igual que para la transformada de Park, en este
trabajo se implementan las ecuaciones en lugar de emplear el bloque incluido en la
librería Digital Motor Control (figura 5.23). Otra característica del bloque SVG es que
requiere la alimentación de las señales en valores unitarios (valores limitados al rango de
-1 a 1). Con el fin de cumplir dicha condición se limitan los valores de voltaje consigna al
rango -220 a 220 y posteriormente se normalizan dividiendo entre 220 (figura 5.23).

110
Fig. 5.23 Modulo Generador de Señal SV-PWM en el SV-RNA’s.

Los ciclos de activación (duty cicle) arrojados por el bloque SVG involucran valores
positivos y negativos; con el fin de asociarlo con las terminales de entradas-salida de
propósito general configuradas para actuar como generadoras de señal PWM (PWM1 a
PWM6 de la tarjeta ), es necesario acondicionar sus valores de tal forma que sean solo
valores positivos y escalados al periodo de la forma de onda base empleado (Weaveform
period, magnitud correspondiente a un periodo de activación de 100% de PWM PRD ,
sección 3.3.1).

La configuración del bloque PWM, que generará la secuencia de pulsos de activación del
inversor, se realiza mediante las ventanas de configuración mostradas en la figura 5.24.

a) b)

Fig. 5.24 Ventanas de configuración bloque PWM en Simulink.

111
Para su configuración, se define el modulo PWM a emplear (module). En la tarjeta
eZdspF2812 se tienen disponibles dos módulos: PWM-A y PWM-B; cada uno de ellos
asociado con un administrador de eventos. El periodo de la forma de onda base
(Waveform Period) se especifica introduciendo un valor numérico, en nuestro caso 214 .
El tipo de forma de onda (waveform type) determina la forma de la onda de comparación
empleada en la estimación de los estados de activación de los interruptores de potencia,
con dos alternativas, forma asimétrica y forma de onda simétrica. El parámetro Waveform
period units permite seleccionar las unidades en que se expresa la forma de onda base y
los ciclos de activación, (pulsos de reloj o segundos), en nuestro caso seleccionamos
como unidades de comparación los pulsos de reloj por ser estas las unidades arrojadas por
el bloque SVG. Otra configuración para ajustar el bloque PWM a nuestras necesidades es
seleccionar la cantidad de salidas a emplear en la señal PWM (en nuestro caso tres) y la
forma en que se alimentan los ciclos de trabajo (duty cicle) de dicha señal (figura 5.24b).
Para el resto de los parámetros se emplean sus valores por omisión [3].

Por ultimo, ya que el modelo Simulink se encuentra estructurado, es necesario indicar las
características específicas del dispositivo final en el cual se ejecutara el algoritmo de
control. La librería c2000lib cuenta con bloques para definir la tarjeta que se empleara y
las preferencias en el uso y programación de ella (C2000 Target Preferentes). En nuestro
caso el bloque F2812 eZdsp correspondiente a la tarjeta empleada se asigna con los
valores por omisión [3]. Dicho bloque se presenta aislado en el extremo izquierdo de la
figura 5.25.

Rho estimada

Rho estimada
Velocidad mesurada (rad/s) W_in

IDes estimada IDs_est


Corriente Mesurada Fase a (A) Ia_set
Vqs Vqs consigna
IQes estimada IQs_est

Corriente Mesurada Fase b (A) Ib_set


ImRe estimada ImR_est
F2812 eZdsp
Observador de Flujo
Velocidad Consigna (rad/s)
Vds Vds consigna

Vel_actual
Adquiere Iab y Wr
Etapa Reguladora Genera SV-PWM

Fig. 5.25 Modelo Simulink del SV-RNA’s.

112
Figura 5.26. Pantalla del Code Composer Studio.

5.1.3 Proyecto en Code Composer Studio del SV-RNA’s [4]

La construcción y descarga del programa SV-RNA`s a la tarjeta eZdspF2812 se realiza


desde Simulink con el menú Tools/Real-Time Workshop/Build Model. Para realizar lo
anterior es necesario que el Simulink tenga asociado la herramienta Real Time Workshop
RTW [2], que vincula la programación en bloques de Simulink a la plataforma del Code
Composer Studio (CCS). Además el RTW se encarga de construir el proyecto, creando y
enlazando todos los archivos necesarios para la activación de los registros de la tarjeta
eZdspF2812 dentro del CCS. En la Figura 5.26 se muestra la pantalla del CCS con el
proyecto generado de la forma descrita anteriormente.

El CCS es una herramienta que permite programar y comunicarse con la eZdspF2812 (y


otros modelos de procesadores) a través de un ambiente computacional amigable y
grafico. El CCS puede aceptar programación en ensamblador (*.asm), en C (*.c) y en
C++ (*.cpp). Este software esta basado en el Standard COFF (Common Object File

113
Format) en donde cada archivo es manipulado como modulo, la ventaja de este formato
es la longitud de los programas, que se vuelven más cortos y eficientes. Este software
incluye un editor, compilador, ensamblador y enlazador.

El CCS tiene una arquitectura de proyecto, es decir, almacena toda la información


necesaria para crear un ejecutable. El proyecto está formado por archivos fuente, archivos
cabecera, mapas de memoria de la eZdspF2812, opciones de creación de archivos, entre
otros.

Durante el proceso de creación del ejecutable, se separan cada una de las partes del
programa enlazadas en secciones, donde cada sección corresponde a un dato especifico.
Por ejemplo, se tienen secciones de datos, de variables, de código, de pila, etc. Esta
separación hace flexible el almacenamiento y procesamiento de la información.

Un tipo de archivo muy empleado en el desarrollo de aplicaciones de control son los


archivos de cabecera para la configuración de los registros de periféricos. El propósito de
emplear archivos de cabecera (comúnmente con extensión .h) es para simplificar la
programación y manipulación de los periféricos. Normalmente para programar un
periférico, es necesario escribir los valores correctos en los diferentes campos dentro de
un registro de control. Otra forma más sencilla es escribiendo un número en hexadecimal
a una dirección de memoria. Los archivos de cabecera son parte de las librerías que
contienen funciones en C, macros, estructuras y definiciones de variables. Todos los
registros y bits son representados a través de estructuras. Una estructura en CCS es un
conjunto de variables agrupadas en un nuevo entorno con un nombre definido. En el caso
de la eZdspF2812 esto simplifica mucho la manipulación de periféricos y su
programación, ya que no es necesario memorizar localidades de memoria relacionadas
con secciones del hardware. Normalmente se cuenta con un archivo de cabecera donde se
dan de alta cada una de las estructuras y donde puedan ser visualizadas para caso de
consulta. Los macros y las funciones en C son empleadas para modificar o inicializar las
estructuras (o registros). Dentro del proyecto generado por RTW, los archivos “.h” son
los que definen las estructuras y campos de bits en periféricos y registros del sistema.

114
Otros archivos que añade el RTW son los archivos “.c” de rutinas específicas para
inicializar los periféricos.

En el CCS también se crea el programa principal (main) que tiene el propósito de llamar
a todos los programas asociados cuando sea necesario en la ejecución del proyecto. En la
Figura 5.26 se muestra la pantalla del CCS en donde se observa una parte en lenguaje en
“C” del programa principal creado por el RTW.

Después de la construcción del programa, el archivo SV-RNAs_main.out es descargado


al DSP a través del puerto paralelo mediante el menu File/load. El archivo .out que se
genera habilita el hardware para iniciar la ejecución del algoritmo de control y generar los
pulsos correspondientes en las terminales de salida de los PWM.

Al tener el proyecto en la plataforma del CCS es posible realizar modificaciones en la


estructura o valor de sus variables como en cualquier software de programación. Y
mediante el menú File/reload recargar el proyecto modificado (Proyect/build) a la
eZdspF2812 y observar la respuesta del algoritmo de control actualizado.

5.2 Acondicionamiento de Señales y Etapa de Potencia

El sistema experimental que ha sido elegido para la ejecución física del algoritmo de
control comprende los siguientes elementos (figura 5.1):

• Fuente Inversora Alimentada por Voltaje.


• Sensor de posición tipo codificador óptico.
• Interfase de señales digitales.
• Sensores de corriente de efecto Hall.
• Interfase de señales analógicas.
• Tarjeta eZdspTMS320F2812 .
• Motor de inducción tipo jaula de ardilla (Anexo A)

115
La tarjeta eZdspF2812 maneja solo señales de 0 a 3.3 voltios en las terminales de
entradas-salida digitales. Para comunicarse con dispositivos externos como el inversor y
codificador óptico es necesario acondicionar el nivel de voltaje de dichas señales para su
uso dentro del sistema de control. Por su parte los convertidores análogo-digital de la
tarjeta eZdspF2812 pueden manejar solo señales en el rango de 0 a 3 V y los sensores de
efecto hall empleados en el banco de pruebas trabajan en el rango de 0 a 12 V por lo que
es necesario el acondicionamiento de dichas señales para su procesamiento.

5.2.1 Fuente Inversora Alimentada por Voltaje.

El inversor utilizado está compuesto básicamente por seis interruptores de potencia


agrupados en tres ramas. Cada rama con dos interruptores alimentan de voltaje y
corriente a una fase del motor de inducción (diagrama mostrado en la figura 3.7). El
inversor PWM Trifásico usado es de la marca SEMIKRON modelo SEMISTACK-IGBT
con alimentación de voltaje trifásico nominal de 440 V y manejo en su salida invertida de
hasta 30 A ( I rms ), mostrado en la Figura 5.27 y sus datos técnicos en anexo B. Este tipo

de inversores son capaces de controlar la magnitud y la frecuencia de las señales de


salidas mediante la modulación de ancho de pulso de los interruptores (transistores) del
inversor. Los transistores que usa son tipo IGBT controlados por voltaje modelo SKM
GB123D (520 ηs en tiempo de apagado, Tabla de características en Anexo C).

Figura 5.27. Inversor PWM Trifásico SEMIKRON.

116
Las 6 señales PWM llegan a la base de los transistores IGBT´s, para conmutarlos después
de haber sido supervisadas por un driver Dual Hibrido para IGBT’s Modelo SKHI 22A
(características técnicas en Anexo D) encargado de evitar secuencias de pulsos
susceptibles de generar cortocircuito en la etapa de Inversión o la presencia de anomalías
en el funcionamiento de la fuente inversora, en inversor cuenta con un drive por cada
rama de IGBT’s. Los transistores solamente trabajan como interruptores, es decir, en sus
zonas de corte ó saturación. La fuente inversora necesita un voltaje de 15 voltios para
alimentar el driver que conmuta los IGBT’s y a su vez recibir la señal PWM en valores
de 0-15 V para su correcta modulación.

5.2.2 Sensor de Posición tipo Codificador Óptico

Con el fin de estimar la velocidad mecánica del rotor se emplea un sensor de posición del
tipo codificador óptico incremental de la marca Autonics Modelo E30S-4-1000-3-2-24,
sus datos técnicos se presentan en el anexo E.

El codificador óptico E30S4 basa su funcionamiento en la interrupción de señales de luz


en un dispositivo fotosensor originadas por el movimiento rotatorio de un disco con
rejillas acoplado mecánicamente a la flecha del motor. El codificador óptico es
alimentado con una fuente de voltaje de 12 VCD y a su salida se producen las salidas
lógicas A, B y Z.

La señal de salida en los codificadores ópticos puede ser expresada (según el modelo)
mediante distintos tipos de señales tales como voltaje, NPN colector abierto, etc. (ver
anexo E). En el codificador utilizado en esta aplicación su salida es del tipo NPN colector
abierto por lo que requiere ser acondicionada para su posterior alimentación como señal
de voltaje a la tarjeta eZdspF2812.

117
Figura 5.28 Diagrama electrónico para el acondicionamiento de señales digitales.

5.2.3 Circuito Acondicionador de Señales Digitales.

El diagrama electrónico del circuito acondicionador de señales digitales se muestra en la


figura 5.28. El circuito acondicionador contiene una sección para acoplar las señales
PWM generadas por la eZdspF2812 (0-3.3 V) a la etapa de control de la Fuente Inversora
(0-15V) y una sección para acoplar las señales provenientes de un codificador óptico (0-
12 V) a la eZdspF2812 (0-3.3 V).

Etapa de Acondicionamiento para señal SV-PWM.

Para acondicionar los pulsos provenientes del DSP a un nivel de voltaje dentro del rango
de los circuitos TTL (ULN2004A, tabla de datos en Anexo F), se utilizó el circuito
integrado CMOS CD4011 que contiene cuatro compuertas NAND. Una de las terminales
de cada compuerta se conecta a las terminales PWM de la eZdspF2812 y las terminales
restantes de las compuertas se alimentan con 5 voltios. El interruptor de Reinicio
mostrado en la figura 5.28 permite inhibir el paso de las señales PWM. Cuando el
interruptor está cerrado las terminales de las compuertas conectadas a él estará a un nivel
lógico 0, no permitiendo el paso de la señal PWM a través de las compuertas. Cuando el

118
interruptor está abierto sucede lo contrario. Después de las compuertas NAND, las
señales PWM entran al circuito integrado ULN2004A, que esta formado por compuertas
NOT de colector abierto, las cuales nuevamente invierten las señales, volviendo a su
composición original pero con un nivel de tensión de 0-15 voltios.

Etapa de Acondicionamiento para señal generada por el codificador óptico.

Para acondicionar los pulsos provenientes del codificador óptico a un nivel de voltaje
dentro del rango de la tarjeta eZdspF2812, se utilizó el circuito integrado ULN2003A
(tabla de datos en anexo F). Para convertir la señal NPN colector abierto arrojada por el
codificador a señal de voltaje, se conectan a +12V dichas salidas mediante una resistencia
de 10 KΩ, después de esto son alimentadas al ULN2003A, volviendo a su composición
original pero con un nivel de tensión de 5 voltios. Por ultimo para limitar la cresta de la
señal obtenida a un rango de valores de 0-3.3 V se emplea el diodo zener 1N4728 cuyo
voltaje nominal es 3.3 V (tabla de datos Anexo G).

5.2.4 Sensores de Corriente de efecto Hall

Para medir las corrientes de fase del estator se utilizó el transductor CSLA1CD de
Honeywell (mostrado en la Figura 5.29 y su tabla de datos en Anexo H), que es un sensor
de corriente de efecto Hall. Se uso debido a que su respuesta es lineal, tiene un gran
ancho de banda y presenta un buen asilamiento eléctrico. Los sensores lineales de
corriente de efecto hall, producen una señal analógica lineal igual a la forma de onda de
la corriente que está siendo detectada. Este tipo de sensor lleva un anillo, el cual puede
ser abierto open loop o cerrado close loop (este caso). De estos dos es preferible el de
anillo cerrado, ya que esta característica asegura que no habrá ninguna pérdida de
inserción de corriente continua en el conductor, simplifica la instalación, eliminando la
necesidad de usar conexiones directas, lo cual minimiza la disipación de energía y nos
proporciona una salida aislada.

119
Figura 5.29. Sensores de corriente de Efecto Hall y circuito acondicionador.

Las principales características del sensor CSLA1CD de honeywell, son:

• Salida lineal.
• Mesura corriente CA y CD.
• Amplio rango de corriente ( 0 a 57 A ).
• Voltaje de salida aislado de la entrada.
• Disipación mínima de energía.
• Corriente máxima limitada solo por el tamaño del conductor.
• Voltaje de alimentación de 8 Vcd a 16 Vcd.
• Bajo costo.

5.2.5 Circuito Acondicionador de Señales Analógicas.

El diagrama electrónico del circuito acondicionador de señales analógicas se muestra en


la figura 5.30 Este circuito esta habilitado para realizar el acondicionamiento de tres
sensores de corriente de efecto Hall. En esta aplicación el sensor se le alimenta con 12
voltios, que cuando no hay flujo de corriente por el anillo del transductor, este entrega
aproximadamente 6 voltios de corriente directa, lo cual se conoce como voltaje de
compensación. El sensor cuenta con tres bornes de conexión, en donde dos se utilizan
para alimentarlo, y el tercero entrega la señal de corriente detectada en forma de voltaje.

120
Figura 5.30 Diagrama Electrónico del Sensor de Corriente.

5.2.6 Conexión de entradas y salidas de tarjeta eZdspTMS320F2812

La interconexión entre la tarjeta eZdsp y las interfase analógica y digital se realiza


mediante los bornes de conexión mostrados en el diagrama a bloques de la figura 5.31
[10]. Una precaución para el adecuado funcionamiento de los módulos ADC, es alimentar
su nivel de referencia en voltaje bajo (VREFLO), en este caso a la tierra del sistema
acondicionador de la señal analógica.

Fig. 5.31 Diagrama a bloques de la tarjeta eZdspF2812 mostrando pines de conexión

121
Referencias Bibliográficas

1. Demuth, H. and M. Beale, Neural Networks Toolbox User´s Guide. Version 4 ed.
2000, Natick,MA: The MathWorks,Inc. 1-208.
2. MathWorks, Getting Started whit Real-Time Worshop For Use Whit Simulink.
Version 6 ed. 2004, Natick, MA: The Mathworks, Inc. 1_1-3_51.
3. MathWorks, I., Embedded Target for the TI TMS320C2000TMDSP Platform For
Use with Real Time Workshop, U.s.G.V. 2, Editor. 2006, The MathWorks, Inc.
4. Texas, I., C28x DSP Design Workshop Student Guide. Revision 5.2 ed. 2005:
Technical Training Organization.
5. Freeman, J.A. and D.M. Skapura, Neural Networks: algorithms, applications, and
programming techniques. 1 ed. Computation and Neural Systems, ed. C. Koch.
Vol. 1. 1991, California: Addison Wesley. 45-124,341-371.
6. Miller III, W.T., R.S. Sutton, and P.J. Werbos, Neural Networks for Control, ed.
N.S. Foundation. Vol. EET-8819699. 1991: University of New Hampshire
7. C28x Foundation, S., IQmath library A Virtual Floating Point Engine, Module
User's Guide, ed. T. Instruments. 2002: Texas Instruments Inc.
8. Texas, I., Digital Motor Control, Software Library. SPRU485 ed. Agosto 2001:
Digital Control Systems Group (DCS).
9. Briz del Blanco, F., Control Vectorial del Motor de Induccion con Identificacion
y Adaptacion a los Parametros de la Carga, in Dep. Ing. Electrica, Electronica,
de Computadores y Sistemas. 1995, Universidad de Oviedo: Oviedo.
10. Spectrum Digital, I., eZdspTMF2812, Technical Reference, Rev. A. May 2002,
Texas Instruments.

122
CAPÍTULO VI

Análisis de Resultados

En este capitulo se discuten los resultados obtenidos en la implementación del sistema de


control SV-RNA’s. En la primer parte del capitulo se analiza la respuesta dinámica del
motor de inducción gobernado por los algoritmos de control CV-OFR y SV-RNA’s,
análisis basado en simulaciones empleando Simulink de Matlab. En la segunda parte del
capitulo se discuten los resultados obtenidos de la implementación del hardware para el
sistema de control SV-RNA’s.

6.1 Algoritmo de control SV-RNA’s

En esta sección se presenta una prueba del algoritmo de control SV-RNA’s mostrando el
comportamiento del programa en condiciones aproximadas a las del sistema de control
real, discusión basada en simulaciones empleando Simulink de Matlab. (Apéndice I,
Parámetros de simulación).

La prueba del algoritmo SV-RNA’s se realiza en dos etapas. En ambas etapas se


considera una realimentación de velocidad con resolución de ± 15rpm (determinada por
el uso del estimador de velocidad descrito en la sección 5.1.2, a una frecuencia de
muestreo de 1 kHz y resolución del codificador óptico de 1000 ppr , Apéndice F). El
emplear una frecuencia de muestreo mayor implica una resolución de velocidad más
pobre (Ec. 5.2). Para la simulación en ambiente Simulink se emplea el algoritmo de
solución (solver) ode4 (Runge-Kutta) con un tiempo de muestreo base fijo a 0.001s
(Fixed step).
En la primera etapa se considera la respuesta dinámica del motor de inducción al ser
gobernado por el SV-RNA’s y el CV-OFR con una velocidad consigna de aceleración
controlada (igual a las condiciones descritas en la sección 3.4).

En la segunda etapa se considera el hecho de tener el cambio de consigna en forma


escalón y su cambio en dirección de giro en la misma forma. Dicha condición es la
presente en el sistema SV-RNA’s que considera un valor constante asignado a un registro
de memoria para la consigna de velocidad (sección 5.1.2.1).

Primera Etapa

La respuesta del motor con:

• Consigna de velocidad aceleración lineal ( ω r* = 0...225rad / s , t = 0.25...1.25s ),


cambio de giro en forma lineal ( ω r* = 225.. − 225rad / s , t = 2.25...3.25s ) y
frenado en forma lineal ( ω r* = −225...0rad / s , t = 4.25...4.75s ).

• Manteniendo la consigna del flujo de rotor en eje d constante.


Se presenta en las figuras 6.1 a 6.7.

Fig. 6.1 Curva Par-Velocidad con aceleración controlada empleando el CV-OFR.

124
Fig. 6.2 Curva Par-Velocidad con aceleración controlada empleando el SV-RNA’s.

En esta primera etapa solo se modificaron las condiciones de diseño de los sistemas CV-
OFR y SV-RNA’s en la naturaleza de la señal de velocidad realimentada. En el diseño se
había considerado una realimentación de velocidad discreta con alta resolución
( ± 0.0005 rpm ) y en las figuras 6.1 y 6.2 se muestran las respuestas del motor en sus
graficas par-velocidad cuando dicha resolución es de ± 15rpm .

Como se observa en ambas figuras la naturaleza de la señal de velocidad realimentada


introduce oscilaciones de mayor magnitud en el par eléctrico generado en comparación
con las condiciones de diseño (Fig. 3.12) manteniendo una trayectoria aproximadamente
igual. En el CV-OFR las oscilaciones que se presentan son de menor magnitud que las
observadas en el SV-RNA’s, pero con una densidad mayor. Aun así, la respuesta del par
es regulado en ambos casos a comparación de su respuesta en modo de arranque libre
(figura 2.10).

Con el fin de observar el comportamiento mecánico del motor al ser controlado por el
CV-OFR y el SV-RNA’s, en la figura 6.3 se muestran las señales de Velocidad y Error en
consigna, ante las nuevas condiciones de operación.

125
Fig. 6.3 Respuesta de la Velocidad controlada.

En la figura 6.3 se limita con un círculo la etapa de inicio en los dos algoritmos de
control. Como se observa, en la señal de error del SV-RNA’s se presentan perturbaciones
aun cuando las condiciones no han cambiado inicialmente. Perturbaciones similares se
observan durante la trayectoria de la velocidad consigna, pero es mas evidente cuando los
valores de velocidad consigna son constantes (como el caso cuando la velocidad consigna
es cero). Cuando la velocidad consigna presenta una forma lineal (tal como al arranque,
cambio de giro y frenado) la respuesta es similar en ambos sistemas.

El error en estado estacionario presenta una mejor respuesta cuando el motor es


gobernado por el algoritmo de control CV-OFR, este observa una respuesta más estable
en cada uno de los casos en que la consigna de velocidad es constante, siendo esto más
evidente cuando en la etapa final la consigna de velocidad es cero.

126
Fig. 6.4 Corrientes del estator estimadas en el marco rotatorio q-d.

En la figura 6.4 se muestran las corrientes del estator estimadas en el marco rotatorio q-d.
La forma de dichas señales muestra perturbaciones de mayor magnitud que las presentes
en la etapa de diseño (figura 3.11) y el desacoplamiento se ve afectado considerablemente
en los estados transitorios. Esto era de esperarse ya que una de las premisas para la
orientación de campo es la adecuada medición o estimación de la posición del flujo de
rotor, en nuestro caso la precisión en la lectura de velocidad mecánica del rotor afecta
directamente la precisión en la estimación de posición del flujo de rotor (Ecuación 5.1).
En ambos algoritmos de control se observan corrientes en cuadratura con oscilaciones de
mayor magnitud que las presentes en su etapa de diseño. La respuesta del SV-RNA’s se
observa con mayores perturbaciones, y al igual que en su error consigna (u originado por
este) en la etapa inicial también se presentan perturbaciones en la componente I qsest , aun

sin existir un cambio en el valor de la velocidad consigna.

127
Fig. 6.5 Corriente de magnetización y par.

En la figura 6.5 se muestra el comportamiento del par electromagnético y la corriente de


est
magnetización I mR , para el caso en que el motor es gobernado por los algoritmos de
control. Como ya se menciono, las oscilaciones en el par cuando el motor es controlado
por el SV-RNA’s son de mayor magnitud que cuando es gobernado por el CV-OFR, pero
las trayectorias que estos siguen con el fin de corregir la velocidad son similares. En la
etapa inicial, cuando el motor es gobernado por el SV-RNA’s, el par generado en el
motor presenta perturbaciones ya que este es regido por la componente de corriente I qsest .
Las perturbaciones en el par cuando la velocidad consigna es cero (regiones enmarcadas
en rectángulos, figura 6.5) podrían originar vibraciones de magnitud considerable en el
motor.

est
Para el caso de las corrientes de magnetización I mR generadas por ambos algoritmos de
control, la magnitud de estas se mantiene con valores cercanos al obtenido en sus
condiciones de diseño (figura 3.11), con presencia de pequeñas perturbaciones en la señal
est
I mR cuando el motor es controlado por el SV-RNA’s.

128
Fig. 6.6 Corrientes de fase etapa de arranque.

Con el fin de observar el comportamiento de las señales de fase en el estator, se presenta


el comportamiento de ellas en dos intervalos de tiempo, en la etapa de arranque (figura
6.6) y cuando se realiza la inversión de giro (figura 6.7).

Acorde con el aumento en la consigna de velocidad, también aumenta la frecuencia de las


corrientes de fase que alimentan el estator (mostradas las fases a y b en la figura 6.6).
Como se remarca mediante rectángulos en la figura 6.6, en la etapa inicial cuando la
consigna de velocidad aun no ha cambiado, se presentan corrientes de fase necesarias
para establecer la corriente de magnetización nominal, aun en condiciones de consigna de
velocidad cero. Es evidente de las gráficas mostradas en la figura 6.6 que las corrientes
generadas por el SV-RNA’s tienen un contenido mayor de armónicos de bajo orden (de
ello el hecho que su forma sinusoidal sea mas distorsionada) en comparación con las
generadas por el CV-OFR.

129
Fig. 6.7 Corrientes de fase durante la inversión de giro.

En la figura 6.7 se muestran limitadas por rectángulos las corrientes de fase durante la
inversión de giro. En dicha figura se observa bien definido el cambio de fase de las
señales de corriente que hacen posible la inversión de giro de un sentido positivo a un
sentido de giro negativo. La diferencia mas notable entre las señales es el mayor
contenido de armónicos de bajo orden que se genera al emplear el SV-RNA’s.

Segunda Etapa
La respuesta del motor con:

• Consigna de velocidad forma escalón ( ω r* = 225rad / s , t = 0s ), cambio de giro en


escalón ( ω r* = −225rad / s , t = 2.5s ) y frenado ( ω r* = 0.rad / s , t = 5s ).

• Manteniendo la consigna de Flujo de rotor en el eje d constante.


Se presenta en las figuras 6.8 a 6.14.

130
.
Fig. 6.8 Curva Par-Velocidad. Consigna velocidad escalón, empleando Reguladores PI.

Fig. 6.9 Curva Par-Velocidad. Consigna velocidad escalón, empleando Reguladores RNA.

En esta segunda etapa se modifican las condiciones de trabajo de los sistemas CV-OFR y
SV-RNA’s en la señal de velocidad realimentada (resolución de ± 15rpm ) y la forma en
que cambia la consigna de velocidad (en forma de escalón). En las figuras 6.8 y 6.9 se
muestran las respuestas del motor en sus graficas par-velocidad para dichas condiciones.

131
En la figura 6.8 se puede observar como el CV-OFR responde al cambio de consigna en
el arranque mediante la generación de un par electromagnético mayor que el generado en
condiciones de aceleración libre (figura 2.10), originando en el motor velocidades
mayores a la nominal, de tal forma que el sistema entra en estado inestable, saliendo de
control.

En cambio la trayectoria que sigue el par al ser controlado por el SV-RNA’s observa una
respuesta bien definida cuando se realiza el arranque, la inversión de giro y el frenado,
figura 6.9, manteniendo la magnitud del par generado cercano a los valores obtenidos en
condiciones de diseño y con una menor cantidad de perturbaciones en el par que las
observadas al probar el algoritmo ante un cambio en la consigna en forma de rampa
(figura 6.2). Aun así, las variaciones presentes en el par electromagnético generado
podrían traducirse en vibraciones indeseables del motor.

La diferencia en la respuesta de ambos sistemas de control es evidente cuando las


condiciones de diseño difieren en mayor manera, como este caso en que el cambio en la
consigna de velocidad se realiza en forma escalón y no con rampa de aceleración como se
había contemplado anteriormente.

Con el fin de observar el comportamiento mecánico del motor ante estas nuevas
condiciones de operación, en la figura 6.10 se muestran las señales de velocidad del
motor y error en la consigna que se generan al ser gobernado por los dos sistemas de
control.

Como se observa en la figura 6.10 cuando el motor es controlado por el CV-OFR la


respuesta transitoria en el arranque presenta un comportamiento inestable, alcanzando
velocidades en el arranque (420 rad/s) mayores a su velocidad nominal (377 rad/s). Y el
error en estado estable no tiende a reducirse en el periodo de simulación a pesar de los
estados transitorios introducidos por los cambios en la consigna de velocidad,
evidenciando la respuesta deficiente de los reguladores bajo estas condiciones de trabajo.

132
Fig. 6.10 Respuesta de la Velocidad cambio de consigna forma escalón.

En cambio la respuesta del motor presenta una forma estable y rápida al ser controlado
por el SV-RNA’s, bajo las mismas condiciones de operación. En la etapa de arranque
emplea aproximadamente 0.4 s en alcanzar su valor consigna ( ω r* = 225rad / s ). Cuando
se aplica la inversión de giro ( t = 2.5s ) el SV-RNA’s responde realizando el cambio de
sentido en aproximadamente 0.4 s. En la etapa de frenado el motor logra frenar en
aproximadamente 0.25 s.

En cada una de estas etapas la velocidad del motor es de forma en sumo aceptable ya que
no presenta sobre-amortiguamiento ni lentitud de respuesta, además de mantenerse
estable, difiriendo en gran manera con la respuesta obtenida con el CV-OFR.

En la figura 6.11 se muestran las componentes en el marco rotatorio q-d de las corrientes
en el estator, estimadas por el observador de flujo ante las nuevas condiciones de
operación.

133
Fig. 6.11 Corrientes en cuadratura.

est
Como se observa en la figura 6.11, la forma de las corrientes I qds generadas en el motor

cuando es gobernado por el CV-OFR presentan respuesta regulada solo en la etapa de


arranque (regiones limitadas por rectángulos en la señal de la figura 6.11), y después de
aproximadamente 0,5 s entran en un estado en el cual no se observa control en su
generación y mucho menos un desacoplamiento entre ellas.

est
Por otro lado, las corrientes I qds generadas en el motor cuando es gobernado por el SV-

RNA's mantienen características similares a la etapa de prueba anterior (figura 3.4), con
altas oscilaciones en sus valores y perdida parcial del desacoplamiento (originado por la
deficiencia en la estimación de la posición del flujo de rotor). Siendo mas evidentes las
perturbaciones que presenta la corriente I dsest ante los cambios en la velocidad del motor

(zonas enmarcadas con rectángulos en la señal I dsest generada por el SV-RNA’s, fig. 6.11).

134
Fig. 6.12 Corriente de magnetización y par.

est
En la figura 6.12 se muestra el comportamiento de la corriente de magnetización I mR y
el par generado, para el caso en que el motor es gobernado por los algoritmos de control.
est
La corriente de magnetización I mR generada por el CV-OFR presenta una etapa inestable
transitoria en el arranque y posterior a esta logra estabilizarse, manteniendo el valor
consigna (3.079), mostrando que el lazo de control de flujo aun ejerce su acción
est
reguladora. Por su parte, la corriente de magnetización I mR generada por el SV-RNA’s
presenta una respuesta lenta, alcanzando su consigna solo después de la etapa de frenado.

Como ya se mencionó, la respuesta del par cuando el motor es controlado por el CV-OFR
entra en estado inestable y fuera de control después de la etapa transitoria en el arranque.
Por su parte en el SV-RNA’s, el par que esta regido por la componente de corriente I qsest ,

presenta una respuesta bien definida ante los cambios en la velocidad consigna.

135
Fig. 6.13 Corriente de fase etapa de arranque.

Con el fin de observar el comportamiento de las señales de fase en el estator, se presenta


el comportamiento de ellas en dos intervalos de tiempo, en la etapa de arranque (figura
6.13) y cuando se realiza la inversión de giro (figura 6.14).

Las corrientes de fase generadas por el CV-OFR después de la etapa transitoria en el


arranque entran en un estado inestable en el cual no se observa ninguna acción de control
sobre ellas. En cambio las corrientes de fase generadas por el SV-RNA’s después de la
etapa transitoria aun se encuentran reguladas y mantienen un patrón periódico en su
forma con evidente presencia de armónicos de bajo orden (por la notoria diferencia entre
las formas periódicas obtenidas y la forma sinusoidal). Las corrientes en estado estable
generadas por el SV-RNA’s exceden los valores nominales difiriendo en gran manera con
la respuesta del motor ante una condición de arranque en modo libre (figura 2.11) en el
cual después de la etapa transitoria las corrientes se estabilizan en sus valores nominales.

136
Fig. 6.14 Corriente de fase en etapa inversión de giro.

En la figura 6.14 se muestran las corrientes de fase en la etapa de inversión de giro


cuando el motor es gobernado por el CV-OFR y el SV-RNA’s. Como puede observarse
en las forma de señal generadas por el CV-OFR, las corrientes ante la presencia del
cambio de velocidad consigna se encuentran fuera de control y no responden a este.

Cuando el motor es controlado por el SV-RNA’s, en la etapa transitoria de inversión de


giro las corrientes son generadas de tal forma que se origina un cambio de fase, el cual
hace posible la inversión del sentido de giro. Las magnitudes de dichas corrientes se
incrementan durante dicho transitorio, retornando a sus valores de operación normal
después de aproximadamente 0.4 s.

137
6.2 Implementación en tarjeta eZdspTMS320F2812 [1]

En esta sección se discuten los resultados obtenidos en la implementación del hardware


correspondiente al SV-RNA’s. El análisis de la respuesta de la implementación del SV-
RNA’s se realiza en dos etapas, en la primera de ellas solo se analiza la respuesta del
modulo generador de señal SV-PWM (sección 5.1.2). En la segunda etapa se analiza la
respuesta del algoritmo de control SV-RNA’s implementado completamente en
hardware, con la eZdspF2812 ejecutando el algoritmo de control.

Primera etapa

Con el fin de observar la respuesta del modulo generador de señal SV-PWM se crea el
*
proyecto correspondiente en CCS, considerando consignas de voltaje v qds constantes y
*
un ángulo rotatorio a 15Hz . Se analizan dos casos, con vqds = 0.7 pu y con vqds
*
= 1 pu .
Para la adquisición de datos en esta prueba se usa una tarjeta PCI6014 a 10KHz
empleando como interfase el Simulink de Matlab [2]. Las figuras 6.15 y 6.16 muestran
las corrientes de fase generadas por el Modulo SV-PWM ejecutado por la eZdspF2812 a
10 Khz. Como se observa en la figura 6.15 , las formas de las señales de corriente
presentan distorsiones muy similares a las originadas cuando la banda de tiempo muerto
(dead band) empleada en la conmutación de la fuente inversora es demasiado grande [3].

Fig. 6.15 Corrientes de fase en el estator con v qds = 0.7 pu .


*

138
Fig. 6.16 Corriente de fase en el estator con v qds = 1 pu .
*

En la figura 6.16 se observan las corrientes que alimentan el estator al emplear un valor
*
de consigna vqds = 1 pu ; como se observa en la forma de las corrientes, estas presentan

alteraciones similares a las observadas cuando el algoritmo SV-PWM entra en zona de


sobre-modulación [4].

Segunda etapa

Al momento de generar el proyecto en CCS del modelo SV-RNA’s la dimensión del


proyecto generado es de dimensiones similares (3094 bytes) a las requeridas por el CV-
OFR (3164 bytes) con una diferencia poco significativa a favor del SV-RNA’s y dentro
del rango de memoria disponible (8 M bytes, 4 M words). Para observar las corrientes
que alimentan el estator cuando el motor es gobernado por el SV-RNA’s se emplea un
osciloscopio Tektronix TDS1000B, por su mayor velocidad de muestreo.

Diferente a lo sucedido en la simulación, al ejecutar el SV-RNA’s implementado en


hardware muestra una respuesta inestable, con periodos durante los cuales el motor gira a
una velocidad constante sin importar el valor de su consigna y con periodos en los cuales
el movimiento del rotor es oscilatorio. En las figuras 6.17 a 6.19 se muestran las
corrientes de fase a y b generadas por el SV-RNA’s durante algunos periodos de su
ejecución y mesuradas a la entrada de la tarjeta eZdspF2812.

139
Fig. 6.17 Corriente de fase. Inicio de Oscilaciones.

Fig. 6.18 Corrientes de fase velocidad del rotor constante.

Fig. 6.19 Corriente de fase, periodo de oscilaciones en el rotor.

140
Las formas de onda mostradas en las figuras 6.17 a 6.19 parecieran formar un conjunto
de corrientes trifásica balanceadas pero en gran manera distorsionadas con respecto a la
forma sinusoidal.

En las figuras 6.20 a 6.22 se muestran dos de los trenes de pulso PWM (PWM A y PWM
B) que pilotean la fuente inversora cuando las corrientes presentan el comportamiento
ilustrado.

Fig. 6.20 Señales QEP A y B. Inicio de Oscilaciones.

Fig. 6.21 Señales QEP A y B. Velocidad del rotor constante.

Una característica común que se observa en los trenes de pulsos durante los periodos de
oscilación, figuras 6.20 y 6.22, es una conrmutación rápida en uno de los trenes
monitoreados, pudiendo ser originados por una saturación en el algoritmo de control.

141
Fig. 6.22 Señales QEP A y B. Periodo de oscilaciones en el rotor.

Referencias Bibliográficas

1. Spectrum Digital, I., eZdspTMF2812, Technical Reference, Rev. A. May 2002,


Texas Instruments.
2. MathWorks, Real-Time Windows Target User´s Guide. Version 2 ed. 2004,
Natick,MA: The Mathworks, Inc.
3. Holtz, J. and J. Quan, Sensorless Vector Control of Induction Motors at Very Low
Speed using a Nonlinear Inverter Model and Parameter Identification. IEEE IAS
2001, 2001. 1(1): p. 1-9.
4. Lee, D.-C. and M. Lee, A Novel Overmodulation Technique for Space Vector
PWM Inverters. IEEE IAS 1997, 1997. 1(1): p. 1014-1020.

142
CAPÍTULO VII

Conclusiones y Trabajos futuros

En este capitulo se presentan las conclusiones y propuestas para trabajos futuros


referentes al diseño e implementación del sistema de control SV-RNA’s. En la primer
parte del capitulo se mencionan las conclusiones emanadas durante el diseño, la
simulación e implementación del hardware. En la segunda parte se proponen trabajos
futuros que podrían suscitar mejoraras en el sistema de control propuesto, así como
algunas líneas de investigación que darían continuidad al trabajo desarrollado en esta
tesis.

7.1 Conclusiones

Este trabajo de tesis dio continuidad a una línea de investigación, creada con el fin de
hacer más eficiente la tarea de control en los motores de inducción y aumentar el campo
de uso de dichos motores, en el área de control de máquinas eléctricas con tecnología
DSP dentro del instituto Tecnológico de la Laguna.

A lo largo de esta tesis se presento el desarrollo de un propulsor en control vectorial para


un motor de inducción tipo jaula de ardilla, empleando Redes Neuronales Artificiales en
su etapa reguladora (SV-RNA’s).

A tal fin, en las primeras fases del trabajo se utilizo Simulink, una potente herramienta de
Matlab que facilito el diseño y evaluación a nivel simulación del sistema de control [1-3].
El modelo computacional del motor de inducción que se empleo en esta tesis fue el
modelo en coordenadas de flujo del rotor, el cual proporciona un modelo del motor
similar al motor de CD, con desacoplamiento entre las corrientes de par I qse y de campo

I qse [4, 5]. Los parámetros del motor se obtuvieron mediante las pruebas de motor sin

carga y de rotor bloqueado propuestas por el estándar IEEE 112 [6].

El tipo de control vectorial utilizado como referencia ha sido el Orientado con el Flujo
del Rotor, en la modalidad propuesta por Blaschke, empleando el observador de flujo
expuesto por Briz del Blanco [7-9]. La respuesta a nivel simulación del control vectorial
orientado con el flujo del rotor mostró un buen comportamiento y a partir de los
resultados de la simulación se obtuvieron la base de patrones de entrenamiento y de
prueba para las Redes Neuronales Artificiales propuestas.

En el control clásico generalmente se utiliza el regulador PID para corregir los errores en
el lazo de velocidad, corriente de par I qse y corriente de campo I dse , en nuestro caso se

tomo como referencia los patrones de entrenamiento generados por los reguladores para
el entrenamiento de las Redes Neuronales Artificiales del tipo retro-propagación (RNA-
BP), las cuales ocuparon el lugar de dichos reguladores en el sistema de control.

El proceso de entrenamiento involucro experimentar con varias topologías de RNA-BP,


tratando de encontrar no solo una configuración adecuada, sino también rapidez, y
estabilidad en el resultado final. Durante dicho proceso se confirmo que no existe un
procedimiento establecido para determinar el modelo de la red que debe emplearse en
cada aplicación y que solo mediante prueba y error puede determinarse la configuración
de RNA-BP que presente mejor comportamiento. El grado de éxito que se obtuvo en el
entrenamiento de la RNA-BP dependió en gran manera de la arquitectura, de los
conjuntos de entrenamiento y de los parámetros de entrenamiento utilizados. El hecho de
utilizar retardos de tiempo en la señal de alimentación de la RNA-BP aumento el grado
de aprendizaje de la tarea reguladora [10-12].

144
Una vez entrenadas las RNA-BP nos permitieron comparar a nivel simulación la
respuesta de control en ambos sistemas y colegir si los modelos y estructuras neuronales
eran apropiados. Las RNA-BP entrenadas como reguladoras mostraron un buen
comportamiento y generalización ante condiciones de operación normal. Ante
condiciones deficientes en la realimentación de señales la respuesta del controlador
empleando RNA-BP mostró un mejor comportamiento que en el caso de los reguladores
PI. Los resultados de la simulación han demostrado la efectividad del uso de RNA-BP en
sustitución de los reguladores PI empleados en el control vectorial clásico.

Al simular el sistema de control con una realimentación de velocidad con resolución


deficiente se constato que la respuesta del observador de flujo depende en gran manera de
una lectura adecuada de la velocidad del rotor. Afectando el desacoplamiento del par y
flujo en el que se basa la filosofía de control vectorial.

En la implementación real del SV-RNA’s, el programa equivalente del modelo simulink


desarrollado, se genero haciendo uso de la herramienta Embedded Target for the TI
TMS320C2000 DSP For use with Real-Time Workshop de Matlab. Dicha herramienta
facilito la transición entre la etapa de simulación e implementación real del algoritmo de
control, reduciendo los tiempos de diseño-desarrollo-implementación que serian
requeridos empleando alguna otra plataforma para la programación del algoritmo y
configuración de los periféricos en la tarjeta eZdspF2812 (tal como CCS u otro
compilador C) [13-16].

El programa generado del SV-RNA’s requirió recursos de memoria (3094 bytes) en


extremo holgada respecto a las capacidades de memoria disponibles en la eZdspF2812 (8
Mbytes), teniendo la posibilidad de emplear los recursos disponibles en otras tareas de
control en tiempo real.

Elementos básicos en esta fase fueron el grupo máquina de inducción con los respectivos
sensores, convertidores y tarjeta de control eZdspF2812 en la que se probó el algoritmo
SV-RNA’s. El estimador de velocidad empleado (para el codificador óptico) fue el

145
disponible en la librería C2000 de Simulink, la resolución pobre obtenida en la
estimación de velocidad mostraron la necesidad de mejorar la estimación de la velocidad
del rotor y su adaptación con el algoritmo de control. Los sensores de efecto hall
empleados en la mesura de las corrientes de fase presentaron una buena respuesta ante las
señales de corriente generadas por el SV-RNA’s. En la generación de pulsos SV-PWM
se pudo observar una deficiencia en la respuesta del algoritmo generador ante
condiciones cercanas a sobre-modulación, y también debida a los tiempos muertos no
considerados en el diseño y empleados en la activación de los interruptores de potencia.

Al observar la respuesta del SV-RNA’s implementado en tiempo real, su comportamiento


fue pobre, por cuestiones de tiempo no se analizaron las etapas del algoritmo de control
con el fin de identificar las correcciones necesarias para la puesta a punto del algoritmo,
por lo que puede concluirse que la etapa de implementación en tiempo real solo se cubrió
parcialmente.

De acuerdo a los resultados mostrados en el capitulo 6, se puede decir que el uso de


RNA-BP en los sistemas de control vectorial para motores de inducción es una decisión
viable y, con un adecuado entrenamiento benéfica. Pudiendo originar, en un futuro
cercano, un incremento de propulsores de maquinas eléctricas en el mercado cuyos
algoritmos de control involucren técnicas de computación suave e implementadas con
tecnología DSP.

7.2 Propuestas para trabajos futuros

Las recomendaciones para trabajos futuros se pueden dividir en dos clases: Las referentes
a la implementación en hardware y las referentes al Algoritmo de control.

La implementación en hardware del sistema SV-RNA’s puede ser mejorado haciendo uso
de un estimador de velocidad con mayor precisión que el aquí empleado, pudiendo ser
este de naturaleza hibrida combinando frecuencimetros y periodimetros [7].

146
Otra modificación recomendable para la implementación en tiempo real del SV-RNA’s
es el uso de un elemento generador de rampas para la consigna de velocidad, en lugar de
emplear un espacio de memoria para el valor constante de velocidad consigna, que
origina corrientes de operación de magnitud mayor a las normales (Figuras 2.11 y 6.14 ).

En la realimentación de la corriente es recomendable modificar la ganancia de los


sensores ya que en la etapa experimental se pudieron observar valores de voltaje que
podrían originar saturación de los convertidores analógico-digital (figuras 6.17 a 6.19).

En la etapa de generación de señal SV-PWM, se recomienda modificar los retardos de


tiempo originados por el gobernador de la fuente inversora con fin de obtener menor
distorsión de forma en las corrientes sinusoidales u otro algoritmo que permita una mejor
respuesta de la señal generada.

Con respecto al algoritmo de control, se recomienda emplear otra etapa de entrenamiento


para las RNA’s con datos experimentales y no solo los adquiridos mediante simulación.

Se recomienda hacer uso de otras herramientas de las Técnicas de Computación Suave


que pueden ser empleados en algunas etapas del control vectorial (Lógica Difusa y
Algoritmos Genéticos) tal como la estimación de las corrientes en cuadratura, la
generación de las señales PWM o la sintonización de los reguladores PI. Así como otras
topologías de RNA’s tal como las RNA Recurrentes que han mostrado resultados
satisfactorios en el control de sistemas no lineales (como es el caso del motor de
inducción).

Otra alternativa en el uso de RNA’s en el control vectorial del motor de inducción seria
emplear otras propuestas de control vectorial tal como:
• La técnica de Control Directo de Par. El cual presenta menos sensibilidad a los
parámetros estimados del motor y considera el uso de una fuente inversora en la
alimentación del motor de inducción.

147
• Control sin sensor. Esta alternativa de diseño es mucho mas económica puesto
que ocupa menos componentes (no requiere sensores de posición).

La complejidad del control de motores de inducción obliga al empleo de procesadores de


altas prestaciones, avanzados que permiten la implementación de algoritmos en tiempo
real. Tradicionalmente, en este tipo de aplicaciones se han utilizado procesadores de
aplicación general, obteniéndose soluciones que técnicamente satisfacen los
requerimientos exigidos pero a costa de un precio muy alto. La aparición, hace unos años,
de controladores DSP que reúnen en un chip funcionalidades propias de los procesadores
y de los microcontroladores mas avanzados, esta despejando el camino de obstáculos
para la introducción definitiva de este tipo de accionamientos en el mercado. Por lo que
es de mucha importancia que la escuela desarrolle la implementación de este tipo de
controladores con DSP’s. Aun existen inconvenientes por resolver para desarrollar
sistemas de control con DSP, relacionada con la programación y puesta a punto de este
tipo de dispositivo. Pero cada día existen herramientas de programación más potentes que
facilitan el diseño y puesta a punto de aplicaciones, tal como el CCS y la caja de
herramientas Embedded Target for the TI TMS320C2000 DSP.

Referencias Bibliográficas

1. MathWorks, Getting Started whit Real-Time Worshop For Use Whit Simulink.
Version 6 ed. 2004, Natick, MA: The Mathworks, Inc. 1_1-3_51.
2. MathWorks, Real-Time Windows Target User´s Guide. Version 2 ed. 2004,
Natick,MA: The Mathworks, Inc.
3. MathWorks, I., Embedded Target for the TI TMS320C2000TMDSP Platform For
Use with Real Time Workshop, U.s.G.V. 2, Editor. 2006, The MathWorks, Inc.
4. Krause, P.C. and C.H. Thomas, Simulation of Symmetrical Induction Machinery.
IEEE Transactions on Power Apparatus and Systems, 1965. 11.
5. Ozpineci, B. and L.M. Tolbert, Simulink Implementation of Induction Machine
Model - A Modular Approach IEEE IEMDC'03, 2003. 2: p. 728-734.
6. IEEE, IEEE-112 Standard Test Procedure for Polyphase Induction Motor and
Generators. IEEE Power Engineering Society, 1991. 1.
7. Briz del Blanco, F., Control Vectorial del Motor de Induccion con Identificacion
y Adaptacion a los Parametros de la Carga, in Dep. Ing. Electrica, Electronica, de
Computadores y Sistemas. 1995, Universidad de Oviedo: Oviedo.

148
8. Novotny, D.W. and L.T. A., Vector Control and Dynamics of AC Drives.
Monographs in Electrical and Electronic Engineering. 2000: CLARENDON
PRESS - OXFORD.
9. Mohan, N., Advanced Electric Drives Analysis, Control and Modeling using
Simulink. 2001: MNPERE.
10. Haykin, S., Neural Networks A Comprehensive Foundation. 2004: IEEE
Computer Society Press.
11. Miller III, W.T., R.S. Sutton, and P.J. Werbos, Neural Networks for Control, ed.
N.S. Foundation. Vol. EET-8819699. 1991: University of New Hampshire
12. Demuth, H. and M. Beale, Neural Networks Toolbox User´s Guide. Version 4 ed.
2000, Natick,MA: The MathWorks,Inc. 1-208.
13. C28x Foundation, S., IQmath library A Virtual Floating Point Engine, Module
User's Guide, ed. T. Instruments. 2002: Texas Instruments Inc.
14. Spectrum Digital, I., eZdspTMF2812, Technical Reference, Rev. A. May 2002,
Texas Instruments.
15. Texas, I., C28x DSP Design Workshop, Student Guide. Revision 5.2 ed. 2005:
Technical Training Organization.
16. Texas, I., Digital Motor Control, Software Library. SPRU485 ed. Agosto 2001:
Digital Control Systems Group (DCS).

149
ANEXO A
ESTIMACION DE PARAMETROS

Los siguientes datos corresponden a las pruebas realizadas a un Motor de Inducción 3


HP SIEMENS, Diseño NEMA B, cuatro polos, 230 V, 60 Hz, conectado en Ym con
corriente nominal de 7.8 A.

Prueba Corriente continua Prueba sin carga Prueba Rotor Bloqueado


Vcc = 13 V VL = 220 V VL = 20 V
Icc = 7.8 A Is = 3.42 A Is = 7.8 A
PT = 1200 W PT = 210 W
Sn = 0.0333 f = 60 Hz f = 15 Hz

ηn = 1740 ηm = 1790

De la prueba de Corriente Continua

Vcc 13V
Rs = = = 0.8333Ω
2 I cc 2(7.8 A)

De la prueba sin carga

Vvac / 3
Z vac = = 37.42Ω = x1+xm
I vac
Pcs = 3I s2 R s = 3(3.42 ) (0.8333 ) = 29.24W
2

Prot = PT ,vac − Pcs,vac = 1200W − 29.24W = 1170.8W

De datos Prueba Rotor Bloqueado:


V 20
Z rb = rb = = 1.4804 Ω
I rb 7.8
⎡ Pent ⎤ −1 ⎡ 210 ⎤
θ nl = cos −1 ⎢ ⎥ = cos ⎢ ⎥ = 0.6806rad = 39
o

⎣ 3 ⋅ vT I L ⎦ ⎣ 3 ⋅ 20(7.8) ⎦

R rb = Z rb cos θ = 1.4804 ⋅ cos 39° = 1.1506 Ω = R s + R r

R r = 1.1506 Ω − 0.8333 Ω = 0.3173 Ω

X rb' ,15 Hz = Z rb ⋅ sen θ = 0.9316 Ω


X rb,15 Hz = (0.9316) = 3.7262Ω
f 60
X eq ,60 Hz =
f rb 15
De datos para diseño NEMA CLASE B,

X ls = 0.4 X eq = 0.4(3.7262) = 1.4905Ω

X lr' = 0.6 X eq = 0.6(3.7262 ) = 2.2357 Ω

Considerando:
V / 3
Z vac = vac = 37.42Ω = X s + X m
I vac
X m = 37.42 − 1.4905 = 35.9295Ω

De catalogo SIEMENS
Tnom = 9.1 Pies*libra = 9.1*1.36 N*m
SEMISTACK - IGBT
Circuit Irms (A) Vac / Vdcmax Types
B6CI 30 440 / 750 SEMITEACH - IGBT

Symbol Conditions Values Units


Irms no overload 30 A
IGBT - 4x SKM 50 GB 123D
VCES 1200 V
VCE(SAT) Ic= 50A, VGE= 15V, chip level; Tj= 25(125)°C 2,7 (3,5) V
VGES ±20 V
IC Tcase= 25 (80)°C 50 (40) A
SEMITRANS Stack1) ICM Tcase= 25 (80)°C; tp= 1ms 100 (80) A
Rectifier - 1x SKD 51/14
Vin(max) without filter 3 x 480 V
Three-phase rectifier + with filter 3 x 380 V
DC Capacitor bank - Electrolytic 2x 2200µF/400V
inverter with brake Ceqvl total equivalent capacitance 1100 / 800 µF / V
chopper VDCmax max. DC voltage applied to the capacitor bank 750 V
Driver - 4x SKHI 22
SEMITEACH - IGBT Power
0 / 15 V
supply
SKM 50 GB 123D
Current
SKD 51 consump max; per driver 16 mA
P3/250F tion
Thermal
Normally Open type (NO) 71 °C
trip
Features
• Multi-function IGBT converter
• Transparent enclosure to allow
visualization of every part
• IP2x protection to minimize
safety hazards
• External banana/BNC type
connectors for all devices
• Integrated drive unit offering
short-circuit detection/cut-off,
power supply failure detection,
interlock of IGBTs + galvanic
isolation of the user
• Forced-air cooled heatsink

Typical Applications
• Education: One stack can
simulate almost all existing
industrial applications:
- 3-phase inverter+brake chopper
- Buck or boost converter
- Single phase inverter
- Single or 3-phase rectifier

1) Photo non-contractual

General dimensions

This technical information specifies semiconductor devices but promises no


characteristics. No warranty or guarantee expressed or implied is made regarding
B6CI + E1CIKF delivery, performance or suitability.

2 Power Electronic Systems – SEMISTACK 08-06-2005 © by SEMIKRON


d FZPNTQTNL^TYX] d 8NNP]]Y\b A
~^OW x{xWW ARKP^ ^cZO ~XM\OWOX^KV @Y^K\c OXMYNO\ +9Y_ZVTXR |u<{hxv}
yxxt zxxt {~xt }xxt yxxxt yxz|t {xxx
@O]YV_^SYXq?w@r

sxvy}
x| sxvx}
q=Y^ SXNSMK^ON ^cZO S] K`KSVKLVO ^Y M_]^YWSdOr

xy{
n o
B B
>_^Z_^ ZRK]O >_^Z_^ LO^aOOX 1 KXN 2 ZRK]O - g qB/yMcMVO YP 1 ZRK]Or
EBG8EK ;A9B:;E,>A9E;@;AG8? GKC;- | +
} zv* ] / xv}WW <Kbv
3g]
BY^OW ZYVO Y_^Z_^ {;Yam;YKN M_\\OX^-<Kbv {xW1t @O]SN_KV `YV^KQO-<Kbv xv|D43

3YX^\YV Y_^Z_^
+EROX MYWLSXO ^RO MY_ZVSXQ ^Y OXMYNO\ ]RKP^t SP ^RO\O S]
igflh likjil
{8SQRm;YKN M_\\OX^-<Kbv yxW1t >_^Z_^ `YV^KQO-<SXv q?YaO\ `YV^KQOuyv}rD43 n/ xvz}WW <Kbv
zy LSQ OMMOX^\SMS^c Y\ LOXN LO^aOOX \Y^K^SXQ OXMYNO\ ]RKP^
=?= YZOX MYVVOM^Y\ Y_^Z_^ ;YKN M_\\OX^-<Kbv {xW1t @O]SN_KV `YV^KQO-<Kbv xv|D43 o/ }i<Kbv m KXN WK^O ]RKP^t S^ aSVV WKUO ^RO VSPO McMVO YP OXMYNO\
DYV^KQO Y_^Z_^ ;YKN M_\\OX^-<Kbv yxW1t @O]SN_KV `YV^KQO-<Kbv xv|D43 qCXS^-WWr KXN MY_ZVSXQ ]RY\^OXv

5VOM^\SMKV ]ZOMSPSMK^SYX
@ 8 A H 8 ? ;SXO N\S`O\ Y_^Z_^
{;Ya m ;YKN M_\\OX^-<Kbv zxW1t @O]SN_KV `YV^KQO-<Kbv xv}D43
{8SQR m ;YKN M_\\OX^-<Kbv uzxW1t >_^Z_^ `YV^KQO-<SXv zv}D43
+9^ W_]^ XY^ _]O VK\QO\ ]RKP^ VYKNSXQ ^RKX ]ZOMSPSMK^SYXv

d B_^Z_^ aL`PQY\W

@O]ZYX]O ^SWO
BY^OW ZYVO Y_^Z_^ <Kbv yp

q@O]Ow6KVVr
=?= YZOX MYVVOM^Y\ Y_^Z_^ <Kbv yp {<OK]_\SXQ MYXNS^SYX +GY^PW CYVP Y_^Z_^1ACA YZPX NYVVPN^Y\ +?TXP O\T`P\ Y_^Z_^
<Kbv ypq}D43->_^Z_^ \O]S]^KXMO +zxqrt m 3KLVO VOXQ^R-zWt
DYV^KQO Y_^Z_^ <Kbv zpqyzuz|D43->_^Z_^ \O]S]^KXMO |v*Uqr ~ ]SXU/<Kbv zxW1
Y_^Z_^1IYV^LRP Y_^Z_^ B
B 8
;SXO N\S`O\ Y_^Z_^ <Kbv xv}p 1 ZRK]O
B B ; B B
<Kbv @O]ZYX]O P\O[_OXMc y+xU8d g g
z | 8 z |
<Kbv ~xW1qNS]MYXXOM^SYX YP ^RO VYKNrt 1 ZRK]O
3_\\OX^ MYX]_WZ^SYX 8 ;
;SXO N\S`O\ Y_^Z_^-<Kbv }xW1qNS]MYXXOM^SYX YP ^RO VYKNr B B
1 ZRK]O B B g
~X]_VK^SYX \O]S]^KXMO <SXv yxx<qqK^ }xxD43r ; g | +
| + 8
4SOVOM^\SM ]^\OXQ^R *}xD13 }xw~x8d PY\ y WSX_^Oq2O^aOOX KVV ^O\WSXKV] KXN MK]Or 2 ZRK]O
;
3YXXOM^SYX 3KLVO Y_^QYSXQ ^cZO 8
2 ZRK]O 2 ZRK]O 8
A^K\^SXQ ^Y\[_O <Kbv zxQP{MWqxvxxz={Wr ; ;

]ZOMSPSMK^SYX
<OMRKXSMKV
<YWOX^ YP SXO\^SK <Kbv zxQ{MWyqzhyx u~
UQfWyr 8 H ZRK]O 8
BRKXU cY_ `O\c W_MR PY\ ]OVOM^SXQ 1_^YXSM] Z\YN_M^]v H ZRK]O
;
BgB
z ; B gB
ARKP^ VYKNSXQ @KNSKV - <Kbv zUQPt BR\_]^ - <Kbv yUQP z
<Y\ bY_\ ]LQP^b. ZVPL]P \PLO ^SP QYVVYaTXR MPQY\P _]TXR0 4O`SK^SYX YP ]RKP^ ZY]S^SYX @KNSKV - <Kbv xvyWWt BR\_]^ - <Kbv xvzWW 3E
H ZRK]O 8
;
<Kbv KVVYaKLVO \O`YV_^SYX ,AY^P3- }xxx\ZW k@Y^K^SXQ NS\OM^SYX-3E 3E
d 9L_^TYX QY\ bY_\ ]LQP^b DSL\K^SYX yv}WW KWZVS^_NO K^ P\O[_OXMc YP yxe}}8d SX OKMR YP Ft Gt H NS\OM^SYX] PY\ z RY_\]
k?VOK]O UOOZ ^RO]O SX]^\_M^SYX] KXN \O`SOa ^ROW LOPY\O _]SXQ ^RS] _XS^v ARYMU <Kbv }x7 d 9L_^TYX QY\ _]TXR
1WLSOX^ ^OWZO\K^_\O uyx e *xjqK^ XYXuP\OOdSXQ ]^K^_]rt A^Y\KQO - uz} e +}j yv 9X]^KVVK^SYX
k?VOK]O YL]O\`O ^RO MK_^SYX] ^RK^ PYVVYa.
1WLSOX^ R_WSNS^c {} e +}o@8t A^Y\KQO - {}e,xo@8 rBRS] _XS^ S] MYX]S]^ON YP Z\OMS]SYX MYWZYXOX^]v BRO\OPY\O ZVOK]O ^\OK^ ^RS] Z\YN_M^
+ JL\XTXR AO\SY_] SXT_\c WKc \O]_V^ SP SX]^\_M^SYX] K\O XY^ PYVVYaONv ?\Y^OM^SYX ~?}xq~53 ]ZOMSPSMK^SYXr MK\OP_VVcv
?\YN_M^ WKc LO NKWKQONt Y\ SXT_\c WKc \O]_V^ SP SX]^\_M^SYX] K\O sEROX cY_ SX]^KVV ^RS] _XS^t SP OMMOX^\SMS^c KXN NOPVOM^SYX KXQVO K\O VK\QO\t S^ WKc ]RY\^OX
+ 9L_^TYX XY^ PYVVYaONv
3KLVO ,AY^P4- }?t x}WWt ;OXQ^R-zWt ARSOVN MKLVOq;SXO N\S`O\ Y_^Z_^-+?t x}WWr
^RO VSPO McMVO YP ^RS] _XS^v
1MMO]]Y\c x|WW MY_ZVSXQ
zv 5X`S\YXWOX^
kBRO PYVVYaSXQ S] KX ObZVKXK^SYX YP ^RO ]cWLYV] _]ON SX ^RO YZO\K^SYX WKX_KVv EOSQR^ 1ZZ\Ybv +xQ
?VOK]O NY XY^ _]O ^RS] _XS^ aS^R LOVYa OX`S\YXWOX^t S^ \O]_V^] SX WKVP_XM^SYXv
+ -9XT_\c Y\ NKXQO\ WKc YMM_\ _XNO\ ]ZOMSKV MYXNS^SYX]v 1ZZ\Y`KV q5bMOZ^ ;SXO N\S`O\ Y_^Z_^r r?VKMO aRO\O ^RS] _XS^ Y\ MYWZYXOX^ WKc LO NKWKQON Lc ]^\YXQ `SL\K^SYX Y\ SWZKM^v
k,AY^P3-<Kbv KVVYaKLVO \O`YV_^SYX <Kbv \O]ZYX]O \O`YV_^SYX I<Kbv \O]ZYX]O \O`YV_^SYXq\ZWr/
<Kbv \O]ZYX]O P\O[_OXMc
h~x ]OMJ
s?VKMO aRO\O ^RO\O K\O VY^] YP PVKWWKLVO Y\ MY\\Y]S`O QK]O]v
+ JL\XTXR ?VOK]O ]OVOM^ ^RO \O]YV_^SYX ^Y WKUO VYaO\ WKbv \O`YV_^SYX ^RKX WKbv KVVYaKLVO \O`YV_^SYXv
@O]YV_^SYX t?VKMO aRO\O ]^\YXQ WKQXO^ PSOVN Y\ OVOM^\SM XYS]O K\O YMM_\\ONv
k,AY^P4-BRO MKLVO VOXQ^R S] MRKXQOKLVOv q>Z^SYXr u?VKMO aRO\O S] LOcYXN YP \K^SXQ ^OWZO\K^_\O Y\ R_WSNS^cv
30 JSPX _]P ^ST] _XT^ QY\ NYX^\YVVTXR STRSVb LQQPN^T`P P[_TZWPX^ ^Y S_WLX v?VKMO aRO\O ]^\YXQ KMSN] Y\ KVUKVS XOK\ Lcv
Y\ Z\YZP\^TP]0 ,@POTNLV TX]^\_WPX^. IPSTNVP]. G\LTX. 8T\ZVLXP. d :TWPX]TYX] {yv{ {v*
w?VKMO aRO\O ^RO\O S] ^RO NS\OM^ \Kc YP ^RO ]_Xv
NYWM_]^TYX LZZL\L^_]. PX^P\^LTXWPX^ P^N0-. T^ \P[_T\P] TX]^LVVTXR L QLTV {v DSL\K^SYX KXN 9WZKM^
|u<{ B1?q4?}r yyvz { sx z} rEROX ^RO ]^\YXQ SWZKM^ VYKN] YX ^RS] _XS^t ^RO O\\Y\ Z_V]O WKc YMM_\ K] SP ^RO ]VS^ S]
]LQP^b OP`TNP0 yzxi
uxvy

9^ WKc MK_]O ]O\SY_] R_WKX SXT_\c Y\ K PS\Ot Z\YZO\^cv \O`YV`SXQv


}?t }WWt ;OXQ^R-zW sBRO\OPY\O ZVOK]O PSb L\KMUO^ PS\WVc aROX WY_X^ ^RS] _XS^t LOMK_]O \Y^K\c OXMYNO\ aS^R
9L_^TYX RSQR \O]YV_^SYX MKX LO OK]SVc KPPOM^ON Lc SWZKM^v

uxvy
+

uxvxx}
x| uxvxz
xy} sx
x{x
|v ES\O MYXXOM^SYX
30 :Y XY^ O\YZ aL^P\ Y\ YTV YX ^ST] _XT^0 r4Y XY^ N\Ka ^RO aS\O aS^R Y`O\ {x= ]^\OXQ^R KP^O\ aS\SXQv
9^ WKc MK_]O NKWKQO Y\ WS]MYX^\YV N_O ^Y WKVP_XM^SYXv ?v3v4 zzv} sEROX K RSQR `YV^KQO Y\ ZYaO\ VSXO ZK]] XOK\ Lc ^RO OXMYNO\ MKLVOt LO ]_\O ^Y aS\O
qCXS^-WWr ^RO OXMYNO\ MKLVO SX ]OZK\K^ON MYXN_S^ ^Y Z\O`OX^ WKVP_XM^SYXv
40 CVPL]P YM]P\`P `YV^LRP \L^TXR0
9^ WKc ]RY\^OX ^RO VSPO McMVO Y\ NKWKQO ^Y ^RS] _XS^v tEROX Ob^OXN ^RO MKLVOt ZVOK]O _]O S^ KP^O\ MROMUSXQ ^RO MKLVO KXN \O]ZYX]O P\O[_OXMc
50 CVPL]P NSPNU ^SP ZYVL\T^b YQ ZYaP\ LXO a\YXR aT\TXR0 d 9YX^\YV Y_^Z_^ OTLR\LW N_O ^Y SXM\OWOX^ YP \O]SN_KV `YV^KQO Y\ NS]^Y\^SYX YP aK`OPY\W MKX LO OK]SVc YMM_\\ONv
q?\OPO\KLVO ]RY\^O]^ NS]^KXMO PY\ YZO\K^SXQr
9^ WKc \O]_V^ SX NKWKQO ^Y ^RS] _XS^v BY^OW ZYVO Y_^Z_^ =?= YZOX MYVVOM^Y\ Y_^Z_^ DYV^KQO Y_^Z_^ ;SXO N\S`O\ Y_^Z_^
uARSOVN aS\O W_]^ LO MYXXOM^ON ^Y 6v7 ^O\WSXKVv
60 :Y XY^ ]SY\^ NT\N_T^ ^SP VYLO0 @Y^K\c OXMYNO\ ;YKN @Y^K\c OXMYNO\ ;YKN @Y^K\c OXMYNO\ ;YKN @Y^K\c OXMYNO\ ;YKN
MS\M_S^ MYXXOM^SYX MS\M_S^ MYXXOM^SYX MS\M_S^ MYXXOM^SYX MS\M_S^ MYXXOM^SYX }v 9X]^KVVK^SYX OX`S\YXWOX^
9^ WKc \O]_V^ SX NKWKQO ^Y ^RS] _XS^v sD sD sD sD
r9^ ]RKVV LO _]ON SXNYY\ s1V^S^_NO <Kbv zxxxW
ky
t?YVV_^SYX 4OQ\OO z u9X]^KVVK^SYX 3K^OQY\c*}
ASXU M_\\OX^- AY_\MO M_\\OX^-
d B_^VTXP <Kbv {xW1 ;YKN ;YKN @ <Kbv yxW1 c>^ WLb NL_]P WLVQ_XN^TYX TQ LMY`P TX]^\_N^TYX] L\P XY^ QYVVYaPO0
<KSX MS\M_S^

<KSX MS\M_S^

<KSX MS\M_S^

<KSX MS\M_S^
s s 1 ZRK]O
>_^Z_^ s s
BRS] _XS^ S] `O\c _]OP_V ^Y MYX^\YV VOXQ^Rt KXQVO KXN ZY]S^SYX Lc MYX`O\^SXQ \O`YV_^SYX d @LTX Z\YO_N^]
>_^Z_^ >_^Z_^ Y_^Z_^
u
`KV_O YP ]RKP^ SX^Y X_WLO\ YP Z_V]O K] KX YZ^SMKV SXM\OWOX^KV 5XMYNO\v kz u ASXU M_\\OX^-
u u 1 ZRK]O
AY_\MO M_\\OX^-
;YKN <Kbv {xW1 ;YKN Y_^Z_^ l 3>C=B5@
<Kbv yxW1
xD l B9<5@
d B\OP\TXR TXQY\WL^TYX xD
xD xD
l B5<?5@1BC@5 3>=B@>;;5@
;52F 6 3246 4
l ?1=5; <5B5@
5 46 | BRO Y_^Z_^ MS\M_S^ YP 1t 2t H ZRK]O K\O ^RO ]KWOvq;SXO N\S`O\ Y_^Z_^ S] 1t 1t 2t 2t Ht Hr
l B138>w;9=5 A?554w?C;A5 <5B5@
| BY^OW ZYVO Y_^Z_^ MKX LO _]ON PY\ =?= YZXO MYVVOM^Y\ ^cZOqkyr Y\ `YV^KQO Y_^Z_^ ^cZOqkzrv ,02102-3.0/
ARKP^ ?_V]Ow l 49A?;1G C=9B
AO\SO] >_^Z_^ ZRK]O >_^Z_^ ?YaO\ ]_ZZVc S^^Z711aaa0L_^YXTN]0NYW
NSKWO^O\ y@O`YV_^SYX
d 9YXXPN^TYX] l
l
?@>F9<9BG A5=A>@
?8>B>5;53B@93 A5=A>@ FL^T]QTLMVP CL\^XP\ <Y\ <LN^Y\b 8_^YWL^TYX
z-1t 2 y-BY^OW ZYVO Y_^Z_^ +GY^PW CYVP Y_^Z_^1ACA YZPX NYVVPN^Y\ +?TXP O\T`P\ Y_^Z_^
4SKWO^O\ yxxt zxxt {~xt {-1t 2t H z-=?= YZOX MYVVOM^Y\ } -}D43 g}o 2\YaX-sDq}D43 g}or
l 6925@ >?B93 A5=A>@ l=;8:DH8EG;EF -
Y_^Z_^1IYV^LRP Y_^Z_^ l ?@5AAC@5 A5=A>@ |yu}t GYXQNKXQu\St CXQ]KXQuO_Zt GKXQ]KXu]St 7cOYXQXKWt
x{xWWt x|WW }xxt yxxxt Y_^Z_^ z|-yzuz|D43 2VKMU->CB 1
|-1t 1t 2t 2 2\YaX-sDq}D43t yzuz|D43 g}or ~z~u+|*t :Y\OK
]RKP^ ^cZO {-DYV^KQO Y_^Z_^ 2VKMU->CB 1 @ON->CB 1 l @>B1@G 5=3>45@
yxz|t {xxx ~-1t 1t 2t 2t Ht H g}o l>AG;EA8G>BA8? F8?;F -
;-;SXO N\S`O\ Y_^Z_^ ERS^O->CB 2 ERS^O->CB 2 l A5=A>@ 3>=B@>;;5@ 2VNQv |xz {\N 6Vvt 2_MROYX BOMRXY ?K\Ut y,{t GKUNKOuNYXQt
>\KXQO->CB H 7\Kc->CB 2 l ?>E5@ 3>=B@>;;5@ EYXWSuQ_t 2_MROYXu]St 7cOYXQQSuNYt |zxu*{|t :Y\OK
kA^KXNK\N-1t 2t H kBRO ZYaO\ YP ;SXO N\S`O\ 2V_O-7=4qxDr >\KXQO->CB H B5;-+zu{zu{z,ux*zz w 61F-+zu{zu{z,ux*z+
S] YXVc PY\ }D43 GOVVYa->CB H
l AB5??9=7 <>B>@ p 4@9D5@ l;/WLTV - ]KVO]0K_^YXSM]vXO^
kA^KXNK\N-5{xA|u ?C;A5 u{uzuz|qyzuz|D43r ARSOVN-6{7
k2YNc YP 5XMYNO\ S] ]RSOVNONv 2V_O-7=4qxDr p 3>=B@>;;5@
cGSP LMY`P ]ZPNTQTNL^TYX L\P NSLXRPLMVP aT^SY_^ XY^TNP LXb^TWP0 k=YXu_]SXQ aS\O] W_]^ LO SX]_VK^ONv ARSOVN-6{7 l ;1A5@ <1@:9=7 AGAB5<q3>zt =N-G17r 5?u:5ux,uxxzx1
ULN2001A-ULN2002A
® ULN2003A-ULN2004A

SEVEN DARLINGTON ARRAYS

.. SEVEN DARLINGTONS PER PACKAGE


OUTPUT CURRENT 500mA PER DRIVER

.. (600mA PEAK)
OUTPUT VOLTAGE 50V
INTEGRATED SUPPRESSION DIODES FOR

. INDUCTIVE LOADS
OUTPUTS CAN BE PARALLELED FOR

.. HIGHER CURRENT
TTL/CMOS/PMOS/DTL COMPATIBLE INPUTS
INPUTS PINNED OPPOSITE OUTPUTS TO
DIP16

ORDERING NUMBERS: ULN2001A/2A/3A/4A


SIMPLIFY LAYOUT

SO16

ORDERING NUMBERS: ULN2001D/2D/3D/4D


DESCRIPTION
The ULN2001A, ULN2002A, ULN2003 and
ULN2004A are high voltage, high current darlington
arrays each containing seven open collector dar- PIN CONNECTION
lington pairs with common emitters. Each channel
rated at 500mA and can withstand peak currents of
600mA. Suppression diodes are included for induc-
tive load driving and the inputs are pinned opposite
the outputs to simplify board layout.
The four versions interface to all common logic fami-
lies :
ULN2001A General Purpose, DTL, TTL, PMOS,
CMOS
ULN2002A 14-25V PMOS
ULN2003A 5V TTL, CMOS
ULN2004A 6–15V CMOS, PMOS

These versatile devices are useful for driving a wide


range of loads including solenoids, relays DC mo-
tors, LED displays filament lamps, thermal print-
heads and high power buffers.
The ULN2001A/2002A/2003A and 2004A are sup-
plied in 16 pin plastic DIP packages with a copper
leadframe to reduce thermal resistance. They are
available also in small outline package (SO-16) as
ULN2001D/2002D/2003D/2004D.

February 2002 1/8


ULN2001A - ULN2002A - ULN2003A - ULN2004A

SCHEMATIC DIAGRAM

Series ULN-2001A Series ULN-2002A


(each driver) (each driver)

Series ULN-2003A Series ULN-2004A


(each driver) (each driver)

ABSOLUTE MAXIMUM RATINGS


Symbol Parameter Value Unit
Vo Output Voltage 50 V
Vin Input Voltage (for ULN2002A/D - 2003A/D - 2004A/D) 30 V
Ic Continuous Collector Current 500 mA
Ib Continuous Base Current 25 mA
Tamb Operating Ambient Temperature Range – 20 to 85 °C
Tstg Storage Temperature Range – 55 to 150 °C
Tj Junction Temperature 150 °C

THERMAL DATA
Symbol Parameter DIP16 SO16 Unit
Rth j-amb Thermal Resistance Junction-ambient Max. 70 120 °C/W

2/8
ULN2001A - ULN2002A - ULN2003A - ULN2004A

ELECTRICAL CHARACTERISTICS (Tamb = 25oC unless otherwise specified)


Symbol Parameter Test Conditions Min. Typ. Max. Unit Fig.
ICEX Output Leakage Current VCE = 50V 50 µA 1a
Tamb = 70°C, VCE = 50V 100 µA 1a
Tamb = 70°C
for ULN2002A
VCE = 50V, Vi = 6V 500 µA 1b
for ULN2004A
VCE = 50V, Vi = 1V 500 µA 1b
VCE(sat) Collector-emitter Saturation IC = 100mA, IB = 250µA 0.9 1.1 V 2
Voltage IC = 200 mA, IB = 350µA 1.1 1.3 V 2
IC = 350mA, IB = 500µA 1.3 1.6 V 2
Ii(on) Input Current for ULN2002A, Vi = 17V 0.82 1.25 mA 3
for ULN2003A, Vi = 3.85V 0.93 1.35 mA 3
for ULN2004A, Vi = 5V 0.35 0.5 mA 3
Vi = 12V 1 1.45 mA 3
Ii(off) Input Current Tamb = 70°C, IC = 500µA 50 65 µA 4
Vi(on) Input Voltage VCE = 2V V 5
for ULN2002A
IC = 300mA 13
for ULN2003A
IC = 200mA 2.4
IC = 250mA 2.7
IC = 300mA 3
for ULN2004A
IC = 125mA 5
IC = 200mA 6
IC = 275mA 7
IC = 350mA 8
hFE DC Forward Current Gain for ULN2001A
VCE = 2V, IC = 350mA 1000 2
Ci Input Capacitance 15 25 pF
tPLH Turn-on Delay Time 0.5 Vi to 0.5 Vo 0.25 1 µs
tPHL Turn-off Delay Time 0.5 Vi to 0.5 Vo 0.25 1 µs
IR Clamp Diode Leakage Current VR = 50V 50 µA 6
Tamb = 70°C, VR = 50V 100 µA 6
VF Clamp Diode Forward Voltage IF = 350mA 1.7 2 V 7

3/8
ULN2001A - ULN2002A - ULN2003A - ULN2004A

TEST CIRCUITS
Figure 1a. Figure 1b.

Figure 2. Figure 3.

Figure 4. Figure 5.

Figure 6. Figure 7.

4/8
ULN2001A - ULN2002A - ULN2003A - ULN2004A

Figure 8: Collector Current versus Input Current Figure 9: Collector Current versus Saturation
Voltage

Ic D96IN454
Ic D96IN453

(mA) (mA)
Tj=25˚C
500 500
Tj=25˚C
400 400
Max Max
300 300

200 200
TYPICAL TYPICAL
100 100

0 0
0 100 200 300 400 500 Ib(µA) 0.0 0.5 1.0 1.5 Vce(sat)

Figure 10: Peak Collector Current versus Duty Figure 11: Peak Collector Current versus Duty
Cycle Cycle

Ic peak D96IN451 Ic peak D96IN452A


(mA) (mA)
NUMBER OF ACTIVE OUTPUT Tamb=70˚C
7 6 5 4 3 2 (SO16)
500 500

400 400

2
300 300
Tamb=70˚C 3
(DIP16) 5
200 200
7

100 100
NUMBER OF ACTIVE OUTPUT

0 0
0 20 40 60 80 DC 0 20 40 60 80 100 DC

5/8
ULN2001A - ULN2002A - ULN2003A - ULN2004A

mm inch
DIM. OUTLINE AND
MIN. TYP. MAX. MIN. TYP. MAX. MECHANICAL DATA

a1 0.51 0.020

B 0.77 1.65 0.030 0.065

b 0.5 0.020

b1 0.25 0.010

D 20 0.787

E 8.5 0.335

e 2.54 0.100

e3 17.78 0.700

F 7.1 0.280

I 5.1 0.201

L 3.3 0.130
DIP16
Z 1.27 0.050

6/8
ULN2001A - ULN2002A - ULN2003A - ULN2004A

mm inch
DIM. OUTLINE AND
MIN. TYP. MAX. MIN. TYP. MAX. MECHANICAL DATA
A 1.75 0.069

a1 0.1 0.25 0.004 0.009

a2 1.6 0.063

b 0.35 0.46 0.014 0.018

b1 0.19 0.25 0.007 0.010

C 0.5 0.020

c1 45˚ (typ.)

D (1) 9.8 10 0.386 0.394

E 5.8 6.2 0.228 0.244

e 1.27 0.050

e3 8.89 0.350

F (1) 3.8 4 0.150 0.157

G 4.6 5.3 0.181 0.209

L 0.4 1.27 0.016 0.050

M 0.62 0.024
SO16 Narrow
S 8˚(max.)

(1) D and F do not include mold flash or protrusions. Mold flash or potrusions shall not exceed 0.15mm (.006inch).

7/8
ULN2001A - ULN2002A - ULN2003A - ULN2004A

Information furnished is believed to be accurate and reliable. However, STMicroelectronics assumes no responsibility for the conse-
quences of use of such information nor for any infringement of patents or other rights of third parties which may result from its use. No
license is granted by implication or otherwise under any patent or patent rights of STMicroelectronics. Specification mentioned in this
publication are subject to change without notice. This publication supersedes and replaces all information previously supplied. STMi-
croelectronics products are not authorized for use as critical components in life support devices or systems without express written
approval of STMicroelectronics.
The ST logo is a registered trademark of STMicroelectronics
© 2002 STMicroelectronics – Printed in Italy – All Rights Reserved
STMicroelectronics GROUP OF COMPANIES
Australia - Brazil - Canada - China - Finland - France - Germany - Hong Kong - India - Israel - Italy - Japan - Malaysia - Malta - Morocco -
Singapore - Spain - Sweden - Switzerland - United Kingdom - United States.
http://www.st.com

8/8
This datasheet has been download from:

www.datasheetcatalog.com

Datasheets for electronics components.


1N4728 - 1N4764 SILICON ZENER DIODES
Z1110 - Z1200 DO - 41
VZ : 3.3 - 200 Volts
PD : 1 Watt
1.00 (25.4)
FEATURES : 0.107 (2.7)
MIN.
* Complete voltage range 3.3 to 200 Volts 0.080 (2.0)
* High peak reverse power dissipation
* High reliability 0.205 (5.2)
* Low leakage current 0.166 (4.2)

MECHANICAL DATA 1.00 (25.4)


* Case : DO-41 Molded plastic 0.034 (0.86)
MIN.
0.028 (0.71)
* Epoxy : UL94V-O rate flame retardant
* Lead : Axial lead solderable per MIL-STD-202,
method 208 guaranteed
* Polarity : Color band denotes cathode end
* Mounting position : Any Dimensions in inches and ( millimeters )
* Weight : 0.339 gram

MAXIMUM RATINGS
Rating at 25 °C ambient temperature unless otherw ise specified

Rating Symbol Value Unit


DC Power Dissipation at TL = 50 °C (Note1) PD 1.0 Watt
Maximum Forward Voltage at IF = 200 mA VF 1.2 Volts
Maximum Thermal Resistance Junction to Ambient Air (Note2) RθJA 170 K/W
Junction Temperature Range TJ - 55 to + 175 °C
Storage Temperature Range Ts - 55 to + 175 °C

Note :
(1) TL = Lead temperature at 3/8 " (9.5mm) from body
(2) Valid provided that leads are kept at ambient temperature at a distance of 10 mm from case.

Fig. 1 POWER TEMPERATURE DERATING CURVE


PD, MAXIMUM DISSIPATION

1.25
L = 3/8" (9.5mm)
1.00
(WATTS)

0.75

0.50

0.25

0 25 50 75 100 125 150 175


TL, LEAD TEMPERATURE (°C)

UPDATE : SEPTEMBER 9, 2000


ELECTRICAL CHARACTERISTICS
Rating at = 25 ° C ambient temperature unless otherwise specified
Nominal Zener Maximum Zener Maximum Reverse Maximum DC
TYPE Voltage Impedance Leakage Current Zener Current
VZ @ IZT IZT ZZT @ IZT ZZK @ IZK IZK IR @ VR IZM
(V) (mA) (Ω) (Ω) (mA) (µ A) (V) (mA)

1N4728 3.3 76.0 10 400 1.0 100 1.0 276


1N4729 3.6 69.0 10 400 1.0 100 1.0 252
1N4730 3.9 64.0 9.0 400 1.0 50 1.0 234
1N4731 4.3 58.0 9.0 400 1.0 10 1.0 217
1N4732 4.7 53.0 8.0 500 1.0 10 1.0 193
1N4733 5.1 49.0 7.0 550 1.0 10 1.0 178
1N4734 5.6 45.0 5.0 600 1.0 10 2.0 162
1N4735 6.2 41.0 2.0 700 1.0 10 3.0 146
1N4736 6.8 37.0 3.5 700 1.0 50 4.0 133
1N4737 7.5 34.0 4.0 700 0.5 50 5.0 121
1N4738 8.2 31.0 4.5 700 0.5 50 6.0 110
1N4739 9.1 28.0 5.0 700 0.5 50 7.0 100
1N4740 10 25.0 7.0 700 0.25 50 7.6 91
1N4741 11 23.0 8.0 700 0.25 50 8.4 83
1N4742 12 21.0 9.0 700 0.25 5.0 9.1 76
1N4743 13 19.0 10 700 0.25 5.0 9.9 69
1N4744 15 17.0 14 700 0.25 5.0 11.4 61
1N4745 16 15.5 16 700 0.25 5.0 12.2 57
1N4746 18 14.0 20 750 0.25 5.0 13.7 50
1N4747 20 12.5 22 750 0.25 5.0 15.2 45
1N4748 22 11.5 23 750 0.25 5.0 16.7 41
1N4749 24 10.5 25 750 0.25 5.0 18.2 38
1N4750 27 9.5 35 750 0.25 5.0 20.6 34
1N4751 30 8.5 40 1000 0.25 5.0 22.8 30
1N4752 33 7.5 45 1000 0.25 5.0 25.1 27
1N4753 36 7.0 50 1000 0.25 5.0 27.4 25
1N4754 39 6.5 60 1000 0.25 5.0 29.7 23
1N4755 43 6.0 70 1500 0.25 5.0 32.7 22
1N4756 47 5.5 80 1500 0.25 5.0 35.8 19
1N4757 51 5.0 95 1500 0.25 5.0 38.8 18
1N4758 56 4.5 110 2000 0.25 5.0 42.6 16
1N4759 62 4.0 125 2000 0.25 5.0 47.1 14
1N4760 68 3.7 150 2000 0.25 5.0 51.7 13
1N4761 75 3.3 175 2000 0.25 5.0 56.0 12
1N4762 82 3.0 200 3000 0.25 5.0 62.2 11
1N4763 91 2.8 250 3000 0.25 5.0 69.2 10
1N4764 100 2.5 350 3000 0.25 5.0 76.0 9.0
Z1110 110 2.3 450 4000 0.25 5.0 83.6 8.6
Z1120 120 2.0 550 4500 0.25 5.0 91.2 7.8
Z1130 130 1.9 700 5000 0.25 5.0 98.8 7.0
Z1150 150 1.7 1000 6000 0.25 5.0 114.0 6.4
Z1160 160 1.6 1100 6500 0.25 5.0 121.6 5.8
Z1180 180 1.4 1200 7000 0.25 5.0 136.8 5.2
Z1200 200 1.2 1500 8000 0.25 5.0 152.0 4.7

Note :
( 1 ) The type number listed have a standard tolerance on the nominal zener voltage of ± 10%.
A standard tolerance of ± 5% on individual units is also available and is indicated by suffixing
"A" to the standard type number.
This datasheet has been download from:

www.datasheetcatalog.com

Datasheets for electronics components.


Interactive Catalog Replaces Catalog Pages
Honeywell Sensing and Control has replaced
the PDF product catalog with the new
Interactive Catalog. The Interactive Catalog is
a power search tool that makes it easier to find
product information. It includes more
installation, application, and technical
Click this icon to try the new
information than ever before.
Interactive Catalog.

Sensing and Control


Honeywell Inc.
11 West Spring Street
Freeport, Illinois 61032
Solid State Sensors CS Series
Linear Current Sensors

FEATURES LINEAR CURRENT SENSORS


1 Linear output MICRO SWITCH CS series linear current
1 AC or DC current sensing sensors incorporate our 91SS12-2 and
1 Through-hole design SS94A1 linear output Hall effect transduc-
1 Fast response time er (LOHETTM). The sensing element is as-
1 Output voltage isolation from input sembled in a printed circuit board mount-
1 Minimum energy dissipation able housing. This housing is available in
1 Maximum current limited only by four configuration as shown in mounting
conductor size dimension figures 1, 1a, 2 and 2a. Normal
1 Adjustable performance and built-in mounting is with 0.375 inch long 4-40
temperature compensation assures screw and square nut (not provided) in-
reliable operation serted in the housing or a 6-20 self-tap-
1 Accurate, low cost sensing ping screw. The combination of the sen-
1 Operating temperature range – 25 to sor, flux collector, and housing compris-
85°C es the holder assembly. These sensors
1 Housing: PET polyester are ratiometric.

ORDER GUIDE — BOTTOM MOUNT WITH 9SS SENSOR, SOURCE OUTPUT

Sensed Sensitivity
Mtg. Supply Supply Current Offset mV&N* Offset Response
Catalog Dim. Volt. Current (Amps Volt. At 12 VDC Shift Time
Listing Fig. (Volts DC) (mA Max.) Peak) (Volts±10%) Nominal ± TOL (%/°C) (µ Sec.)
CSLA1CD 1 8 to 16 19 57 Vcc/2 49.6 5.8 ±.05 3
CSLA1CE 1 8 to 16 19 75 Vcc/2 39.4 4.4 ±.05 3
CSLA1DE 2 8 to 16 19 75 Vcc/2 39.1 4.8 ±.05 3
CSLA1CF 1 8 to 16 19 100 Vcc/2 29.7 2.7 ±.05 3
CSLA1DG 2 8 to 16 19 120 Vcc/2 24.6 2.1 ±.05 3
CSLA1CH 1 8 to 16 19 150 Vcc/2 19.6 1.8 ±.05 3
CSLA1DJ 2 8 to 16 19 225 Vcc/2 13.2 1.2 ±.05 3
CSLA1EJ 1a 8 to 16 19 225 Vcc/2 13.2 1.5 ±.05 3
CSLA1DK 2 8 to 16 19 325 Vcc/2 9.1 1.7 ±.05 3
CSLA1EK 1a 8 to 16 19 325 Vcc/2 9.4 1.3 ±.05 3
CSLA1EL 1a 8 to 16 19 625 Vcc/2 5.6 1.3 ±.05 3

BOTTOM MOUNT WITH SS9 SENSOR, SINK/SOURCE OUTPUT

Sensed Sensitivity
Mtg. Supply Supply Current Offset mV&N* Offset Response
Catalog Dim. Volt. Current (Amps Volt. At 8 VDC Shift Time
Listing Fig. (Volts DC) (mA Max.) Peak) (Volts±2%) Nominal ± TOL (%/°C) (µ Sec.)
CSLA2CD 1 6 to 12 20 72 Vcc/2 32.7 3.0 ±.02 3
CSLA2CE 1 6 to 12 20 92 Vcc/2 26.1 2.1 ±.02 3
CSLA2DE 2 6 to 12 20 92 Vcc/2 25.6 2.2 ±.02 3
CSLA2CF 1 6 to 12 20 125 Vcc/2 19.6 1.3 ±.02 3
CSLA2DG 2 6 to 12 20 150 Vcc/2 16.2 1.1 ±.02 3
CSLA2DJ 2 6 to 12 20 225 Vcc/2 8.7 0.6 ±.020 3
CSLA2DH 2 6 to 12 20 235 Vcc/2 9.8 1.1 ±.0125 3
CSLA2EJ 1a 6 to 12 20 310 Vcc/2 7.6 0.7 ±.0125 3
CSLA2DK 2 6 to 12 20 400 Vcc/2 5.8 0.5 ±.0125 3
CSLA2EL 1a 6 to 12 20 550 Vcc/2 4.3 0.4 ±.0125 3
CSLA2EM 1a 6 to 12 20 765 Vcc/2 3.1 0.3 ±.007 3
CSLA2EN 1a 6 to 12 20 950 Vcc/2 2.3 0.2 ±.007 3
NOTE: When monitoring purely AC current with zero DC component, a capacitor can be inserted in series with the output of the current sensor. The capacitor will block
out the effect of the temperature variation of the offset voltage which increases the accuracy of the device.
* N = number of turns
PDFINFO p a g e - 0 5 8

58 Honeywell Sensing and Control 1 1-800-537-6945 USA 1 F1-815-235-6847 International 1 1-800-737-3360 Canada
Solid State Sensors CS Series
Linear Current Sensors
SIDE MOUNT WITH 9SS SENSOR, SOURCE OUTPUT
Sensitivity
Sensed
Mtg. Supply Supply Current Offset mV&N* Offset Response
At 12 VDC
Catalog Dim. Volt. Current (Amps Volt. Shift Time
Listing Fig. (Volts DC) (mA Max.) Peak) (Volts±10%) Nominal ± TOL (%/°C) (µ Sec.)
CSLA1GD 2a 8 to 16 19 57 Vcc/2 49.6 5.8 ±.05 3
CSLA1GE 2a 8 to 16 19 75 Vcc/2 39.4 4.4 ±.05 3
CSLA1GF 2a 8 to 16 19 100 Vcc/2 29.7 2.7 ±.05 3

SIDE MOUNT WITH SS9 SENSOR, SINK/SOURCE OUTPUT

Sensitivity
Sensed
mV&N*
Mtg. Supply Supply Current Offset Offset Response
At 8 VDC
Catalog Dim. Volt. Current (Amps Volt. Shift Time
Listing Fig. (Volts DC) (mA Max.) Peak) (Volts±2%) Nominal ± TOL (%/°C) (µ Sec.)
CSLA2GD 2a 6 to 12 20 72 Vcc/2 32.7 3.0 ±.02 8
CSLA2GE 2a 6 to 12 20 92 Vcc/2 26.1 2.1 ±.02 8
CSLA2GF 2a 6 to 12 20 125 Vcc/2 19.6 1.3 ±.02 8
CSLA2GG 2a 6 to 12 20 150 Vcc/2 12.7 0.6 ±.02 8
NOTE: When monitoring purely AC current with zero DC component, a capacitor can be inserted in series with the output of the current sensor. The capacitor will block
out the effect of the temperature variation of the offset voltage which increases the accuracy of the device.
*N = number of turns.

MOUNTING DIMENSIONS (for reference only)

Figure 1 Figure 2

PDFINFO p a g e - 0 5 9

Current
Figure 1a Figure 2a

* Application consideration: The out-


put is clamped at the high end. Clamp-
ing voltage may be as low as 9VDC.
The output will not exceed the clamp-
ing voltage regardless of field strength
or supply voltage.

Honeywell Sensing and Control 1 1-800-537-6945 USA 1 F1-815-235-6847 International 1 1-800-737-3360 Canada 59
APENDICE I
% DATOS DEL MOTOR DE INDUCCION DE 220V, 3 HP, 60 Hz, 4 POLOS
HP = 3;
Vnom = 220;
fb = 60;
wb = 2*pi*fb;
Rs = 0.8333;
Xls = 1.4905;
Lls=Xls/wb;
Rr = 0.3173*sqrt(3);
Xlr = 2.2357;
Llr = Xlr/wb;
Xm = 35.9295;
Lm=Xm/wb;
J = 0.033;
P = 4;
Xaq = 1/(1/Xm+1/Xls+1/Xlr);
Xad = Xaq;
Xss = Xm + Xls;
Xrr = Xm + Xlr;
Ls = Xss/wb;
Tmue = 1/1000; fs=1000;
%DATOS DEL INVERSOR PWM VECTORIAL
Tsw = 1/1000;
X1 = [1 0 0];
X2 = [1 1 0];
X3 = [0 1 0];
X4 = [0 1 1];
X5 = [0 0 1];
X6 = [1 0 1];
Y1 = [1 1 0];
Y2 = [0 1 0];
Y3 = [0 1 1];
Y4 = [0 0 1];
Y5 = [1 0 1];
Y6 = [1 0 0];
Vi = Vnom*sqrt(2);
Vmax = Vi/sqrt(3);
M = [2 -1 -1; -1 2 -1; -1 -1 2];
M = Vi/3*M;
% Par y deslizamiento nominales
Tenom = 9.1*1.36;
Snom = 0.033;
Isnom = 7.8;
B = 0.025;
Vd = 220;
Vtri=5;
Lr = Lm + Llr;
Tr = Lr/Rr;
X = (Snom*wb*Lr/Rr)^2;
Ids = sqrt(2*Isnom^2/(1 + X));
Iqs = sqrt(2*Isnom^2 - Ids^2);
ImRn = 0.9*3.42;
IqeCmd = Iqs;
Ldre = Lm*Ids

Das könnte Ihnen auch gefallen