Sie sind auf Seite 1von 6

UNIVERSIDAD NACIONAL ABIERTA Y A DISTANCIA

ESCUELA DE CIENCIAS BSICAS

Practica de Laboratorio Sistemas Digitales Secuenciales Propuesta de diseo de Robot Carrito


Carlos Eduardo Durn Arcila Cedurana@unadvirtual.edu.co CC 79852111 Ing. Carlos Emel Ruiz Grupo 90178_20

BOGOT, Octubre de 2012

Objetivo General
Aplicando los conceptos vistos en la unidad 1; Sistemas Digitales Secuenciales, disear y construir un circuito combinacional para la realizacin de un carro; el cual al chocar contra un obstculo debe retroceder y cambiar de direccin hasta encontrar un nuevo obstculo.

En la primera prctica realizamos un diagrama de bloques, en donde se plantean los diferentes bloques que compondrn el robot carrito.

Resumen
Se realizan tres prcticas de laboratorio, en el cual se disea a travs de compuertas lgicas un carrito aplicando los conocimientos adquiridos en la Unidad 1.

1. Introduccin
El presente trabajo est basado en el proyecto de un pequeo carro robot, utilizando los conceptos de Flip- Flop; y de lgica combinacional; este se debe disear y construir utilizando dos motores DC.

Palabras Claves:
PRACTICA # 2. Compuerta: dispositivo electrnico el cual es la expresin fsica de un operador booleano en la lgica de conmutacin. Cada puerta lgica consiste en una red de dispositivos interruptores que cumple las condiciones booleanas para el operador particular. Son esencialmente circuito de conmutacin integrados en un chip. Circuito: es una red elctrica (interconexin de dos o ms componentes, tales como resistencias, inductores, condensadores, fuentes, interruptores y semiconductores) que contiene al menos una trayectoria cerrada. SIMULACIN EN PROTEUS: En la segunda prctica se disea en el simulador proteus, el funcionamiento de cada uno de los componentes que conformarn el circuito, entendiendo primero cada una de sus partes, simulando estados lgicos, y posteriormente se muestra el funcionamiento total del sistema.. Objetivos: Conocer y aprender a manejar las diferentes compuertas y sistemas combinacionales. Aplicar la Temtica bsica de registros de Sistemas Digitales Secuenciales para la realizacin de un carro que detecte choques y recuerde la ltima direccin de giro. Disear el circuito para el funcionamiento del carro, teniendo en cuenta el uso de Flip Flop como registro bsico de una memoria integrndolo a una lgica combinacional. Utilizar el programa proteus, para simular el circuito analizando cada una de sus partes.

Resistencia: La resistencia elctrica de un objeto es una medida de su oposicin al paso de corriente. Descubierta por George Ohm en 1827, la resistencia elctrica tiene un parecido conceptual a la friccin en la fsica mecnica. La unidad de la resistencia en el Sistema Internacional de Unidades es el ohmio (). Voltaje: La tensin elctrica o diferencia de potencial (tambin denominada voltaje) es una magnitud fsica que cuantifica la diferencia de potencial elctrico entre dos puntos.

2. Desarrollo
PRACTICA # 1. DIAGRAMA DE BLOQUES:

En la siguiente imagen se muestra el control con compuertas lgicas compuertas. DESCRIPCION DEL PROBLEMA Usando circuitera combinacional y los circuitos secuenciales estudiados en la primera unidad, disear un pequeo robot, impulsado por dos motores DC, uno en cada rueda trasera del vehculo. El carro contar con dos microswitches en su parte frontal que le servirn para detectar el impacto con un obstculo.

El diseo debe ser tal, que el vehculo inicie su marcha hacia adelante. Una vez el vehculo impacte, debe recordar este choque con el fin de que pueda retroceder girando en un sentido diferente luego de cada impacto.

Una vez que uno de los sensores (microstwiches) haya detectado el impacto del vehculo, ste deber retroceder con un tiempo de reversa de 2 segundos, este tiempo debe ser controlado usando un temporizador 555 en modo monoestable, una vez transcurrido este perodo de tiempo, el vehculo deber iniciar nuevamente su marcha hacia adelante. El carro deber tener la propiedad de desplazarse en cualquier direccin es de derecha o izquierda. Deber ser alimentado con una batera de 9 voltios para tener una alimentacin de corriente continua al circuito.

SOLUCIN AL PROBLEMA PLANTEADO Aplicando lo estudiado en la Unidad 1, del mdulo; para darle solucin al problema utilizaremos circuitos combinacionales.

Circuito implementado de temporizador El circuito 555 un dispositivo muy bajo costo utilizado para trabajo electrnicos debido a su alta nivel de efectividad y

veracidad, est constituido por una combinacin de comparadores lineales, flip-flops (biestables digitales), transistor de descarga y excitador de salida, estableciendo tenciones de diferencia en 2/3v para el primer comparador C1 y en 1/3V para el segundo comparador C2, 555 est conformado por veinte tres transistores, dos diodos y diecisis resistencias encapsulados en cilicio . Hay un circuito integrado que se compone de dos temporizadores en una misma unidad, el 556, de 14 pines y el poco conocido 558 que integra cuatro 555 y tiene 16 pines. Se trabajara con el C.I 555 por las razones mencionadas y se configurara para un tiempo de tres segundos, el tiempo de retroceso del carrito al estrellarse con un obstculo Para un capacitor de 100microfaradios, y un tiempo de tres segundos T= 1.1 * R1*C1 R= 18.1K, en este caso la resistencia comercial que se ajusta a nuestro diseo es 20k PUENTE H Simulacin en proteus El puente en H es el que nos permite que un motor DC gire en ambas direcciones con su avance y retroceso utilizados muy directamente en la robtica, en nuestro proyecto se utilizaran la estructura del puente H de la siguiente manera.

El puente H se construye con 4 interruptores (mecnicos o mediante transistores). Cuando los interruptores S1 y S4 (ver primera figura) estn cerrados (y S2 y S3 abiertos) se aplica una tensin positiva en el motor, hacindolo girar en un sentido. Abriendo los interruptores S1 y S4 (y cerrando S2 y S3), el voltaje se invierte, permitiendo el giro en sentido inverso del motor. Como estamos trabajando lo interruptores S1 Y S2 nunca los podemos cerrar al mismo tiempo debido a su cortocircuitara afectara la fuente de tensin.

Simulacin proteus.

C Link para ver el video:


http://youtu.be/nvbQ1sEDisk

Conclusiones: En la prctica podemos apresiar la importancia del simulador proteus el cual nos permite disear el circuito. Los puentes H estn disponibles como circuitos integrados, pero tambin pueden construirse a partir de componentes discretos En la prctica realizada se observa el funcionamiento conjunto de cada elemento que compone el circuito final. Por lo tanto el objetivo propuesto inicialmente se logra con un montaje en baquela universal con una lmina de aluminio y los switch ubicados en la parte frontal del montaje, como lo describe el diagrama de bloques. Estas dificultades se superaron despus de realizar la soldadura de los elementos y su posterior ensayo en el laboratorio. En el transcurso del montaje se presentaron varias dificultades desde la simulacin en proteus hasta el montaje en la baquela universal ya que en la simulacin no se toma en cuenta factores como el tamao de las ruedas, el peso total del carro, la carga de la batera con el uso constante, el rozamiento con el piso al momento de hacer el giro hacia atrs. Se reconoce la importancia del puente H en la robtica; un Puente en H es un circuito electrnico que permite a un motor elctrico DC girar en ambos sentidos, avance y retroceso. Son ampliamente usados en robtica y como convertidores de potencia.

En la siguiente tabla podemos encontrar las variables lgicas Entradas Micro Switch 1 S1 Micro Switch 2 S2 Salida Motor uno adelantado de M1 Motor dos atrs M2 Motor uno adelantado M1 Motor dos atrs M2

Tablas de verdad para el movimiento del carro S1 S2 M1 M2 M1 M2 0 0 1 1 0 0 1 0 0 0 1 1 0 1 0 0 1 1 1 1 0 0 1 1 1 0 1 0 0 0 0 1 1 0 0 0 1 1 1 0 0 0 1 0 0 1 0 0 0 1 0 1 0 0 1 1 0 1 0 0

Referencias Bibliogrficas Estructura en VHDL LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY proyecto1 IS PORT(switche1, switche2: IN BIT; C: OUT BIT; MotorDC1: OUT BIT_VECTOR (1 DOWNTO 0) ; MotorDC2: OUT BIT_VECTOR (1 DOWNTO 0)); END proyecto1; ARCHITECTURE Robot_SAVAGERY OF proyecto1 IS BEGIN Alldatasheet.com Modulo sistemas digitales secuenciales

Wikipedia.com http://www.sc.echu.es/sbweb/fisica

http://www.fisicanet.com.ar

Das könnte Ihnen auch gefallen