Sie sind auf Seite 1von 264

VIA TEHNIKA KOLA

SUBOTICA
Obrada signala
( verzija 2)
rukopis
Dr. DRY PTER
1. Uvod
1
1.1 ta je obrada signala 2
1.2 Gde se danas koristi DSP tehnologija 2
1.3 Zato je bolja digitalna obrada od analogne 3
1.4 Mogunosti digitalne tehnike 5
1.5 Digitalni raunari 6
1.6 Tipian DSP sistem i njegov razvoj 7
1.7 Predmet knjige 8
2. Analogni signali i analiza mrea 9
2.1 Razlaganje periodinih signala 9
2.2 Raunanje srednje vrednosti 14
2.3 Mree periodinih struja 17
2.4 Snaga i trenutna snaga 18
2.5 Klasifikacija snaga 19
2.6 Fourier-ova transformacija 22
2.7 irina spektra 24
3. Analogni signali i sinteza mrea 26
3.1 Podela filtara 26
3.2 Zadavanje specifikacija filtara 28
3.3 Priblini postupci 31
3.3.1 Uporeivanje aproksimacija 37
3.3.2 Frekventne transformacije 39
3.4 Sinteza aktivnih RC kola 41
3.4.1 Realizacija aktivnih RC kola 42
3.5 PLL-Fazno povratna sprega 47
3.5.1 Direktan uslov sinteze 48
3.5.2 Posredno generisanje sa PLL strujnim krugom 50
3.5.3 Primena PLL strujnih krugova 51
4. Sluajni procesi i signali 53
4.1 Sluajne promenljive 53
4.2 Sluajni procesi 61
4.2.1 Definicija sluajnih procesa 61
4.2.2 Oznaavanja 62
4.3 Statistike prvog i drugog reda 62
4.4 Kordinatne transformacije 63
4.5 Momenti i autokorelacija 65
4.6 Vremenski proseci 67
4.7 Stacionarni procesi 67
4.8 Spektralni domen 69
5. Analogno/digitalna i digitalno/analogna pretvaranja 73
5.1 Uzorkovanje analognih signala 74
5.2 Teorema uzorkovanja 77
5.3 Kvantizacija i kodovanje 83
5.4 Diskretna obrada neprekidnih signala 84
5.5 Interpolacija i decimacija diskretnih signala 88
5.6 Primena menjanja uzorkovane brzine 94
6. Diskretni signali i sistemi 101
6.1 U vremenu diskretni signali 102
6.2 Osnovne operacije i karakteristini vremenski redovi 103
6.2.1 Osnovne diskretne operacije 103
6.2.2 Karakteristini vremenski redovi 104
6.2.3 Klasifikacija diskretno vremenskih signala 106
6.3 Opis diskretno vremenskih sistema 112
6.3.1 Vremenski invarijantni (nepromenjeni) sistemi 112
6.3.2 Linearni sistemi 112
6.3.3 Kauzalnost 113
6.3.4 Sistemi sa pamenjem 113
6.3.5 Stabilnost 114
6.4 Linearno vremenski invarijantni sistemi 114
6.4.1 Nekoliko osobina konvolucionog zbira 116
6.5 Klasifikacija LTI sistema 116
6.6 Diskretni vremenski redovi i sistemi u frekventnom domenu 117
6.7 Opis vremenskih redova sa Fourierovom transformacijom 118
6.8 Diskretna Fourierova transformacija konane duine 119
6.9 Cirkularna konvolucija 121
6.10 Simetrine osobine diskretne Fourierove transformacije 124
6.11 Teoreme Fourierove transformacije 126
6.12 Karakteristini Fourier transformacioni parovi 127
6.13 FFT-brzi Fourier-ov transformacioni algoritam 127
6.13.1 Aritmetika sloenosti raunanja DFT-a 128
6.13.2 FFT 129
6.14 Prozorske funkcije 130
6.14.1 Pravougaona prozorska funkcija 133
6.14.2 Druge puno upotrebljavane prozorske funkcije 134
6.14.3 Parametarske prozorske funkcije 139
6.15 Auto- i unakrsna korelacija 143
7. Z-transformacija i njena upotreba u analizi LTI sistemima 150
7.1 Z-transformacija 150
7.1.1 z-transformacija kauzalnih i nekauzalnih redova 152
7.2 Najpoznatiji z-transformacioni parovi 158
7.3 Osobine z-transformacije 159
7.4 Inverzna z-transformacija 160
7.4.1 Tabelarni postupak 161
7.4.2 Razlaganje na parcijalne razlomke 162
7.4.3 Razvoj u red 164
7.5 Uniliteralna z-transformacija 165
7.5.1 Linearne diferencijalne jednaine sa konstantnim koeficijentima 166
7.6 Analiza LTI sistema sa upotrebom z-transformacije 167
7.7 Stabilnost diskretnih sistema 168
7.7.1 Jury test stabilnosti 169
7.7.2 Schr-Chon test stabilnosti 170
7.7.3 Stabilnost funkcija drugog reda 172
8. Struktura diskretno vremenskih sistema 176
8.1 Oznake 177
8.2 Osnovne IIR realizacije 179
8.2.1 Direktna realizacija 179
8.2.2 Transponovana forma 181
8.2.3 Kaskadna forma 183
8.2.4 Forme paralelne realizacije 185
8.3 Osnovni sklopovi za realizaciju FIR mrea 187
8.3.1 Forma direktne realizacije 187
8.3.2 Kaskadna realizacija FIR filtra 188
8.4 FIR realizacija sa linearnom fazom 188
9. Upotreba DFT-a 190
9.1 Fourier-ova analiza signala sa upotrebom DFT-a 191
9.2 Spektrar determistikih signala 193
9.3 DFT analiza sinusnih signala 194
9.3.1 Uticaj prozorisanja 195
9.4 Vremenski zavisna Fourier-ova transformacija 202
9.4.1 Uticaj prozorske funkcije 204
9.4.2 Analiza govornog signala 205
9.5 Blok konvolucija sa vremensko zavisnom Fourierovom transformacijom 205
9.6 Parametri vremensko diskretnih sluajnih procesa 206
9.7 Spektar stacionarnih signala: Periodogram 207
9.7.1 Welch postupak: Usrednjavanje modifikovanog periodograma 209
9.8 Filtriranje vremensko diskretnih sluajnih signala 213
10. Projektovanje FIR filtara 216
10.1 Osobine FIR sistema 217
10.2 Osobine impulsnog odziva funkcije sistema sa linearnom fazom 218
10.3 Poloaj nule u z-ravni FIR sistema sa linearnom fazom 220
10.4 Sinteza FIR filtra sa prozorskom funkcijom 221
10.4.1 Visokopropusni filtar 222
10.4.2 Filtar propusnik opsega 222
10.4.3 Filtar nepropusnik opsega 224
10.4.4 Uloga prozorskih funkcija u projektovanju filtara 225
10.4.5 Sinteza FIR filtra uz pomo Kaiser prozorske funkcije 226
10.5 Projektovanje FIR filtra na bazi frekventnog uzorkovanja 230
10.6 Sinteza diskretnog diferencijatora 236
10.7 Hilbertov transformator 241
11. Projektovanje IIR filtara 243
11.1 Projektovanje IIR filtra sa pribliavanjem derivacije 244
11.2 Projektovanje IIR filra upotrebei impulsnu invarijanciju 246
11.2.1 Poboljana impulsno invarijantna transformacija 249
11.3 Upotreba bilinearnog preslikavanja u projektovanju IIR filtra 250
11.3.1 Izoblienja bilinearne transformacije 252
11.4 Predistorzija i tipska transformacija 254
11.4.1 Zadavanje specifikacija i frekventna izoblienja 254
11.4.2 Tipska transformacija u analognom domenu 255
11.4.3.Preslikavanje u digitalnim domenu 255
11.4.4 Realizacija digitalnog filra 256
Literatura 263
Biografija 264
Uvod
Nauka o procesiranju signala se bavi prouavanjem signala. Procesiranje signala se moe
dogoditi u neprekidnom i diskretnom odnosno u digitalnom sistemu. Neprekidni sistemi za obradu
signala imali su veliku ulogu sve dok se digitalni sistemi nisu usavrili do tog stupnja, da nisu
postali pogodni za obradu signala u realnom vremenu. Signali se danas u veini sluaja obrauju u
digitalnom domenu, digitalnim procesorima. Sa neprekidnim vremenskim intervalom ovi digitalni
sistemi se povezivaju sa pretvaraima.
Usavravanje digitalne obrade signala u prvom redu se moe zahvaliti napretku raunske
tehnike. U prvom stupnju napretka se koristio samo za simulaciju analognih procesa, ali vrlo brzo
su doli do zakljuka, da digitalni raunar nije pogodan samo za simulaciju, ve da se mogu
realizovati u digitalnom domenu kompletni sistemi, sa kojima u potpunosti moemo obraditi
digitalne signale.
Ova knjiga je prvenstveno namenjena za studente viih kola sa profilom elektronike, ali je
mogu upotrebljavati i ininjeri koji su ve zavrili studije, prilikom korienja DSP-a. Analognom
obradom signala emo se baviti samo onoliko da student stekne osnovno znanje i da kasnije moe
da prati gradivo digitalne obrade signala. Autor se nije trudio na celinu, jer oblast obrade signala
danas na poetku treg milenijuma, u oblasti svake struke je uzeo zamah, i konsultovanje problema
je obimno.U ovom udbeniku autor je naao za zanimljivo, da obradi osnovne teme o obradi
signala.
Uvod
2
Cilj knjige je da pripremi italaca da sam realizuje konkretne hardware-ske i software-ske
realizacije, kao prvo
1. na nivou teorije,
2. kroz zanimljive zadatke,
3. simulacija rezultata kroz MATLAB i
4. na kraju, olakati upotrebu DSP-a korisniku.
U nastavku, prvo u vezi sa gradivom trudiemo se da stvorimo laku preglednost, da bi kasnije
lake bilo praenje celog gradiva, i jo emo se truditi pokazati sredstva sa kojoma emo ove
zadatke obavljati.
1.1. ta je obrada signala
Naa okolina je puna raznih uticaja, zvuk, svetlost itd. koje moemo osetiti naim ulima.
Na organizam ima pet ulnih organa, pet senzora, vid, sluh, miris, ukus i dodir. Tako za
oseaj zvuka koristimo ui. Oseaj oseamo preko nervnih sistema sa elektrinim znacima koje
prosleujemo mozgu. Kada ovi signali stignu u mozak, oni raspolau razliitim frekvencijama,
amplitudama koji stvaraju sliku o dejstvu zvuka. Ovako moemo utvrditi tip zvuka (da li je to
muzika, razgovor ili buka od aviona) kao i njegov pravac. Pored toga imamo jedan snaan raunar
na raspolaganju, a to je mozak. Nije teko prekopirati u velikim crtama ovaj sklop, ali je teko,
odnosno nemogue napraviti sistem koji e delovati kao ljudski mozak. Ljudski mozak obrauje
pojave preko nervnih sistema i pretvara u elektrine signale. Ove neprekidne signale uglavnom
nazivamo ANALOGNIM signalima. Na osnovu ovoga na mozak moemo tretirati kao analogni
raunar sa velikim kapacitetom. Tehniku kada analogne signale obraujemo sa analognim
elementima nazivamo analogno procesiranje signala.
Mi znamo da projektujemo analogni raunar, ali njegov kapacitet i fleksibilnost zaostaje za
mozgom. Digitalni raunari kao PC mnogo je jednostavniji sistem i moemo postii velike rezultate
u numerikoj obradi podataka, ali imaju ograniene mogunosti, nisu dovoljno brzi za obradu
analognih signala. Analogne signale moemo obraditi sa digitalnim elementima, kao prvo mora se
pretvoriti u digitalni signal. Pretvaranje se vri Analogno/Digitalnim pretvaraem skraeno AD.
Onaj proces kada signale obraujemo u digitalnom domenu nazivamo digitalno procesiranje
signala a engleski Digital Signal Processing odnosno skraeno DSP. Danas ve konkretno za ovaj
zadatak postoje konstruisani procesori, to su procesori za digitalnu obradu signala odnosno skraeno
DSP.
1.2 Gde se danas koristi DSP tehnologija?
Odgovor bi bio laki kada bi pitanje bilo gde se ne koristi?. Danas u savremenoj tehnici
nema takve oblasti gde se ne koristi bar jedan DSP procesor, odnosno jedan ili vie ureaja sa
kojima se moe izvriti DSP raunanje (FPGA, CPLD ili neke druge bre ali ne za DSP predvieni
procesori). Elementi za DSP danas nisu skupi, naroito ako uzmemo u obzir u kakvom su odnosu
cena procesora i cena gotovog proizvoda. Proizvoai DSP procesora su uglavnom proizvoai koji
se bave proizvodnjom procesora i analogno elektronskih ipova. Najvei proizvoai su: Analog
Devices, Hitachi, Motorola, Texas Instruments, Zilog i Zoran.
Uvod

U profesionalnim sredstvima se koristi kao na primer: instrument za merenje, sloenim
upravljakim sistemima, profesionalna komunikativna sredstva, telefonske centrale, video telefoni,
mobilni telefoni, za nadzor bolesnika, virtuelne naoare, roboti, za nadzor aviona, kod radara,
kodera itd.
Osim profesionalnih sredstava koristi se jo i za regulisanje rada elektromotora. U
proizvodnji automobila, gde danas u jednom savremenom automobilu postoji vie DSP procesora,
koji posebno nadgledaju koenje, reguliu rad motora itd. Takoe DSP ureaji su ugraeni u
sisteme za obradu fotografija, modeme, hard diskove, u igrice, 3D grafikim ureajima u raunaru
tzv. turbo kartice, HI-FI ureajima, multimedijalnim sistemima, muzikim ureajima kao na primer
sintisajzer, elektrina gitara itd. Ovo nabrajanje bi se moglo nastaviti. Evo par primera upotrebe
DSP-a.
Muzikim instrumentima uglavnom se koristi jedan konkretni DSP procesor tzv. muziki
procesor on predstavlja srce muzikih instrumenata. Danas u modernim sintisajzerima osnovni
muziki zvuci, realizacija razliitih muzikih uticaja nezamislivo je bez jednog ozbiljnog DSP
procesora. Za popravku akustike sale, uzimaju se u obzir razliiti postupci kao distribuirani
muziki uticaj, reverberacija itd. Sve ovo je neizvodljivo bez upotrebe DSP procesora.
U okviru komercijalnih PC raunara sve vea potreba se ukazuje za upotrebom DSP
tehnologije. Modemi, hubovi, ruteri itd. danas ve za internet raunarske mree nezamisliv je
njihov rad bez DSP tehnologije. Kako raste potreba za internetom, kako koliinski tako i kvalitetno
pa se sve vea i vea potreba ukazuje za upotrebom DSP procesora sa velikom snagom. Poto iz
dana u dan raste informaciona brzina, ali ostaju stari prenosni mediji (slabiji lokalni kablovi,
analogni mreni pojaavai, analogne centrale, ometane radio mree itd.), pored novih, zahtev za
upotrebom DSP procesora je sve vei. Zadatak DSP procesor je da eliminie: greke u prilagoenju
kabla, linearne i nelinearne deformacije signala i da eliminie um iz zadatog signala i na osnovu
toga da na odgovarajui nain tumai dobijeni znak. CD, Hard disk, Flopi disk, sa porastom
kapaciteta memorije i minimizacijom gabarita, odnosno sa porastom brzine itanje/pisanje stvoreni
su suprotni uslovi, koje se ne mogu pratiti klasinim digitalnim ureajima, zato su se stvorili uslovi
za upravljanje sa DSP-om. Sa poveanjem gustine podataka, sa poveanjem brzine, uloga DSP
procesora na ovom polju je sve vea. Komercijalizacija tehnologije 3D grafike, sa pojavom PC
turbo kartice, u velikoj meri je porasla upotreba DSP procesora. Danas ve su modernije igrice
simulacije letenja, i one ve zahtevaju komunikaciju bar sa jednim DSP procesorom. Reenja za
realizaciju 3D grafike mogu biti video procesori ali su cene jo izuzetno velike za obinog
korisnika. Igrice izvan raunara sadre sve vie procesora, pa je DSP procesor za generisanje zvuka,
za prepoznavanje zvuka i za pokrete u igrici.
Mobilni telefon unutar mree ima vie zadataka. Nekoliko od njih: kodovanje, dekodovanje,
potiskivanje uma, prilagoavanje signala, izbor kanala....Za ovakve sloene zadatke, sa malim
zahtevom za memorijom sa niskom upotrebom energije jedino je pogodan DSP procesor.
Za pokretanje elektromotora je izraena posebna porodica DSP-a (pr. Analog Devices,
Motorolla ili Texas Instruments porodice). Ove porodice procesora se bave optereivanjem
elektromotora i sa upravljakim algoritmom, ona u realnom vremenu upravlja sa MOSFET-om ili
IGBT tranzistorom. Danas ve i u standardne invertore se ugrauju DSP procesori. DSP procesor
moe da obrauje kontrolne signale oko MHz-nih struja, odreene reakcije da sprovede i da
generie odreene estokanalne PWM signale za trofazno pokretanje.
Ovo nabrajanje bi se moglo nastaviti, ali to nije cilj ove knjige. Sa ovim nabrajanjem dali
smo sliku, da DSP ima sve veu i veu ulogu u profesionalnom i svakodnevnom ivotu.
Uvod
4
1.3 . Zato je bolja digitalna obrada signala od analogne?
Gledano sa take korienja i sa razvojem dananja digitalna tehnika mnogo je naprednija
od analogne tehnike. Ove prednosti moemo svrstati u sledee kategorije: programibilnost,
ponavljanje, stabilnost, laka realizacija adaptivnih algoritama, linearna karakteristika faze, NOTCH
filtar itd. Prednost digitalne tehnike je da se mogu uvati i da se mogu sabijati podaci. Digitalna
tehnika ima reenja za sve probleme, ali to nije istina, ponegde analogna tehnika ima bolja reenja
od digitalne.
Razmotrimo neke dobre osobine digitalnih sistema. Programibilnost, znai da isti hardware
moemo programirati u irem krugu, za reenja problema digitalnih signala. Ovako na primer ako
menjamo parametre jednog filtra onda to vrimo programiranjem, dok kod analogne tehnike sa
promenom elemenata, isto tako ako elimo da promenimo stepen ili tip filtra to kod DSP procesora
radimo programiranjem ipa, dok kod analognog filtra treba ponovo da se projektuje ploa, jer se
stari ne moe promeniti za odgovarajui problem. Uticaj temperature kod analogne elemenata je
najkritiniji inilac, dovoljno je pogledati samo temperaturne karakteristike. Svaki ureaj koji sadri
otpore, operacione pojaavae sa promenom temperature menja se prenosna karakteristika. Dok kod
digitalnih strujnih krugova ako se radna temperatura nalazi u granicama deklarisanih od
proizvoaa onda sa promenom temperature operacije se ne menjaju, rezultat operacije ostaje isti.
Fleksibilizacija ako isti hardware hoemo koristiti za druge zadatke u veini sluaja nije ni potrebno
ponovno programiranje. Ako je na sistem fleksibilan jednostavno aktiviramo neki drugi
memorijski domen ili fiziki promenimo unapred programiran memorijski ip, ili sa spoljnjim
prekidaima prekopamo na drugi memorijski domen, i isti taj memorijski ip sada se moe koristiti
za drugi zadatak. Starenje naroito su osetljivi analogni ureaji, i unutar toga kondenzatori, jer sa
vremenom materijal dielektrine izolacije umori se i samim tim se promeni elektrina osobina
unutar strujnog kruga. Ovu injenicu trebamo uzeti u obzir prilikom projektovanja.
Tolerancija, parametarska razlika elementa ili sistema. Vano je uzeti u obzir prilikom
projektovanja, ali isto tako i prilikom izrade. Zbog toga projektant uglavnom ispie toleranciju
elemenata prilikom izrade. Tolerancija otpornika je uglavnom 5% skuplji su 2%, mogu biti i 1% a i
manje. Tolerancija tipinih kondezatora je 20%, a moe i vie. Kod analognih sistema pripadaju
podaci koje sadre osetljivost sistema i toleranciju parametara.
Sistemi koji su napravljeni od analognih elemenata, njihovo ponaanje ne znamo tano
odrediti. Nakon zavretka ureaja ako je potrebno mora se kalibrisati. Proizvoa smatra za uspenu
proizvodnju predfiltriranje elemenata. Vana su i merenja nakon zavretka procesa proizvodnje.
Preko ovih merenja moge se izvriti korekcije u pripremi sastavnih elemenata, ili u planu
dokumentacije proizvoda.
Osetljivost i granicu tolerancije trebamo uzeti u obzir prilikom raunanja analognih strujnih
krugova. Preko ovoga se moe izbei uticaj promene parametara sastavnih elemenata. Analiza
osetljivosti i toleranciona analiza je sloen proces. Ako uzmemo u obzir promenu parametara
sastavnih elemenata onda postaje sloeniji strujni krug i primorava projektanta da prihvati i ne
poeljne kompromise. Projektovanjem digitalnih ili DSP sistema mogu se izbei ovi problemi. Ali
digitalne ili DSP procesore moemo projektovati kao dopunjavajue strujne krugove, da bi dosad
projektovan dobro radio i kontrolisao velike analogne i mehanike sisteme, sa vremenom da
koriguje parametre. (ilustracija se moe videti na slici 1.1).
Uvod

a) Sistem pogreno radi b) Korigovan sistem
Slika 1.1. Poboljanje stare pogreno funkcionirajue analogne elektronike sa DSP-om
Kao to smo videli ako stvaramo analogni sistem i ako ponovimo to stvaranje i uporedimo
merene parametre oba stvorenog sistema, trudei se odabiranjem istih sastavnih elementa, mereni
rezultati e se dosta razlikovati. Ako na istom analognom elektronskom sistemu merimo vie puta
isti parametar moemo uoiti velike razlike u merenim rezultatima. U suprotnost analognim
sistemima, delovi digitalnih sistema se ne kvare i moemo sastaviti vie hiljada sistema, a mereni
rezultati kod svih sistema treba da se slau.
1.4. Mogunosti digitalne tehnike
Imamo posebne zahteve u procesu realizacije, koji se mogu realizovati samo digitalnom
tehnikom. Od ovih specifinih sistema pomenuemo nekoliko, na primer. filtar sa linearnom fazom,
kod ovih filtara, faza spektralne komponente signala u istoj meri se menja prilikom prolaska kroz
filtar. Ovakvi filtri su FIR filtri. NOTCHfiltre moemo projektovati sa DSP-om. Ovi filtri
proputaju jedan veoma tanak opseg frekvencije. Adaptivno aktivni sistem za potiskivnje um-a sa
napretkom tehnike sve vea joj je potreba. Svaki ureaj koji emituje zvuk u okolinu,
elektromagnetni talas, za oveka ili za neki sistem se javlja kao korisna pojava ili se javlja kao
tetan um. Potisak uma u govornom domenu ili u elektrinom domenu smatra se kao zelena tema.
Postoje i analogna reenja, ali mogunost promene karakteristike uma jako je mala, zbog toga
tehniki relevantno reenje moe doi u obzir tehnika sa DSP procesorom. Danas ve imamo
izraene algoritme i hardverska reenja pr. u kabini automobila u naslonu za glavu ugraen
mikrofon i sistemzvunika sa DSP-om, pilotskoj kabini u kacigi pilota ugraen sistem za
otklanjanje buke, u oba sluaja se koristi za otklanjanje buke motora, karoserije i brzinske buke.
Imamo gotova reenja za smanjivanje sakupljena buka u prenosnim linijama telefona, televizije. Za
kompresovane podatke bez gubitka velika je potreba u informatici. Sa kompresovanim podacima
moemo utedeti prostor u memoriji, a i kod prenosa signala potreban prenosni kapacitet se moe
smanjiti. Kompresovane podatke posle uvanja ili prenosa suprotnim algoritmom otpakujemo na
poetnu veliinu. Postoji i kompresija sa gubitkom ali onda jedan deo informacije se gubi, ovaj
postupak se koristi za kompresiju zvuka i slike.
Uvod
6
1.5. Digitalni raunari
Postavlja se pitanje ako postoji standardni PC, sa osnovnim procesorom zato nije mogue
realizovati sistem za digitalnu obradu signala u realnom vremenu sa ovim procesorima, zato
imamo potrebu za procesorom koji ima drugi karakter. Na ovo pitanje odgovoriemo veoma kratko.
Postoje dve osnovne strukture raunara, jedan je Neumann Jano i Harvard struktura.
Slika 1.2. Veza memorija i BUS-a kod a) Harvard i b) von Neumann procesora
Neumann Jano struktura raunara: ova struktura je postala standardna na polju
raunara. Karakteristika arhitekture je da program i polje informacije nisu odvojeni u memorijskom
prostoru, a ni unutar procesora u linijama prenosnih informacija (tzv. BUS). Ovakav ureaj je bio
pogodan za rukovanje sa novcem, za vremenski nezavisna raunanja. Ovaj raunarski ureaj nije
predstavljao problem kod klasine upotrebe sve dok se raunar nije koristio u realnom vremenu
(real time). Za ovaj zadatak nije pogodan, jer unutar bus-a odjednom se moe pojaviti samo jedan
podatak ili program, pa tako efektivnu brzinu raunara nije mogue poveati u eljenoj meri.
Harvard je danas tipina DSP arhitektura: Prvi raunar je Horward Aitken projektovao
na Harvardskom univerzitetu 1944-te (odavde potie ime). Arhitekturu Harvardskih raunara
karakterie, da poseduju posebni memorijski prostor i bus-eve za rukovanje sa programima i
poljem informacija. Ovaj ureaj je u prvoj fazi razvoja premaio mogunosti tehnologije, i zbog
toga su poeli da se razvijaju Neumann raunare. Tokom 1980-te sve vea potreba se pokazala za
obradu digitalnih podataka u realnom vremenu, i tada su ponovo doli do izraaja Harvard raunari.
Glavni razlog za to je, da rukovanje sa veliko brojnim programima i podacima u realnom vremanu
jedan bus Neumann-ovog raunara ne obezbeuje.
DSP procesori raspolau iskljuivo klasianom Harvard strukturom, ili izmenjenom Harvard
strukturom. Dananje DSP procesore karakterie jedno taktno izvrenje operacije. DSP procesor
izvrava tipine DSP operacije kao mnoenje, mnoenje sabiranje pomeranje informacije
(konvolucija) itd. se rauna kao jedna radnja. Najvie operacije DSP procesor izvrava u jednom
radnom ciklusu. Prilikom izvrenja radnje prisutni su i dugaki pipeling ciklusi. U osnovi DSP
procesore moemo podeliti na dve velike grupe fix tani i floating point DSP procesori. Da vam
doaramo mogunosti DSP procesora pogledajmo koliko mnoenja moe izvriti u roku od 1
sekunde pr. TMS320C540/50 procesor. Ako je frekvencija takta procesora 50MHz onda za jednu
sekundu moe izvriti 50 miliona mnoenja, koje jedan PC raunar ne moe ni pribliiti. Sloenije
zadatke izvravaju jo efektivnije nego PC procesor.
Uvod

1.6. Tipian DSP sistem i njegov razvoj
Jedan tipian DSP sistem je prikazan na slici 1.3. Danas ve odreeni DSP ip se ugrauje
za odreenu primenu, on poseduje dovoljno memorije, unutranji AD konvertor, unutranju logiku
jedinicu, da puno puta ininjer koji gradi sistem moe razmiljati o jedno ipnom reenju. Kod
osnovnih reenja treba jedan strujni krug koji pripema signal za obradu pr. AD konvertor,
schmittriger itd. i potreban je jedan ureaj koji obraen signal pretvara u signal koji je kompatibilan
korisniku, ovakvi su DA konvertori, pokretaki strujni krugovi itd. Na slici 1.3. moe se videti
jedan tipian ematski sklop koji sadri DSP ureaj i okolinu kao i programerski prikljuak gde su
izvrene odreene promene ve prilikom aplikacije.
Slika 1.3. Tipian sklop DSP sistema
Osnovni DSP hardware-ski sklop, koji se kasnije moe koristiti i u druge svrhe, ako ga
ukljuimo u dato okruenje gde ga elimo primeniti, sistem nee raditi sve dok ga ne programiramo.
Da bi mogli da ga programiramo trebamo se posluiti sa vie sredstava, kao to su:
-assembler, koji vri prevod mainskih instrukcija u onu formu koju i maina
razume.
-programi na viim programskim jezicima, mogu se prevesti na asemblere raznih
proizvoaa procesora.
Prilikom prevoda instrukcija sa viih programskih jezika oni mogu posedovati viak asemblerskih
instrukcija. Jo i danas vai tvrdnja, da bi iskoristili sve efektivne mogunosti DSP-a mora se
programirati samo u asembleru.
-emulatori: ponaaju se kao bilo koji DSP, i mogu stupiti u kontakt sa bilo kojim
prikljuenim hardware-om na njih. Ovo je izuzetno efektivno sredstvo za razvojnog ininjera jer
trenutno moe da prati dogaaje, pa ne treba da nagaa. Moderni emulatori nisu zamena za DSP
ureaje nego su pomona sredstva za kontrolu rada programa.
-simulator, to je software-ska varijanta DSP-a. Pre nego to napravimo hardware-ski
plan DSP-a, na bilo kojem raunaru moemo pustiti u rad program, i na taj nain simulirati
ponaanje DSP-a.
-razvojni ciklus, u prvom koraku trebamo odluiti da li se plan moe realizovati i
kako. Ovo postiemo:
Uvod
8
a.) primenom software-ske simulacije
b.) izborom hardware-a i
c.) iskustvom.
Ova tri faktora su u stalnom ciklusu, sve dok se projekat ne zavri. Ako promenimo neku
taku onda eventualno treba promenuti i ostale.
Kratko emo pregledati najpoznatije proizvoae DSP procesora bez zahteva celovitosti i to
po ABC-dnom redosledu: Analog Devices, Hitachi, Motorolla, Texas Instruments, Zilog.
Obrada specifinosti pojedinih procesora nije tema ove knjige, jer se DSP procesori jako
brzo se menjuju i iz dana u dan i gube aktuelnost, javlja se i problem osnove njihovih programiranja
u oblasti mikroprocesorskih problema.
1.7. Predmet knjige
U drugom poglavlju se bavimo analizom analognih sistema. Ovo poglavlje obezbeuje
minimalno znanje iz analiza mrea, da bi se moglo efektivno pratiti tema digitalne obrade signala.
Tree poglavlje obezbeuje znanje minimalnih mrenih sinteza. Kod sinteze digitalnih
filtara potreban je osnovni stepen znanja.
etvrto poglavlje zatvara red u pripremnim poglavljima. U ovom poglavlju na osnovnom
nivou uvodimo sluajne signale i pojmove oko njih.
U petom poglavlju obraujemo prelaz sa analognog na digitalni i obrnuto. Zanimamo se i sa
problemom uzorkovanja.
esto poglavlje je najobilnije poglavlje. Ovde definiemo osnovne pojmove diskretnih
signala i sa sistema. Svrstavamo diskretne signale i sisteme. Posebno se bavimo Fourier-ovom
analizom diskretnih signala. Na kraju poglavlja se bavimo korelacionom analizom diskretnih
signala.
Tema sedmog poglavlja je Z-transformacija. Posebno se bavimo problematikom stabilizacije
diskretnih signala.
Osmo poglavlje obrauje sklop diskretno vremenskih sistema. Pored osnovnih sklopova kao
to su direktna, kaskadna i paralelna izvedba, obrauju se i specifini sklopovi.
Upotrebom DFT-a se bavimo u devetom poglavlju. U estom poglavlju smo obraivali
osnovu diskretnu Fourier-ovu transformaciju. U ovom poglavlju se bavimo primenom, analiziramo
determistike signale i posebno se bavimo uvodnim karakterom za analizu stohastinih signala u
spektralnom domenu.
U desetom i jedanaestom poglavlju se bavimo projektovanjem FIR i IIR filtara.
Analiza analognih signaala i mrea
Drugo poglavlje uvruje vremenski neprekidne sisteme i osnove analize signala. Ovo
teoretsko gradivo ima ulogu utemeljivanja, u kasnijim obradama diskretno vremenskih sistema.
Nadalje sluiemo se jednom specijalnom mreom strukturom, tzv.: parametarski
koncentrisanim, linearanim, invarijantnim i kauzalnim mreama.
Unutar mrea veza izmeu struja i napona uglavnom nije linearna. Uglavnom u toku vebe mi ih
smatramo linearnim. Analiza linearnih mrea mnogo je jednostavnija, zato u veini sluaja ve kod
prvog pribliavanja uzimamo da je linearan iako znamo da nije linearan. Dalja vana mrena
osobina je, da veza izmeu mrenih parametara, vremenski je konstantna (invarijantna) ili
promenjiva. Karakteristika kauzalnih mrea je da budui dogaaji ne mogu uticati na sadanjost ili
na prolost.
Analiza mrea, smatra zadatim elemente strujnih krugova, nasuprot mrenim sintezama gde
se moraju odrediti vrednosti mrenih elemenata. U ovom poglavlju se bavimo analizom mrea.
Teorija mrea se ne bavi realizacijom elemenata strujnih krugova, to je zadatak proizvodnje
sastavnih elemenata, ali uzima u obzir osobinu realizovanih elemenata.
Mree i signale opisujemo u vremenskom i frekventnom domenu. Veza izmeu ova dva
domena se ostvaruje Laplace-ovom transformacijom. Specijalni sluaj Laplace-ove transformacije
je Fourier-ova transformacija.
2.1. Razlaganje periodinih signala
Periodini signali prema definiciji mogu se karakterisati na sledei nain:
,... 2 , 1 , 0 ), ( ) ( = + = n nT t s t s (2.1)
Analiza periodinih signala se gradi na Fourier-ovim teorijama. Na osnovu ove teorije svaki
periodini signal se moe razloiti na sinusoidalne lanove. Ove funkcije, na osnovu Fourier-ove
Analiza analognih signala i mrea
10
teorije moemo razlagati u red. Neka bude s(t) periodian signal, ija je perioda T, a njoj
pripadajua kruna frekvencija '=2/T, tada je Fourier-ov red sledei:
( )

=
+ + =
1
0
sin cos ) (
k
k k
t k B t k A F t s (2.2.)
gde su F0, Ak i Bk Fourier-ovi koeficijenti. Razlaganje indexa k=l je komponent osnovnog
harmonika dok su ostali komponenti vii harmonici. Fourier-ovi koeficijenti se mogu raunati na
sledei nain:

= =
T
t d t s dt t s
T
F
0
2
0
0
) ( ) (
2
1
) (
1



= =

2
0 0
) ( ) cos( ) (
1
) cos( ) (
2
t d t k t s dt t k t s
T
A
T
k
(2.3)

= =

2
0 0
) ( ) sin( ) (
1
) sin( ) (
2
t d t k t s dt t k t s
T
B
T
k
U nekim sluajevima moe se skratiti raunanje koeficijenata:
1. Ako je funkcija periodina, nije potrebno raunati u intervalu od (0,T), ve bilo koji
interval od (t
0
,t
0
+T) gde se mogu izraunati integrali, jer za rezultat dobijemo istu vrednost.
2. Ako je funcija parna, u tom sluaju:
0 s ) cos( ) (
4
, ) (
2
) ( ) (
2
0
2
0
0
= = = =

k
T
k
T
B dt t k t s
T
A dt t s
T
F t s t s (2.4)
3. Ako je funkcija neparna, onda:

= = = =
2
0
0
) sin( ) (
2
s 0 , 0 ) ( ) (
T
k k
dt t k t s
T
B A F t s t s (2.5)
4. Ako su dve poluperiode jedno drugome slika u ogledalu onda:
, 0 , 0 , 0 ) (
2
2 2 0
= = = =
|
.
|

\
|
+
n n
B A F t s
T
t s

+ =
+
2 /
0
1 2
) 1 2 cos( ) (
4
T
n
tdt n t s
T
A s

+ =
+
2
0
1 2
) 1 2 sin( ) (
4
T
n
tdt n t s
T
B ; (2.6)
5. Ako se ispuni 4. uslov, i funkcija je jo parna ili neparna, u tom sluaju dovoljno je
raunati koeficijente u etvrtini periode i uzeti etvorostruku vrednost za rezultat. U ovom sluaju
je dovoljno raunati koeficijente A
2n+1
i B
2n+1
.
6. U praksi retko se vri raunanje Fourier-ovih koeficijenata pomou izraza, jer uglavnom
oblici dobijene funkcije i njihov razvoj u red moe se nai tablino u literaturi. U tabeli 2.1 se mogu
videti funkcije i grafikoni Fourier-ovog reda koje se mnogo upotrebljavaju u praksi. Sa
kombinacijom funkcija u tablici mogu se izraziti i druge funkcije. Koristei linearnost Fourier-ove
transformacije mogu se izraunati spektralne komponente.
Analiza analognih siganala i mrea
-
Tabela 2.1. Fourier-ov red sledeih funkcija
Redni
broj
Vremenski oblik signala Fourier-ov red signala
1.
(

+ + =
= =
... 6 cos
7 * 5
1
4 cos
5 * 3
1
2 cos
3 * 1
1 4 2
) / 2 cos( ) (
t t t
T t t f

2.
(

+ + + =
= =
... 6 cos
7 * 5
1
4 cos
5 * 3
1
2 cos
3 * 1
1 4 2
) / 2 sin( ) (
t t t
T t t f

3.

+ + + =
=
. 6 cos
7 * 5
1
4 cos
5 * 3
1
2 cos
3 * 1
1 2
cos
2
1 1
) (
t t t t
t f

4.

+ + + + =
=
... 6 cos
7 * 5
1
4 cos
5 * 3
1
2 cos
3 * 1
1 2
cos
2
1 1
) (
t t t t
t f

5.
(

+ + + = ... 5 sin
5
1
3 sin
3
1
sin
4
) ( t t t t f

6.
(

+ + + = ... 5 cos
5
1
3 cos
3
1
cos
4
) ( t t t t f

7.
(

+ = ... 5 sin
5
1
3 sin
3
1
sin
8
) (
2 2 2
t t t t f

Analiza analognih signala i mrea


12
8.
(

+ + + = ... 5 cos
5
1
3 cos
3
1
cos
8
) (
2 2 2
t t t t f

9.
(

+ + + = ... 3 sin
3
1
2 sin
2
1
sin
1
2
1
) ( t t t t f

10.
(

+ + + + = ... 3 sin
3
1
2 sin
2
1
sin
1
2
1
) ( t t t t f

11.
(
(
(
(

+ +
+ +
=
... 5 sin 5 sin
5
1
3 sin 3 sin
3
1
sin sin
4
) (
2
2

t
t t
t f
12.
(
(
(
(

+ +
+
=
... 5 cos 5 sin
5
1
3 cos 3 sin
3
1
cos sin
4
) (
2
2

t
t t
t f
U celoj tabeli koliinski odnos je sledei:
T
2
= (2.7)
Moemo saeti sinusne i kosinusne lanove koji pripadaju istom harmoniku pa se tako
opisuje jednim kosinusnim lanom. Ovako dobijene spektralne komponente opisuju amplitudski i
fazni spektar. Diskretne komponente amplitudskog i faznog spektra se mogu raunati iz Fourier-
ovih koeficijenata na sledei nain:
k k k k k k k k
t k F t k F t k F t k B t k A sin ) sin( cos ) cos( ) cos( ) sin( ) cos( = + = +
k k k
F A cos = s
k k k
F B sin = (2.8)
2 2
k k k
B A F + = s tg
k
k
k
B
A
=
posle ovoga signal razvijen u red sa amplitudnim i faznim komponentama moe se opisati na
sledei nain:

=
+ + =
1
0
) cos( ) (
k
k k
t k F F t f (2.9)
Analiza analognih siganala i mrea
-
Na slici 2.2. se moe videti oblik jednog signala kao i amplitudni i fazni spektar.
Slika 2.2. Jedan a) periodini signal b) amplitudski i c) fazni spektar
Amplitudski spektar je parna funkcija, dok je fazni spektar neparna funkcija.
Ako signale karakteriemo sa amplitudskim i faznim spektrumom nasuprot Fourier-ovim
koeficijentima Ak i Bk, dobijamo realnije vrednosti za ininjersku upotrebu koje se mogu meriti
posredno ili neposredno.
Ispis Fourier-ovih redova u exponencijalnoj formi u odnosu na izraz (2.2) i (2.9), ima mnogo
prednosti u raunskoj tehnici. Ove prednosti emo videti kasnije. Ova forma oznaavanja ima i
nedostatke, moramo uvesti pojam negativne frekvencije. Kao to emo videti negativna frekvencija
se odnosi na negativne vrednosti k u izrazu (2.10).
Analiza analognih signala i mrea
14
Svaki realni sinusni napon, moemo opisati kao zbir dva komplex-na dela napona, od kojih
jedan ima krunu frekvenciju + a drugi - odnosno:
t j t j
e
u
e
u
t u t u

+ = =
2 2
cos ) (
0 0
0

Kao to se moe videti na slici 2.3. originalni vektor se moe zamisliti kao zbir dva dela
vektora. Ovi delovi vektora okreu se u suprotnom smeru. Original je uvek realan. Jedna
komponenta ovog originala je lan sa negativnom frekvencijom, i njegov par sa pozitivnom
frekvencijom daju realni napon.
Slika 2.3. Prikaz negativne frekvencije
Exponencijalni oblik Fourier-ovog reda:

+
=

=
k
t jk
k
e C t f ) ( (2.10)
komplexni koeficijenti se mogu izraziti sa realnim koeficijentima:
C
A jB
F e
k
k k
k
j
k
=

=
2
1
2
$

s C F
0 0
= (2.11)
Komplexni koeficijenti se mogu izraunati iz samog signala:
( )


=
T
t jk
k
dt e t f
T
C
0
1
(2.12)
Izrazi (2.10) i (2.12) ine komplexni transformacioni par.
2.2. Raunanje srednje vrednosti
U tom sluaju ako nam je poznat Fourier-ov red periodinog signala:
Analiza analognih siganala i mrea
-

=
+ + =
0
0
) cos( ) (
k
k k
t k I I t i
(2.13)
jednostavnu srednju vrednost moemo raunati na sledei nain:
0
0
0
1
0
0 0
) cos(
1
I dt t k I dt I
T
I
T
k
i
k
T
= + + =

=
4 4 4 3 4 4 4 2 1

(2.14)
Kod raunanja efektivne vrednosti prvo izraunamo kvadrat trenutne vrednosti signala:


=

=
+ + + + + + + =
1 1 1 1
0
2 2 2
0
2
) cos( ) cos( 2 ) cos( 2 ) ( cos ) (
k
k n
n
n k n k
k k
k k k k
t n t k I I t k I I t k I I t i
primenom integrala dolazimo do sledeeg izraza:

=
+ + + +
+ + + + = =
1 1
0
0
0
0
1
0
0
0
0
1
2
1
0
2
0
0
2 2
) cos( ) cos( ) cos(
1

) 2 2 cos(
2
1 1
2
1 1 1
) (
1
k
k n
n
T
n k n k
T
k
k
k
T T
k
k
k
k
T T
eff
dt t n t k I I dt t k I I
T
dt t k
T
dt I
T
dt I
T
dt t i
T
I
4 4 4 4 4 3 4 4 4 4 4 2 1 4 4 4 3 4 4 4 2 1
4 4 4 3 4 4 4 2 1

=
+ =
1
2 2
0
2
2
1
k
k eff
I I I (2.15)
Ako uvedemo pojam efektivne vrednosti harmonijskog lana:
,... 2 , 1 ,
2
1
= = k I I
k keff
(2.16)
onda moemo na sledei nain opisati efektivnu vrednost signala:
...
2
2
2
1
2
0
0
2
+ + + = =

=
eff eff
k
keff eff
I I I I I (2.17)
Na osnovu ovoga kvadrat efektivne vrednosti periodinog signala jednak je kvadratnom
zbiru efektivne vrednosti pojedinih harminonih lanova.
Klir faktor zadaje odnos efektive vrednosti viih harmonika i efektivne vrednosti celog
signala:
k
I I I
I
I I
I
I
I
f
=
+ + +
+

=
|
\

|
.
|
2
2
3
2
4
2 2
1
2
1
2
2
1
...
(2.18)
Mala je distorzija ako k ima malo vrednost.
Analiza analognih signala i mrea
16
Zadatak 2.1.: Odredimo efektivnu vrednost prvih N harmonika sledeih periodinih signala:
-pravougaoni signal,
-trougaoni signal.
Uporedimo sa efektivnom vrednou celog signala.
Reenje: Odreeni oblici signala i Fourier-ovi redovi se mogu videti u tablici 2.1. Prvo emo
izraunati kvadrat efektivne vrednosti pravougaonog signala:

= = =
2 /
0
2 /
0
2 2
1 1
1
2 ) (
1
2
T T
Neff
dt
T
dt t u
T
U
Kvadrat efektivne vrednosti trougaonog signala:

= = =
4 /
0
2
4 /
0
2 2
3
1
)
4
1 (
1
4 ) (
1
4
T T
Heff
dt t
T T
dt t u
T
U
Ako uzmemo u obzir lan sa prvim N harmonikom, onda efektivnu vrednost raunamo na sledei
nain:

=
=
N
n
neff
N
eff
U U
0
2
Intenzitet pojedinih harmonijskih lanova za dva signala:

2
8

2
4
2 2
n
U
n
U
Hn Nn

= =
Sada sreivamo u tablici 2.2. za dva razliita signala, i gledamo kako se menja procentualna
razmera efektivnih signala do N-tog lana, u odnosu na realnu efektivnu vrednost.
Tabela 2.2. Uporeivanje efektivnih vrednosti
Harmonik Pravougaoni
signal
Trougaoni signal
1 90.0% 88.9%
3 94.7% 99.8%
5 96.5% 99.9%
Analiza analognih siganala i mrea
-
a) b)
Slika 2.4. Uticaj harmonika na formiranje vremenskog oblika signala kod a) pravougaonog i b)
trougaonog signala
Kao to se vidi iz tablice sa dodavanjem viih harmonika brzo se priblii efektivna vrednost,
realnoj efektivnoj vrednosti signala. Ova injenica se okom moe videti kod trougaonog signala.
Formiranje oblika trougaonog signala, je bre nego kod etvorougaonog signala, ako krenemo od
osnovnog harmonika i korak po korak dodajemo lanove pojedinih harmonika. Ovo se lako moe
proveriti sa pr. MATLAB Signal Toolbox-om a rezultat se moe videti na slici 2.4.
2.3. Mree periodinih struja
Razlaganje u Fourier-ov red primenjiv je samo u stacionarnom reimu rada mrea
periodinih struja. Kao prvo objasnimo najednostavniji sluaj, ako mrea sadri jedan naponski
generator sa sloenim periodinim naponom napajanja. Ovaj signal nek bude u(t). Sada napiimo
oblik napona razvijen u Fourier-ov red:


=

=
+ = + + =
1
0
1
0

) cos(

) (
k
t jk j
k
k
k k
e e U U kt U U t u
k

(2.19)
Ovo shvatimo ovako, da svaki harmonik predstavlja jedan naponski generator kao to se vidi na
slici 2.5.
Analiza analognih signala i mrea
18
Slika 2.5. Prikaz Fourier-ovog razlaganja u red a) zbir naponskog generatora b) uticaj razlaganja
napona u red
Poto je strujni krug linearan, vai teorema superpozicije. Na osnovu ovoga struju
generatora moemo raunati kao zbir parcijalnih struja koje stvaraju pojedini generatori harmonika.
Ovako moemo izraunati preko pojedinih generatora harmonika generisane struje, ali za to nam je
potrebno poznavanje impedanse, koje k' krunom frekvencijom optereuju generatore
harmoninih napona (gde je k ceo broj).
Impedancija optereen sa harmonijskim lanovima:
k
j
k
i
i i k k
e Z
C jk
L jk R Z Z

=
|
|
.
|

\
|

=
1
, , (2.20)
veliina pojedine komponente struje:
) cos(
1
Re ) (
k k
k
k t j j
k
k
k
t k
Z
U
e e U
Z
t i
k

+ =
|
|
.
|

\
|
=

(2.21)
vrednost potpune struje:

=
+ + =
1 0
0
) cos(

) (
k
k k
k
k
t k
Z
U
Z
U
t i (2.22)
U strujnom krugu na razne naine mogu biti povezani otpori Ri, kalemovi Li, i kondenzatori
Ci. Index i pokazuje, da ima vie otpora, kalema i kondenzatora u strujnom krugu.
2.4. Snaga i trenutna snaga
Ispitajmo jedan dvopol ija je struja i(t) i napon u(t), vremenski periodini signal. Trenutna
snaga koju prihvata dati strujni krug:
p t it u t () () () = (2.23)
Poto je strujni i naponski signal periodian signal, zbog toga se moe razviti u Fourier-ov
red pa se izraz 2.21 moe pisati u sledeem obliku:
Linearna
mrea
Linearna
mrea
Analiza analognih siganala i mrea
-


=

=
+ + = + + =
1
0
0
0
) cos( ) ( ) cos( ) (
k
k k
k
k k k
t k U U t u s t k I I t i .
. ) cos( ) cos(
) cos( ) cos( ) (
1 1
0
0
1
0 0 0

=
+ + + +
+ + + + + =
k n
n n k n k
k
k k
k
k k k
t n t k I U
t k U I t k I U I U t p


(2.24)
Iz sloenog izraza trenutne snage, ne moemo izvui nikakav zakljuak.
Prosena snga se rauna na sledei nain:
P
T
p tdt
T
=

1
0
()
(2.25)
ako uvrstimo izraze struje i napona onda je:
,
2
) 2 2 cos( cos 1
2
] ) cos( ) cos( [
1
1
0
0 0
0
1
0 0

=
+ +
+ =
= + + + =
k
T
k k k
keff keff
T
k
k k k k k
dt
t k
T
I U I U
dt t k t k I U I U
T
P


Za jednu periodu integral sinusnih funkcija je nula, tako da je srednja vrednost snage:
K + + + = =

=
2 2 2 1 1 1 0 0
0
cos cos cos
eff eff eff eff
k
k keff keff
I U I U I U I U P
(2.26)
gde je
2
k
keff
U
U = i
2
k
keff
I
I = efektivna vrednost struje i napona k-tog harmonika.
2.5. Klasifikacija snaga
U mreama sinusnih struja, izgled snage u komplexnom domenu je sledei:
S UI = (2.27)
Na slian nain snaga pojedinih harmonika se moe opisati u komplexnoj formi.
Korisna snaga sinusnog signala:
P S UI = = cos cos
(2.28)
gde cos nazivamo faktor snage. Za sloene periodine signale korisna snaga se moe raunati kao
zbir korisnih snaga pojedinih harmonika odnosno:

=
= =
1
cos cos
k
k k k
UI I U P
Analiza analognih signala i mrea
20
nema fiziki znaaj. Na slian nain moemo definisati kod sinusnih signala virtuelnu snagu sa
sledeim izrazom:
' sin sin
1

=
= =
k
k k k
UI I U Q
moramo naglasiti da ' nije jednak sa -jem, pa zbog toga ne moemo pisati za osnovni signal:
S P Q = +
2 2
(2.29)
ve:
S P Q S
t
= + +
2 2 2
(2.30)
gde je S
t
snaga izoblienja, koja izraava meru za koliko se razlikuje sistem sa osnovnom periodom
signala od sistema sa sinusnim (u sluaju sinusnog napona i struje St =0).
Zadatak 2.2.: Meovito paralelno oscilatorno kolo (slika 2.6.), koja se sastoji od jednog otpora
R=1', jednog induktiviteta reaktanse L=1' i jednog kapaciteta reaktanse 1/C. Strujni krug
se napaja iz strujnog generatora:
] )][ 2 / 5 sin( ) 4 / 3 sin( ) 6 / sin( 2 [ ) ( A t t t t i
g
+ + + + =
Slika 2.6. Meovito paralelno oscilatorno kolo
a) Odredite vrednost trenutnog napona na oscilatornom krugu.
b) Odredi predatu korisnu snagu, virtuelnu snagu odnosno distorzionu snagu zatim
i vrednosti i .
Reenje: a) U oscilatornom krugu maximalna vrednost napona na krunoj frekvenciji k:
gk gk k rez
I
jk k
jk
I
LC k CR jk
L jk R
U
+
+
=
+
+
=
2 2 2
_
1
) 1 (
1
Uvrtavanjem na pojedinim harmonijskim frekvencijama za k (k=0,1,3,5) i vrednosti struje:
Analiza analognih siganala i mrea
-
]. V [
4
1
501
125 1
5 24
5 1
] V [
73
27
73
27 1
3 8
3 1
], V [ 2 2
1
2 2
5 _
4
3
4 4
3 _
12 6
1 _

=
+
+
=

=
+
+
=
=
+
=




j j
rez
j j j
rez
j j
rez
e
j
e
j
j
U
e e
j
e
j
j
U
e e
j
j
U
Trenutna vrednost napona:
]. V [
4
1
501
125 1
5 24
5 1
] V [
73
27
73
27 1
3 8
3 1
], V [ 2 2
1
2 2
5 _
4
3
4 4
3 _
12 6
1 _

=
+
+
=

=
+
+
=
=
+
=




j j
rez
j j j
rez
j j
rez
e
j
e
j
j
U
e e
j
e
j
j
U
e e
j
j
U
Slika 2.7. Jedna perioda struje strujnog generatora i napona na oscilatornom krugu
b) Prvo raunamo korisnu snagu kao zbir korisnih snaga po harmonicima:
2 cos
5
1
_
= =

=
n
n
gneff neff rez
I U P [W]
dok je virtuelna snaga snaga:
724 . 1 sin
5
1
_
= =

=
n
n
gn n rez
I U Q [VA]
Efektivna vrednost struje i napona:
U
eff
=1.449[V] s I
geff
=1.7321[A].
S=U
eff
I
geff
=2.5098[VA]
Analiza analognih signala i mrea
22
Sada ve moemo raunati distorzionu snagu:
] [ 1517 . 1
2 2 2
VA Q P S S
t
= =
i zanimljivi uglovi:
21 . 48 ' 68697 . 0 ' sin
61.89 56348 . 0 cos
= = =
= = =


S
Q
S
P
2.6. Fourier-ova transformacija
Spektre neperiodinih vremenskih funkcija neposredno ne moemo dobiti pomou Fourier-
ovog reda. Meutim moemo dobiti kvalitativnu zamisao o oekivanom rezultatu, ako primenimo
Fourier-ov razvoj u red, i stvorimo prelazno podruje. Prelazno podruje stvaramo tako da T
periodu pribliavamo beskonanosti.U toku stvaranja prelaznog podruja, moe se uoiti smanjenje
razdaljine harmonijskih lanova odnosno
0
=1/2T vrednost se pribliava nuli. Time prvobitni
linijski spektar prelazi u neprekidnu raspodelu.
Matematiki ovo prelazno podruje moe se iskoristiti, da dobijemo iz Fourier-ovog reda
Fourier-ovu transformaciju. Transformacioni par funkcije f(t) je sledei izraz:

= d e j F t f
t j
) (
2
1
) (

dt

+
-
t j -
f(t)e = ) F(j (2.31)
u osnovnom sluaju F(j) je komplexan broj i moe se napisati u sledeem obliku:
) ( arg ) ( s ) ( ) (
) ( ) (
) (
= =
=

j F j F A
e A j F
j
(2.32)
gde je A() i () respektivno amplitudni i fazni spektar.
U nastavku sa Fourier-ovom transformacijom bez dokaza nabrojimo nekoliko teoreme. Ove
teoreme vie puta se pojavljuju u toku obrade signala u razliitim formama.
1. Teorema: Konvergencija Ako se ova teorema ispuni onda imamo Fourier-ovu
transformaciju:
<

+


dt t f
T
) ( lim (2.33)
2. Teorema: Linearnost:
) ( ) ( ) ( ) ( + + j bG j aF t bg t af (2.34)
3. Teorema: Simetrinost, ako
) ( ) ( j F t f
Analiza analognih siganala i mrea
-
onda je simetrian transformacioni par:
) ( 2 ) ( f jt F (2.35)
4. Teorema: Vremensko skaliranje
) (
1
) ( a j F
a
at f (2.36)
5. Teorema: Pomeranje u vremenskom domenu
0
) ( ) (
0
t j
e j F t t f

(2.37)
6. Teorema: Pomeranje u spektralnom domenu
) ( ) (
0
0

j j F t f e
t j
(2.38)
7a) Teorema: Konvolucija u vremenskom domenu Ako
) ( ) ( s ) ( ) ( j G t g j F t f , onda

+

) ( ) ( ) ( ) ( j G j F d t g f (2.39)
7b) Teorema: Konvolucija u frekventnom domenu

+

d j G j F t g t f ) ( ) (
2
1
) ( ) (

(2.40)
8. Teorema: Parsevalova teorema:
=

+

+

d j F dt t f
2 2
) (
2
1
) (

(2.41)
9. Teorema: Poisson-ov izraz za sabiranje:
a) Ako je f(t) proizvoljna funkcija i ) ( ) ( j F t f onda:
T
jn F
T
nT f
s
n n
s
1
je gde ) (
1
) ( = =

+
=
+
=
(2.42)
b) Ako je f(t)=0 a t<0, onda:
) 0 ( ) 0 ( je gde ) (
1
2
) 0 (
) ( + = +
+
=

+
=
+
=
f f jn F
T
f
nT f
n
s
n
(2.43)
Analiza analognih signala i mrea
24
2.7. irina spektra
Vano je da na odgovarajui nain uoimo minimalni spektralni domen koji je potreban, da
prenos signala moemo verodostojno realizovati. Ovaj problem u osnovi se razlae na dva dela,
prvo granica irine opsega signala, drugo irina opsega prenosnog sistema odnosno odreivanje
granine frekvencije.
irina opsega signala, nije jednoznano definisana koliina. irina opsega je frekventni
domen
0
, unutar kojeg se nalaze spektralne komponente spektra ija je energija vea nego jedna
unapred zadata vrednost. Na osnovu ovoga ovu granicu:
a) Prema prvom nainu, na osnovu energetskog spektra signala(F(j)(
2
, ona granica, gde
je vrednost energetskog spektra ve manja nego jedna unapred odreena mala vrednost
2
. Ova
definicija ima slabu taku, ako amplituda (energija) spektra signala ima karakter talasnosti (pr. kao
funkcija sinx/x ) jer onda (na slici 2.8. se moe videti) imamo jednu unapred zadatu vrednost koja
daje viestruko reenje za irinu opsega. U ovakvom sluaju reenje se moe odrediti prema anelopi
spektra signala.
Slika 2.8.: Uticaj talasnog amplitudskog spektra u odreivanju irini opsega signala
b) A na osnovu drugog postupka, granice spektra signala odreujemo tako da, do zadate
vrednosti frekvencije gledamo koji udeo ima energija signala. Sa ovom definicijom eliminisali smo
uticaj talasnosti. Na osnovu ovog postupka, unapred zadajemo jedan mali broj. Deo (1-) je deo
sadraja energije signala, i nalazi se unutar granine frekvencije, odnosno:


+

= = = dt t f d j F E E d j F ) ( ) (
1
) 1 ( ) (
1
2
0
2
2
1
2
1
2
0
0

(2.44)
Analiza analognih siganala i mrea
-
Uglavnom uzimamo za =0.1, to znai da 90% energije signala se nalazi u prenosnom
domenu.
Definicije ne mogu poticati jedno iz druge. Ne moe se izdvojiti jedan par (,), za koje
dobijemo isti irinu opsega za bilo koji signal.
irinu opsega prenosne karakteristike moemo odrediti na osnovu karakteristike prenosa
energije. Obeleimo sa
2
maximalnu, sa
1
minimalnu i sa
0
centralnu krunu frekvenciju. Za
domen irine opsega strujnog kruga biramo domen gde je amplitudna karakteristika maximalna,
intenzitet energetskog spektra i levo i desno ne opadne na pola, ili vrednost amplitudske
karakteristike se ne smanji za 30%:
A
A
A
A
2
2
2
2
( ) ( )
max max
< <
(2.43)
ako izrazimo u decibelima:
A A ( )
m ax
3dB
(2.44)
Veliina irine opsega:

0
=
2

1
.
Sinteza analognih signala i mrea
Filtri imaju osnovnu ulogu u svim poljima elektronike tako u telekomunikaciji, regulacionoj
elektronici, mernim instrumentima, akustici, videotehnici i u profesionalnoj i neprofesionalnoj
elektronici. U ovim ureajima, filtrima nazivamo one elemente koje su linearne, vremenski
invarijantne i u vremenskom ili u frekventnom domenu na jedan unapred zadat nain modifikuju
zadati signal. Veina zadataka za vebu se bazira na amplitudskim- i faznim karakteristikama, ili na
karakteristiku kanjenja sistema. Prilikom projektovanja i realizacije filtra, u sutini sledee zadatke
trebamo reiti: trebamo nai datu specifikaciju prenosne funkcije, zatim ovom teorijskom filtru
odreenu priblinu metodu ili aproksimaciju i na kraju za realizaciju trebamo odabrati jedno
konkretno mreno reenje strujnog kruga. Kod reenja trebamo voditi rauna na toleranciju i
gubitke parametara elemenata strujnog kruga.
U ovom poglavlju prvo emo se baviti zadavanjem specifikacije filtra. U nastavku baviemo
se raznim pribliavanjem amplitudne karakteristike pazei na razvoj karakteristike kanjenja
sistema i na tranzientne osobine. Kada se bavimo aproksimacijom karakteristike kanjenja sistema
onda u isto vreme odreujemo i odreenu amplitudnu karakteristiku.
Za aproksimaciju amplitudske karakteristike i karakteristike kanjenja sistema pogodni
naini odnose se na niskopropusne filtre, i pribline funkcije se odnose na normalizovane granine
frekvencije.
Ovo poglavlje u sutini ima dvostruki cilj, kao prvo za studente daje uvid u sintezu
analognih mrea, odnosno daje osnovu kod realizovanja digitalnih filtara. Definisani su i osnovni
pojmovi koje koristimo i prilikom projektovanja digitalnih filtra. Ovakvi su, parametri tolerancijske
eme, razne aproksimacije, propusni opseg, nepropusni opseg, prelazni opseg, nisko propusni filtar,
visokopropusni filtar itd.
3.1 Podela filtara
Kod filtra u sutini razlikujemo tri opsega, to su: propusni opseg, prelazni opseg i
nepropusni opseg. U propusnom opsegu, filtar u idealnom sluaju bez distorzije prenese spektralne
komponente signala koje spadaju u ovaj opseg, a u nepropusnom opsegu u idealnom sluaju ne
Sinteza analognih signala i mrea
27
prenosi spektralne komponente ve ih maximalno priguava. U idealnom sluaju prelazni opseg se
pretpostavlja kao beskonano uzak, to se u stvarnosti ne moe postii kao to emo videti primer.
prilikom projektovanja tzv. FIR digitalnog filtra, ove pretpostavke mogu imati i negativne
posledice.
Slika 3.1. Definicija pojedinih opsega filtra
Prvo u obzir uzimamo samo propusni opseg i nepropusni opseg. Filtri se mogu podeliti u
zavisnosti od smetanja granica propousnog i nepropusnog opsegu na osnovne tipove.
Pretpostavimo idealnu prenosnu karakteristiku u propusnom opsegu vrednost pojaanja je jedan
(posle normalizacije), dok u nepropusnom opsegu je nula. U idealnom sluaju pretpostavimo, da je
skokoviti prelazni domen na graninoj frekvenciji, ili graninim frekvencijama. Kasnije emo
definisati prelazni domen.
Niskopropusni filtar: Od nulte vrednosti frekvencije do granine frekvencije F
h
je propusni
domen dok od granine frekvencije do beskonanosti nepropusni domen.
Slika 3.2. Idealizovana prenosna karakteristika niskopropusnog filtra
Visokopropusni filtar: Na manjim frekvencijama do granine frekvencije je nepropusni
opseg, dok od viih frekvencija do beskonanosti je propusni opseg.
Slika 3.3. Idealizovana prenosna karakteristika visokopropusnog filtra
Filtar propusnik opsega: Moemo razlikovati dve granine frekvencije. Od nulte frekvencije
do prve granine frekvencije to je prvi nepropusni opseg, dok od prve granine frekvencije do druge
Sinteza analognih signala i mrea
28
granine frekvencije je propusni opseg i od druge granine frekvencije F
h2
do beskonanosti drugi
nepropusni opseg.
Slika 3.4. Idealizovana prenosna karakteristika filtra propusnika opsega
Filtar nepropusnik opsega: Ovaj filtarski tip je inverzan od filtra propusnika opsega. Od
nulte frekvencije do prve granine frekvencije F
h1
je prvi propusni opseg, dok od prve granine
frekvencije do druge granine frekvencije F
h2
je nepropusni opseg, od frekvencije F
h2
do
beskonanosti je drugi propusni opseg.
Slika 3.5 Idealizovana prenosna karakteristika filtra nepropusnika opsega
Sve propusni filtar: Ovi filtri u celom opsegu frekvencije imaju jedinstveno pojaanje samo
fazna karakteristika karakterie ove filtre. Sve propusne filtre koristimo uglavnom kao korektore
faze.
Slika 3.6. Karakteristike sve propusnog filtra
3.2. Zadavanje specifikacije filtra
Filtri su takvi strujni krugovi koji ispunjavaju razne potrebe izmeu ulaza i izlaza u
komplexnom frekventnom domenu, ili frekventnom domenu, ili u vremenskom domenu. U
nastavku definisaemo uslove u frekventnom domenu:
Prenosna funkcija filtra prema definiciji:
) (
) (
) (

=
j X
j X
j H
be
ki
(3.1)
Iz prenosne funkcije lako se mogu raunati vrednosti koji su karakteristini u graninoj
frekvenciji kao amplitudska karakteristika:
Sinteza analognih signala i mrea
29
) ( log 20 ) ( = j H a (3.2)
fazna karakteristika:
) ( ) ( = j arcH (3.3)
odnosno karakteristika kanjenja sistema koja potie iz fazne karakteristike:

=
d
d ) (
) (

(3.4)
Propisi o frekventnom domenu i o vremenskom domenu vode do komplexnog frekventnog
domena. Propisi o komplexnom frekventnom domenu odreuju poloaj polova i nule prenosnih
funkcija u ravni.

= =
i
i
j
j
P s
Z s
A
s D
s N
s H
) (
) (
) (
) (
) ( (3.5)
N(s) i D(s) su polinomi sa realnim koeficijentima, zbog toga koreni polinoma uvek su realni
ili komplexno konjugovani parovi.
Za odreen par polova Q-faktor odreujemo prema definiciji na sledei nain:
) Re( 2
) ( Im ) ( Re
2 2
k
k k
k
P
P P
Q
+
= (3.6)
gde posebno trebamo istai tzv. kritian par polova, par polova sa maximalnim Q faktorom, koji se
nalazi najblie imaginarnoj osi.
Osnovni oblik prenosne funkcije niskopropusnog filtra je razloen na kaskadne lanove
drugog stepena:
| |

(
(

+
=
+ +
=
k
pk pk pk
k
k k s
Q
s
H
s b s a
H
s H
2
2
0
2
0
1
1
) ( (3.7)
pojedini lanovi koji realizuju odreen pari polova, njihova prenosna funkcija je zavisna funkcija od
dva parametra
pk
i Q
pk
gde je Q
pk
faktor dobrote k-tog kaskadnog lana, a
pk
tzv. kruna
frekvencija prilagoavanja. Prenosna funkcija razloena na inioce a
k
i b
k
sa koeficijentima je
pojaanje, fazno pomeranje i frekventna zavisnost grupnog kanjenja moe se izraunati
respektivno sa sledeim izrazima:
| |

+ +
=
k
nor k nor k k
nor
b b a
A
A
4 2 2 2
2
0
2
) 2 ( 1
) (
(3.8)
| |

+ +
+
=
k
nor k nor k ki
nor k k
cs
b b a
b a
T
4 2 2 2
2
) 2 ( 1
) 1 (
2
1
(3.10)



=
k
nor k
nor k
b
a
2
2
1
arctan (3.11)
Sinteza analognih signala i mrea
30
gde je
nor
normirana kruna frekvencija odnosno
nor
=/
n
i
n
je normirana kruna frekvencija.
U veini sluaja prilikom projektovanja polazna taka nije prenosna karakteristika, ve
ogranienja koje se odnose na nju. Ogranienja se mogu zadati tolerancionom emom. Toleranciona
ema obezbeuje veu slobodu kod realizacije filtra, nego kad bi se striktno vezala za datu
karakteristiku. Toleranciona ema daje mogunost da eljena prenosna karakteristika u odreenoj
meri bude upotrebljena kao priblina aproksimaciona funkcija. Svaka takva aproksimaciona
funkcija moe doi u obzir koja se nalazi izvan zabranjene povrine zadate krive tolerancione eme.
Aproksimacija kao i toleranciona ema moe se odnositi na amplitudnu karakteristiku, faznu
karakteristiku i karakteristiku kanjenja, u zavisnosti od toga koji filtarski parametar je prvi u
realizaciji datog filtra. Dalje opirnije emo se baviti pribliavanjem amplitudne karakteristike.
U literaturi projektovanja filtra prihvaeno je da se pribline funkcije zadaju za tzv.
referentne niskopropusne filtre. Za referentne niskopropusne filtre karakteristine osobine koje se
zadaju u katalozima, da bismo mogli konkretno upotrebljavati osobine za filtre, moramo izvriti
odreene transformacije i normalizaciju. To znai da prilikom projektovanja filtra tolerancionu
emu koja sadri odreene propise sa odreenom frekventnom transformacijom trebamo preslikati
za referentni niskopropusni filtar. Primer: moemo videti preslikavanje tolerancione eme filtra
nepropusnika opsega u referentni niskopropusni filtar (moe se videti na slici 3.7.).
Slika 3.7. Preslikavanje filtra nepropusnika opsega u referentni niskopropusni filtar
Kod filtarskih funkcija razlikujemo propusni opseg, nepropusni opseg i prelazni domen. U
propusnom opsegu signal se pojaava ili proputa nepromenjeno ili u jako maloj meri se priguava.
Suprotno ovome u nepropusnom opsegu signal se u velikoj meri pruguava. U prelaznom domenu
prenosna funkcija nije posebno definisana, ali zato se moe oekivati da amplitudska karakteristika
bude monotona. U osnovnom sluaju broj prenosnih i nepropusnih opsega moe biti i vie.
Iz referentne niskopropusne tolerancione eme proizilazi da referentni filtar (
nor
)
amplitudskoj karakteristici
nor
=0....1 normiranom frekventnom domenu, u propusnom opsegu
filtra mora ostati u granicama 0...-a
H
dok
nor
=
norS
,......., a u nepropusnom domenu svuda mora
da se kree ispod a
s
. Na slici 3.8. moe se videti toleranciona ema referentnog niskopropusnog
filtra.
Slika 3.8. Toleranciona ema referentnog niskopropusnog filtra
Sinteza analognih signala i mrea
31
Prilikom aproximacije, amplitudska karakteristika referentnog niskopropusnog filtra se
pribliava sa sledeom funkcijom:
2
) ( 1
1
log 20 ) ( log 20 ) (
nor
nor nor
K
j F a
+
= = (3.12)
U izrazu (3.12) K(
nor
) je tzv. karakteristina funkcija a F(j
nor
) normirana prenosna
funkcija. Karakteristina funkcija mora ispunjavati sledei zahtev:
H nor
K ) ( (3.13)
Dvosmerno izraunavanje parametara a i moe se videti u izrazu (3.14) za vrednosti
kritinog pojaanja:
1 10 1 10
) 1 log( 10 ) 1 log( 10
1
1
log 20
10 / 10 /
2 2
2
= =
+ = + =
+
=
S H
a
S
a
H
S S H
H
H
a a


(3.14)
3.3. Priblini postupci
Pod uobiajnim projektovanjem filtra podrazumevamo odreivanje nule i polove
referentnog niskopropusnog filtra pored datih zahteva. Za izraunavanje korena posmatraemo vie
pribline metode, sa razliitim karakterima u propusnom i nepropusnom opsegu.
Aproksimacija prethodi realizaciji, i moe se razdvojiti od realizacije zadatka. U
jednostavnijim sluajevima potrebe se odnose na frekventni domen. Ako je ulazni signal sinusni ili
ima karakter impulsnog reda, onda se sklapanje moe izvriti na jednostavan nain za amplitudnu
karakteristiku i faznu karakteristiku ili karakteristiku kanjenja sistema overavanjem ekvivalentnih
propisia. U modernoj telekomunikaciji sve ei su specifini ulazni signali na primer. um, sinusni
paket, signali sa raznom modulacijom itd. U ovakvim sluajevima zahteve u vezi filtra u veini
sluaja nemogue je prevesti za amplitudsku karakteristiku i karakteristiku kanjenja sistema, onda
su mogui sledei iteracioni postupci od analize i modifikovanja.
Izabrane karakteristine funkcije moraju imati najmanji mogui stepen i iz aproksimacione
karakteristike moe se razlikovati sa dozvoljenom grekom koju ograniava toleranciona ema.
Maximalano glatko pribliavanje sa Butterworth aproksimacijom: Karaktristika ovog
pribliavanja je maximalno ravna amplitudska karakteristika kako u propusnom tako i u
nepropusnom opsegu. U ovom sluaju prvi izvod (2N-1) je neprekidna i jednaka je sa nulom na
krunoj frekvenciji
nor
=0, i
( )
N
nor H
nor
j H
2 2
2
1
1
+
=

(3.15)
Sinteza analognih signala i mrea
32
na
nor
krunim frekvencijama. Ova jednaina ima 2N polova. Pripadajui koreni leve
poluravni i njihov proizvod daje polinom H(P).
Asimptotska vrednost priguenja u beskonanosti je:
[dB] ) log( 20 ) log( 20
nor H
N A + =

(3.16)
Minimalni potrebni stepen filtra se rauna iz sledee zavisnosti:
|
|
.
|

\
|

|
|
.
|

\
|

norH
norS
H
s
N
log
log

(3.17)
Neposredno moemo dobiti koeficijente a
i
i b
i
osnovnih lanova drugog stepena:
- za parne stepene:
1
2 / ,..., 1
2
) 1 2 (
cos 2
=
=

=
i
i
b
n i
n
i
a

(3.18)
- za neparne stepene:
-
2 / ) 1 ( ,..., 2 , 1 je ako
1
2
) 1 2 (
cos 2
0
1
1
1
+ =
=

=
=
=
n i
b
n
i
a
b
a
i
i

(3.19)
Slika 3.9. Butterworth-ova aproksimacija (=1) a) amplitudska karakteristika b) fazna
karakteristika za N=2,4 i za 8 odnosno c) mesto polova i nula za N=8
Csebisevljeva aproksimacija propusnog domena
Sinteza analognih signala i mrea
33
Sa Csebisev-ljevom aproksimacijom u poreenju sa Butterworth-ov pribliavanjem, moe se
ostvariti mnogo bri prenos izmeu propusnog opsega i nepropusnog opsega, ali ovo moemo
zahvaliti talasnosti propusnog opsega. Kvadratna vrednost odreene prenosne funkcije:
( )
) ( 1
1
2 2
2
nor N
nor
T
j H
+
=

(3.20)
gde je T
N
(x) N-ti Csebisev polinom:

1 x )], ( cosh cosh[


1 x )], ( cos cos[
) (
1
1
x N
x N
x T
N
(3.21)
Asimptotska vrednost pojaanja u beskonanosti:
[dB] ) log( 20 ) 1 ( 0206 . 6 ) log( 20
nor
N N A + + =

(3.22)
Minimalni stepen filtra sa kojom moemo ostvariti propisane zahteve:
|
|
.
|

\
|

|
|
.
|

\
|

norH
norS
H
S
N
1
1
cosh
cosh

(3.23)
Jednaina (3.20) je za raunanje koeficijenta a
i
i b
i
,za konkretnu oscilaciju propusnog
opsega, to je dug proces, ali sa programskim paketom za obradu signala ili iz tablice lako se moe
odrediti.
Na slici 3.10 moe se videti amplitudska i fazna karakteristika za vrednosti N=3, i 5
odnosno poloaj polova i nula za vrednosti N=5 i =0.3
Slika 3.10. Csebisev aproksimacija a) amplitudska karakteristika i b) fazna karakteristika i c)
poloaj nula i polova
Sinteza analognih signala i mrea
34
Za veu selektivnost moramo platiti povoljnijim tranzientnim osobinama. Za realizaciju
Csebisev filtra potrebni su osnovni lanovi sa veim Q-faktorom, kao kod Butterworth-filtra.
Csebisevljeva aproksimacija nepropusnog domena (Inverzna csebisev-ljeva
aproksimacija)
Inverzna Csebisev aproksimacija potie iz Csebisev aproksimacije:
( )
) / (
) (
1
1
2
2
2
2
nor S nor N
S nor N
nor
T
T
j H


+
=

(3.24)
Minimalni stepen filtra sa kojim se moe ostvariti propisani zahtevi:
) ( cosh
) ( cosh
1
1
norH
norS
H
S
N

(3.25)
Raunanje koeficijenta a
i
i b
i
pored konkretne nepropusne talasnosti dosta je dugaak
proces, zato koristimo za obradu signala programske pakete ili gotove tablice.
Na slici 3.11 se moe videti inverzno Csebisev pribliavanje, amplitudska karakteristika za
N=3 i 5.
Slika 3.11. Amplitudska i fazna karakteristika inverzne Csebisev-ljeve aproksimacije
Tranzientna osobina inverznog Csebisev-ljevog filtra za malo je loija od stepena istog
Butterworth-ovog filtra ali selektivnost se znatno poveala. Kod inverznog Csebisev filtra potreban
je manji faktor dobrote nego kod Csebisev filtra ali se javljaju nule koji poveavaju realizaciju
sloenosti strujnog kruga.
Cauer-ovo eliptino pribliavanje. Eliptino pribliavanje kao u propusnom tako i u
nepropusnom opsegu daje talasno pribliavanje
Sinteza analognih signala i mrea
35
Sa ovim pribliavanjem moemo ostvariti naroito brze prelaze izmeu propusnog i
nepropusnog opsega, ali u obe oblasti amplitudska karakteristika je talasna. Kvadrat amplitudske
karakteristike opisuje sledea funkcija:
( )
) ( 1
1
2 2
2
nor N
nor
U
j H
+
=

(3.26)
gde je U
N
(x) nulte vrednosti eliptina funkcija Jacobi funkcije prvog reda.
Minimalni stepen date realizacije izraunavamo sa sledeim izrazom:
1
8
ln
1
4
ln
2
2
2

+

norS
S H
N

(3.27)
Odreivanje polova je sloen iteracioni postupak, i nije zadatak ove knjige da daje postupke
za izraunavanje. Postoje gotovi programi na raznim programskim jezicima za izraunavanje tako
FORTRAN, C i MATLAB ili gotove tablice.
Od nabrojanih metoda pribliavanja sa Cauer-ovim pribliavanjem moemo ostvariti filtar
sa najmanjim stepenom za iste polazne uslove. Ali ona ima i pozadinu jer je velika nelinearnost
fazne karakteristike.
Eliptinu aproksimaciju upotrebljavamo onda kada elimo da realizujemo zahteve sa
mogue najmanjim stepenom funkcije za amplitudsku karakteristiku. Nesumnjivo je da izmeu
aproksimacione funkcije sa istim stepenom, pored datih vrednosti a
H
i a
S
, eliptine funkcije daju i
najmanji odnos
S
/
H
. U isto vreme za realizaciju filtra potrebni su lanovi sa veim Q-faktorom.
Na slici 3.12 moe se videti amplitudska i fazna karakteristika za vrednosti N=4 odnosno
polovi i nule za istu vrednosti N=4.
Slika 3.12. Eliptina aproksimacija a) amplitudska karakteristika i b) fazna karakteristika
Sinteza analognih signala i mrea
36
Slika 3.12. Eliptina aproksimacija i poloaj polova i nula
Koreni kod Cauer realizacije kako nule tako i polovi su konjugovano komplexni parovi,
polovi su levoj poluravni dok su nule na zamiljenoj osi. Ako je realizacija neparnog stepena onda
imamo jednu nulu u beskonanosti i imamo jedan realan pol sa negativnim predznakom.
Bessel (Thomson) aproksimacija: Sa Bessel filtrima uspelo je ostvariti oekivanja, da u
propusnom domenu frekvencija je sa nezavisnim vremenskim kanjenjem, tj. sa frekvencijom
srazmerni filtri faznog pomeranja prenos pravougaonog signala je idealan. Bessel-filtri poseduju
veoma dobre tranzientne osobine, ali im je selektivnost dosta mala.
Distorziono osloboen tranzentni prenos znai da signal koji prolazi kroz filtar kasni sa
jednim konstantnim vremenom t
o
u odnosu na ulazni signal, ali oblik se nee promenuti. Znai u
vremenskom domenu onda je distorziono osloboen prenos ako vai sledea zavisnost izmeu
ulaznog i izlaznog signala:
) ( ) (
0
t t f const t f
be ki
= (3.28)
Za nedistorzioni impulsni prenos nije dovoljno da amplitudna prenosna karakteristika filtra u
odnosu na spektar signala bude dovoljno iroka u frekventnom domenu i frekvencija bude
nezavisna, nego vano je da u ovom frekvencijskom opsegu vremensko kanjenje bude nezavisna
od frekvencije odnosno da bude konstantna.
Bessel filtre karakteriemo sa sledeom funkcijom:
) (
1
) (
S B
S H
N
= (3.29)
gde je B
N
(S) N-ti Bessel-ov polinom. Ove polinome moemo opisati u sledeim formama:

=
=
N
k
k
k N
S a S B
0
) ( (3.30)
koeficijente a
k
opisuje sledei izraz:
N 0,1,..., k
)! ( ! 2
)! 2 (
=

=

k N k
k N
a
k N
k
(3.31)
Sinteza analognih signala i mrea
37
Slika 3.13. Bessel aproksimacija a) amplitudska b) fazna karakteristika i c) poloaj polova i nula
Sa alternativnim postupkom moge se odrediti Bessel polinomi rekurzivno iz sledee
povezanosti:
) ( ) ( ) 1 2 ( ) (
2
2
1
S B S S B N S B
N N N
+ = (3.32)
odreene poetne vrednosti B

(S)=1 s B
1
(S)=S+1.
Vana osobina Bessel filtra je linearni fazni karakter u prenosnom domenu ovo se moe
videti na slici 3.13. Na slici 3.13 se moe videti amplitudska i fazna karakteristika za N=3 i 6,
odnosno poloaj polova i nula za vrednost N=6.
3.3.1 Uporeivanje aproksimacija
Kao prvo moe se postaviti jedno pitanje: da li uopte postoji idealno pribliavanje?
Odgovor jednoznano je ne, jer u jednom sluaju jedan tip pribliavanja daje bolje reenje a u
drugom drugo reenje.
Prvo emo uporediti postupke aproksimacije amplitudske karakteristike idealnog
niskopropusnog filtra
Moemo izvui jako vane zakljuke u tom sluaju ako pomou etiri aproksimacione
metode uporeujemo niskopropusne filtre sa istom karakteristikom. Uporedimo filtre koje dobijamo
sa sledeim specifikacijama:
N=9 a
H
=1dB a
s
=60dB
Sinteza analognih signala i mrea
38
Slika 3.15 Karakteristike priguenja sa upotrebom raznih aproksimacija a)Butterworth b)Csebisev
c) Inverzni Csebisev d) Eliptina
Kao to se vidi sa slike 3.15 frekvencija
norH
nema uvek istu ulogu kod svake
aproksimacije. Tome da uloga bude saglasna moramo izvriti posebne transformacije ali sa ovim
problemom neemo se baviti u ovoj knjizi. Unutar propusnog opsega karakteristika oscilira kod
Csebisev i eliptinog pribliavanja. Na gornjoj granici propusnog opsega eliptina i Csebisev-ljeva
aproksimacija je bolja nego Butterwoth-ova i inverzna Csebisev-ljeva aproksimacija jer unosi manja
priguenja. U prenosnom opsegu gledajui irinu prenosnog opsega najbolji je eliptini filtar onda
inverzni Csebisev filtar, Csebisev filtar pa Butterworth-ov filtar. U nepropusnom opsegu Csebisev i
Butterworth-ov filtar je u postepenom porastu i obezbeuje vee priguenje od eliptine i invrezne
Csebisev-ljeve aproksimacije. Gledano analognu ili digitalnu realizaciju filtra uvek je lake
realizovati takvu prenosnu funkciju, kada je prenosna funkcija oblika inverznog polinoma. Odnosno
kada je u izrazu (3.5) N(s) konstantan, primer kao Butterworth i Csebisev filtar, nasuprot inverznom
Csebisev-om ili eliptinim filtrima koja opisuje racionalne funkcije ( u izrazu 3.5 N(s) je takoe
polinom).
Karakter faznog i grupnog kanjenja sistema moemo uoiti na slici 3.16 u sluaju filtra
N=4-tog reda.
Kao to se vidi na slici 3.16 fazna karakteristika Bessel-ovog filtra je linearnija nego
karakteristika Butterworth-ovog filtra. Na ostalim slikama uporeujemo Butterworth-ovu
karakteristiku sa ostalim aproksimacionim faznim karakteristikama. Moe se videti da je svaki loiji
Sinteza analognih signala i mrea
39
od Butterworth-ove aproksimacije. Ovako je Bessel-ova aproksimacija od ostalih mnoga bolja. Ovo
se naroito odnosi na gornju granicu prenosnog opsega.
Na kraju ako analiziramo da jedan dati specifikacioni filtar sa kojom aproksimacijom
moemo ostvariti sa najmanjim stepenom, odgovor je jednoznaan: eliptinim filtrom ali ima
veoma lou faznu karakteristiku.
Slika 3.16. Uporeivanje faznih uglova sa upotrebom raznih filtarskih aproksimacija a) Bessel
Butterworth b) Butterworth Csebisev c) Inverz Csebisev Butterworth odnosno d) Eliptini
Butterworth
3.3.2. Frekventne transformacije
U tom sluaju ako bi projektovali visokopropusne filtre, filtre propusnika opsega itd. onda
polazimo iz raznih aproksimacija referentnog niskopropusnog filtra (Butterworth, Csebisev itd.) i
primenjujemo odreenu frekventnu transformaciju.
Pretpostavimo da je poznat jedan niskopropusni filtar sa graninom frekvencijom
c
, i
elimo ostvariti jedan drugi niskopropusni filtar sa graninom frekvencijom
c

. Onda je odreena
transformacija:
s s
c
c
,

(3.33)
ovako dobijemo jedan niskopropusni filtar sa sledeom prenosnom funkcijom:
Sinteza analognih signala i mrea
40
|
|
.
|

\
|

= s H H
c
c
p a
,
(3.34)
Na slian nain moemo odrediti odreenu prenosnu funkciju i za ostale tipove filtra.
Visokopropusni filtar dobijamo preslikavanjem niskopropusnog filtra:
s
s
c c
,

(3.35)
prenosna funkcija visokopropusnog filtra:
|
|
.
|

\
|
=
s
H H
c c
p a
,
(3.36)
Transformacija iz niskopropusnog filtra u filtar nepropusnik opsega daje za rezultat dve granine
frekvencije, donju
d
i gornju graninu frekvenciju
g
. Izraz je sledei:
) (
2
a f
f a
c
s
s
s

+
(3.37)
odreena prenosna funkcija:
|
|
.
|

\
|

+
=
) (
) (
2
a f
f a
c p sa
s
s
H s H (3.38)
Filtar nepropusnik opsega realizujemo iz niskopropusnog filtra sa sledeim
transformacijama:
f a
a f
c
s
s
s
+


2
) (
(3.39)
Granine frekvencije na slian nain definiemo kao kod filtra nepropusnika opsega. Odreena
prenosna funkcija:
|
|
.
|

\
|
+

=
f a
a f
c p sz
s
s
H s H
2
) (
) ( (3.40)
Preslikavanja filtra propusnika opsega i filtra nepropusnika opsega su nelinearna
preslikavanja i na osnovu ovoga deformiemo karakteristiku niskopropusnog filtra.
Nelinearnost u prvom redu se ne reprezentira kod prenosne funkcije, nego na osovini
frekvencije ali ne menja karakter prenosne funkcije.
Zadatak 3.1.: Transformiimo jednopolni niskopropusni filtar sa sledeom prenosnom funkcijom:
C
C
s
s H
+

= ) (
Sinteza analognih signala i mrea
41
u filtar opsega sa donjom
a
i gornjom graninom frekvencijom
f
. Odredimo prenosnu funkciju
filtra propusnika opsega, i poloaj polova i nula.
Reenje: Poeljna transformacija je izraz (3.37). Uvrteno:
f a a f
a f
c
a f
f a
s s
s
s
s
s H
+ +

=
+

+
=
) (
) (
1
) (
1
) (
2 2
prenosna funcija ima jednu nulu u s=0 odnosno polovi:
2
6
2 2
f a a f a f
s
+
=
3.4. Sinteza aktivnih RC kola
Ova knjiga se kratko bavi realizacijom RC filtra, jer uglavnom prilikom korektne izgradnje
sistema digitalnih obrada signala ispred AD konverzionog elementa moramo upotrebljavati tzv.
antialising filtar, odnosno iza DA konvertora tzv. rekonstrukcioni filtar. U mnogim sluajevima ove
filtre ostvarujemo aktivnim RC filtrima. Antialising filtrima i rekonstrukcionim filtrima se bavi peto
poglavlje.
Prvi poslovi koji se bave mrenim sintezama odnose se na pasivne RLC strujne krugove,
koje sadre otpore, kondenzatore, induktivitete odnosno idealne transformatore kao sastavne
elemente. Sa brzim razvojem telekomunikacije pojavio se vei zahtev za ovim istraivanjima. Kao
rezultat istrivanja je teorija osobine povoljne pasivne osetljivosti RLC strujnih krugova i deo
rezultata, tablice i konane formule, i tako je postalo lako za upotrebu.
Sa napretkom tehnologije operacioni pojaava je postao osnovna graa analogne
elektronike. Sa rezultatom istraivanja postalo je jasno da teko rukujui induktiviteti mogu se iz
filtarskog kruga zameniti operacionim pojaavaima, kao simulacionim konvertorima induktiviteta.
Sa usavravanjem tehnologije operacionih pojaavaa, aktivni RC filtri su osvojili veu oblast u
realizaciji filtra. Znanje koje se odnosilo na pasivne strujne krugove moglo se upotrebiti kod
realizacije aktivnih RC filtra, i krenulo je daljem teorijskom napretku.
U toku sinteze imamo dve osnovne mogunosti:
-Direktna sinteza prenosnu funkciju filtra realizujemo bez razlaganja, ili
-kaskadna sinteza prenosnu funkciju prvo rastavimo na stepene drugog reda prenosnih
funkcija, zatim pojedine delove funkcije ostvarujemo posebno osnovnim lanovima drugog stepena
vezajui ih na red.
Sinteza analognih signala i mrea
42
Poto cilj ove knjige nije detaljna aktivna RC sinteza a sa opirnijom mrenim sintezama
emo se baviti u digitalnim realizacijama, zbog toga knjiga se bavi informativnim karakterom
kaskadnih realizacija.
Kaskadni sklop svoju popularnost moe zahvaliti teorijskoj i praktinoj jednostavnosti
odnosno lakoj praktinoj upotrebljenosti. Kod kaskadne sintezi u velikom broju su kataloki
sreeni osnovni lanovi, poznate osobine stoje nam na raspolaganju i za svaku potrebu moe se nai
odreeni tip.
Za nas poseban problem ini analiza osetljivisti aktivnih RC strujnih krugova, ali nije tema
ove knjige, koga interesuje ovaj problem ima na raspolaganju veliki broj literature.
3.4.1 Realizacija akivnih RC kola
Prvo emo se baviti niskopropusnim i visokopropusnim filtrima prvog stepena.
Slika 3.17. Niskopropusni aktivan RC filtar prvog stepena
Niskopropusni filtar prvog stepena moe se realizovati jednostavno pomou slike 3.17. sa
jednim RC lanom. Prenos ovog strujnog kruga pored jedininog pojaanja A
0
=1:
s a sRC
s H
1
1
1
1
1
) (
+
=
+
= (3.41)
Parametar a
1
moe se slobodno birati ali je uglavnom a
1
=1, dok kod realizacije viih filtara
neparnih stepena mogu se pojaviti lanovi prvog stepena za koje a
1
nije jednak jedan. Posle
uporeivanja koeficijenata: RC=a
1
/2f
h,
gde je f
h
granina frekvencija filtra.
Ovaj strujni krug je nezavisan od optereenja. Operacioni pojaava odvaja optereenje i
postoji mogunost za slobodno odabiranje pojaanja jednosmerne komponente sa otporima R
2
i R
3
(A
0
=1+R
2
/R
3
).
Menjanjem elementa R i C, iz niskopropusnog filtra prvog stepena moe se realizovati
visokopropusni filtar, pogledaj strujni krug na slici 3.18.
Sinteza analognih signala i mrea
43
Slika 3.18. Visokopropusni aktivni RC filtar prvog stepena
Prenosna funkcija visokopropusnog filtra:
s a
s a
sRC
sRC
s H
1
1
1 1
) (
+
=
+
= (3.42)
Filtar propusnik opsega moe se ostvariti kao kaskadna veza jednog niskopropusnog i
visokopropusnog filtra. Na slici 3.19. se vidi objanjenje.
Slika 3.19. Realizacija filtra propusnika opsega
Prenosna funkcija filtra, kada su zanemareni pojaavaki inioci iz pojedinih osnovnih
lanova prvog stepena:
2 2 1 1
2
2 2 1 1
2 2
2 2
1 1
) ( 1
1
1
1
1
1
) (
C R C R s C R C R s
C sR
C sR
C sR
s H
+ + +
=
+
+
= (3.43)
RC proizvod niskopropusnog filtra i visokopropusnog filtra moe se povezati sa jednom
konstantom k. Konstantu k odreujemo iz jednog takvog RC proizvoda koja odgovara rezonantnoj
frekvenciji koja na logaritamsko frekventnoj skali sa lanovima R
1
C
1
i R
2
C
2
se nalazi na jednakom
rastojanju od prelomne frekvencije, kao to se vidi na slici 3.20. Opis filtra se uglavnom definie u
logaritamsko frekventnom domenu.
Slika 3.20. Prikaz definicije k parametra
Ovako stiemo do sledee povezanosti:
Sinteza analognih signala i mrea
44
k F f
kF f
k F F
k F F
R
R
R
R
/ log log log
log log log
2
1
2
1
=
=

=
+ =
(3.44)
odreene RC vrednosti ovih izraza:
R
2
C
2
=RC/k s R
1
C
1
=kRC (3.45)
uvrteno u izraz (3.43):
2 2
) ( )
1
1 ( 1
/
) (
RC s RC
k
s
k sRC
s A
+ + +
= (3.46)
normirana prenosna funkcija, rezonantne frekvencije f
r
, filtra propusnika opsega :
2
)
1
1 ( 1
/
) (
s
k
s
k s
s A
+ + +
= (3.47)
ovaj izraz ako uporedimo sa izrazom (3.7) onda je odreeni faktor dobrote:
1
2
+
=
k
k
Q (3.48)
Q-ima maximum za k=1 a njena vrednost je 1/2. Ova mala vrednost Q-a, za realizaciju veeg
izraaja bi morali upotrebljavati filtar sa veim stepenom.
Optimizirane prenosne funkcije drugog i veeg stepena sadre konjugovano komplexne pari
polove, nije mogue realizovati ovakve strujne krugove sa pasivnim RC strujnim krugovima. Ako
bi smo realizovali sa pasivnim LRC strujnim krugom onda bi moralo ugraditi induktivitete vee
vrednosti, koja uglavnom oteava realizaciju, odnosno velike geometrijske vrednosti, pojava
uzajamnog elektromagnetnog polja sa ostalim strujnim elementima u okolini induktiviteta. Sa
operacionim pojaavaem sa povratnom spregom na odreen nain, sa RC elementima strujnih
krugova moe se ostvariti takav frekvencijski domen za prenosnu funkciju, da nema potrebe za
simulacijom induktiviteta.
Prenosna funkcija niskopropusnog filtra drugog stepena:
2
1 1
0
1
) (
s b s a
H
s H
+ +
= (3.49)
iroku skalu imaju razne realizacije, sa razliitim prednostima i manama. Nije cilj ove
knjige da se detaljno bavi sa ovom temom, ve preko zadataka da prikae jedan postupak merenja
strujnog kruga sa upotrebom dosadanjih izraza.
Zadatak 3.2.:Projektujmo jedan niskopropusni filtar sa sledeim specifikacijama:
F
H
=1kHz a
H
=3dB F
S
=2.5kHz a
S
=20dB
Proverimo mogunost realizacije Butterworth-a i Csebisev-a.
Sinteza analognih signala i mrea
45
Reenje: a) Prema Butterworth-u prvo je potrebno odrediti minimalni stepen filtra:
3 51 . 2
log
log
min
= =
|
|
.
|

\
|

|
|
.
|

\
|
N N
H
S
H
s

(3.50)
dati zahtev mogue je ostvariti kaskadnom vezom osnovnih lanova niskopropusnog filtra prvog i
drugog stepena. U sluaju Csebisev realizacije:
2 91 . 1
cosh
cosh
min
1
1
= =
|
|
.
|

\
|

|
|
.
|

\
|

N N
H
S
H
S

(3.51)
dati zahtev se moe ostvariti niskopropusnim osnovnim lanom drugog stepena.
U sluaju Butterworth realizacije koeficijente odreujemo iz tablice ili raunanjem ili iz
programskog paketa. :
-niskopropusni osnovni lan prvog stepena
a
1
=1
b
1
=0
-osnovni lan drugog stepena
a
2
=2cos/4=1.4142
b
2
=1
U sluaju Csebisev realizacije:
a
1
=1.0650
b
1
=1.9305
U nastavku baviemo se problemom realizacije strujnog kruga. U sluaju Butterworth
filtarske realizacije, filtar prvog stepena realizujemo prema slici 3.17. lan drugog stepena u sluaju
Butterworth ili Csebisev realizacije, moe se realizovati tzv. Sallen-Key niskopropusnim
filtrom prema slici 3.21.
Sinteza analognih signala i mrea
46
Slika 3.21. ematski prikaz Sallen-Key niskopropusnog filtra
Osnovni karakter Sallen-Key filtra je da ima dve grane povratne sprege, jedna je negativna
povratna sprega gde se moe podeavati pojaanje strujnog kruga, dok sa pozitivnom povratnom
spregom moe regulisati karakter prenosne funkcije strujnog kruga. Ovom strujnom krugu
negativna povratna sprega dolazi do izraaja preko otpora R
3
i (1-)R
3
, a sa vrednou
podeavamo vrednost pojaanja. Pozitivna povratna sprega se deava preko kondenzatora C
2
.
Prenosna funkcija Sallen-Key niskopropusnog filtra:
| |
2 1 2 1
2
2 1 1 2 1 1
) 1 ( 1
) (
C C R R s C R C R C R s
s H
+ + + +
=

(3.52)
ova prenosna funkcija je sloena za analizu jer sadri puno nezavise promenjive. Ovaj strujni krug
moe imati vie pojednostavljenja. Najee je upotrebljavan kad je R
1
=R
2
=R i C
1
=C
2
=C.
Mogunost realizacije za razne aproksimacije imamo mogunost sa promenom koeficijenta. Posle
pojednostavljenja prenosna funkcija je sledea:
2 2 2
) 3 ( 1
) (
C R s RC s
s H
+ +
=


(3.53)
Ovaj filtarski strujni krug se pretvori u oscilatorno kolo ako je linearan i ako je koeficijent
pored lana nula, ovo je deava ako je =3. U ovom sluaju rezonantna frekvencija je f
r
=1/2RC.
Ako uporedimo koeficijente sa polaznim izrazom (3.49), onda:
1
1 1
b
a
- 3
2
= =

h
f
b
RC
Ako uvrstimo koeficijente onda dobiemo sledee protiv vrednosti kod Butterworth realizacije,
kod lana sa prvim stepenom:
RC=1/210
3
=1.59 10
-4
pretpostavimo da ja C=100nF onda R=1.59K, odnosno lan drugog
stepena:
RC=1/210
3
=1.59 10
-4
pretpostavimo da je C=100nF onda R=1.59K a vrednost faktora pojaanja
=3-1.1442=1.5858 i odavde mogue vrednosti otpora: R
4
=(+1)R
3
, a za R
3
moemo birati
proizvoljno vrednosti na primer: R
3
=10K onda je R
4
=25.86K.
Sinteza analognih signala i mrea
47
Kod Csebisev realizacije: RC=2.21 10
-4
pretpostavimo da je C=100nF onda R=2.21K a
vrednost faktora pojaanja =2.231 i odavde proizvoljno biramo vrednost R
3
, na primer: R
3
=10K
onda je R
4
=32.31K .
3.5. PLL - Fazna povratna sprega
U primeni analogne elektronike (automatika, telekomunikacija) jedan osnovni strujni krug je
PLL strujni krug. Pod analognom sintezom do sada smo se bavili samo problemom sintezom
strujnog kruga. PLL strujni krug je jedno sintetizovano sredstvo jednog analognog signala.
PLL je u sutini jedan povratni sistem, koji sinhronizuje fazu i frekvenciju jednog oscilatora
za ulazni signal. PLL se sastoji od tri glavna dela: fazni komparator, niskopropusni filtar i naponsko
kontrolisano rezonantno kolo (VCO). ematski prikaz PLL strujnog kruga se moe videti na slici
3.22.
Slika 3.22. Realizacija PLL strujnog kruga
Fazni komparator meri faznu razliku izmeu ulaznog i izlaznog signala i generie jedan
signal greke, koji je srazmeran sa merenom faznom razlikom. Ako ne uzmemo u obzir
niskopropusni filtar (iskljuimo), odnosno direktno funkcija greke upravlja sa VCO strujnim
krugom, onda su promene u izlaznom signalu skokoviti, i na osnovu ovoga u spektru izlaznog
signala zbog skokova se pojavljuju komponente visoke frekvencije. Ako filtriramo signal greke sa
niskopropusnim filtrom, onda VCO ne reaguje na ulazne signale visoke frekvencijone promene ili
um. VCO-a upravlja osnovna frekvencija signala greke i ovako PLL upravljaki krug radi kao
elektronski zamajac.
Proces uspostavljanja stanja PLL-a je jako sloeno i ne moe se jednostavnim matematikim
modelom opisati, PLL strujni krug koji se uspostavio moe se aproksimirati sa jednim linearnim
regulacionim strujnim krugom i moe se upotrebiti kod analize Laplace transformaciju.
PLL strujni krug u odnosu na ulaznu rezonantnu frekvenciju toliko tano generie jednu
drugu rezonantnu frekvenciju da njena frekvencija i faza e biti u eljenom odnosu sa ulaznim
signalom.
Izlazni napon faznog komparatora, U
vi
promenjivo povratni napon i U
be
ulazni referentni
promenjivi napon, faznu razliku izmeu njih odreuje
c
:
Petljasti
filtar
Sinteza analognih signala i mrea
48
e e e
k U = (3.54)
Frekventnoj razlici pripadajui signal greke, u stacionarnom reimu rada je nula, u ne
stacionarnom reimu u zatvorenoj sprezi sve dotle raste (dok i kod konano podeavajueg
pojaavaa) dok se frekvenciona razlika ne izgubi.
Signal greke koji pripada faznom odstupanju razlikuje se od nule. Sledea zavisnost prema
slici 3.22:
v
v
e
K
U
U = (3.55)
Veliina fazne greke:

k k K
f
f v
e

= (3.56)
gde je f frekventno odstupanje na izlazu VCO u odnosu sa izlazom naponski kontrolisanog
rezonantnog kola.
Ima integratorske osobine PLL faznog detektora i tako je fazno pomeranje:

=
t
dt
0
(3.57)
Frekvencija naponsko kontrolisanog oscilatora je funkcija U
v
niskofrekventnog signala
upravljanja:
v ki
U k

+ =
0
(3.58)
U nastavku emo dati objanjenje za razumevanje teorije rada. Pokazaemo dva uslova
sintetiziranja, jedna je direktan uslov sinteze a drugi je indirektan uslov sinteze.
3.5.1. Direktan uslov sinteze
Realizacija se vri prema sledeoj skici. Ovde sa jednom stabilnom frekvencijom
stabiliemo drugu frekvenciju.
Slika 3.23. PLL strujni krug prema direktnom uslovu sinteze
F
x
frekvenciju moemo da menjamo sa nametanjem vrednosti M i N. Sintetizovani signal je
sinusnog oblika ali ako ga upotrebljavamo u digitalnim krugovima onda se prevede na pravougaoni
signal i u ovoj formi se koristi.
Sinteza analognih signala i mrea
49
Kvarc oscilatorno kolo sintetizuje jedan sinusni signal sa frekvencijom F
0
. Ovu frekvenciju
podeliemo sa prvim digitalnim deliocem sa M celim brojem i dobiemo sinusni signal frekvencije
F
0
/M. Sintetizovanu frekvenciju emo podeliti sa N-om i dobijamo sinusni signal frekvencije Fx/N.
Sinusne signale frekvencije F
0
/M i F
x
/M posle ovoga vodimo u fazni komparator gde ih
uporeujemo.
Fazni komparator ranije se realizovao uglavnom sa digitalnim strujnim krugovima (primer
sa EXOR logikim kolima) danas ve postoje gotovi komparatorski strujni krugovi. Na sledeim
slikama je prikazan uslov rada komparatora. Prvi sluaj na slici (3.24) kad dva signala imaju istu
frekvenciju ali se razlikuju u fazi.
Slika 3.24. Vremenski dijagrami direktnog uslova sinteze kada dva signala imaju podudarnu
frekvenciju ali se razlikuju u fazi
Na slici 3.24 a) i b) mogu se videti signali na ulazu u fazni ili frekvencioni komparator. Sa
odreenom logikom postavkom imamo mogunost praenja rastue ili opadajue ivice signala, ili
moemo pratiti predznak signala greke, i na ovaj nain lako se moe zadati korekcioni pravac.
Poto se frekvencija ulaznih signala podudara (T
1
=T
2
), na taj nain fazna razlika ulaznih signala se
ne menja. Poto na PLL strujne krugove utie samo promena faze, pa konstantna fazna razlika ne
utie na komparator ve ako se ova vrednost razlike menja.
Na slici 3.25 moe se videti dva signala sa razliitim frekvencijama odnosno izlazni signal iz
komparatora.
Sinteza analognih signala i mrea
50
Slika 3.25 Drugi sluaj kada se frekvencije ulaznih signala ne slau
Kao to se vidi sa slike 3.25. fazna razlika konstantno opada odnosno
1
>
2
>
3
izmeu
dva signala razliite frekvencije. Slian je sluaj kada je rastue tendencije vremenska razlika. Sa
izlaza faznog komparatora signal dospeva do niskopropusnog filtra, gde se pretvara u polako
promenjivi jednosmeran napon. Ovako dobijen signal vodimo na VCO (naponsko kontrolisani
oscilator). Ovaj signal odreuje frekvenciju sinusnog signal koji se generie u VCO strujnom krugu.
Kao to smo videli VCO oscilatorno kolo uticajem povratne sprege trudi se da generie
frekvencioni signal, da se frekvencije faznih komparatora izjednae odnosno:
F
o
/M=F
x
/N
odnosno:
F
x
=F
o
N/M
ovako generisani signali se mogu generisati samo stepenasto, minimalni kvantum sa kojim se moe
menjati frekvencija PLL strujnog kruga je F
0
/M.
3.5.2. Posredno generisanje sa PLL strujnim krugom
Ako bi smo jednostavno eleli ostvariti sa pr. modulatorom mnoenja jedan sinusni signal
frekvencije 8.008.000Hz onda za proizvod dva sinusna signala bi sabrali dve frekvencije, signale
8MHz i 8kHz. Na izlazu kao rezultat dobiemo dva signala, za razliku frekvencije 7.992.000Hz a za
zbir 8.008.000Hz frekvencione sinusne signale. Ove signale je jako teko razdvojiti jer je relativno
rastojanje izmeu frekvencije veoma mali. Drugo reenje je ako upotrebimo tzv. uslov neposredne
sinteze.
Manji koraci izmeu dve najblie sintetizovane frekvencije se moe postii kao zbir
sintetizovanog signala sa jednim manjim promenom koraka i sa jednim veim promenom koraka, ili
sabiranjem sintetizovanih frekvencija sa veim koracima. Izdvojiemo dve grane jednog sloenijeg
sintetizatora za primer. Na slici 3.26. moe se videti blok ema sintetizatora sa mogunou velike
rezolucije.
Sinteza analognih signala i mrea
51
Slika 3.26. Posredan uslov sinteze signala
Sabiranje moemo izvriti i posredno sa stvaranjem razlike koje se moe videti i na slici
3.26. U jednoj grani sintetizatora koraamo pr.sa koracima F
1
=1MHz a u drugoj koracima F
2
=1kHz
unutranje sintetizatore i ovako moemo realizovati velike rezolucije. Ako na istom uslovu izmeu
dve vrednosti frekvencije ubacimo zanimljive vrednosti onda u velikom frekventnom domenu
dobijamo sintetizator koji raspolae sa velikom rezolucijom.
Sintetizovanu frekvenciju 8.0008.00Hz meamo u modulatoru mnoenja sa frekventnim
sinusnim signalom 8MHz koji se generie na visokofrekventnom sintetizatoru. Kao rezultat
dobijamo jedan 16.000.800Hz-ni koji sa u maloj meri razlikuje od 8kHz-nog signala. Ova dva
signala je lako odvojiti niskopropusnim filtrom. Na izlazu filtra dobijemo 8kHzni sinusni signal, i
uporeujemo niskofrekventni sinusni signal sa sintetizatorom koji se generie na izlazu 8kHz-nog
signala. Signalom koji se javlja u komparatoru upravljamo sa VCO-m. Ovim postupkom
izbegavamo potrebu preciznog filtra.
3.5.3. Primena PLL strujnih krugova
Oblast upotrebe PLL strujnih krugova jo i danas je veoma iroka, neke od njih:
-FSK dekoder
-FM demodulator
-Sinhronizacija horizontalne linije Videa
-Sintetizator-frekvencije,itd.
Za realizaciju PLL strujnih krugova, danas se koriste ve konkretno za taj zadatak
konstruisan strujni krug, kao to su napr.74HCT4046A/7046/9046 itd. strujni krugovi.
U nastavku baviemo se konkretnom primenom frekventne sinteze PLL strujnog kruga.
U povratnoj grani kao na slici 3.22. ako smestimo N frekventni delitelj onda izlazna
rezonantna frekvencija u odnosu na frekvenciju koja je na ulazu u PLL, N puta je vea, jer u
povratnoj grani postojei delitelj deli frekvenciju i na taj nain na faznom komparatoru vri se
uporeivanje na deljenoj frekvenciji.
Sinteza analognih signala i mrea
52
Zadatak 3.3. Projektujmo jedan PLL frekventni sintetizator u granicama od 1 do 5MHz, sa
koracima od 100kHz. Ulazni signal obezbeuje kristalo oscilatorno kolo.
Reenje: Na slici 3.27 moe se videti konkretno reenje strujnog kruga do eljene realizacije
PLL strujnog kruga. Ako nekoga zanima opirnije problematika projektovanje PLL strujnih
krugova, preporuena literatura (Philips 1995).
Slika 3.19. Konkretna PLL realizacija
Sluajni procesi i signali
U prethodna dva poglavlja smo se bavili determistinim signalima i sistemima. U poglavlju
koja se bavi analizom neprekidnih signala i sistema pretpostavili smo da signal koji je na ulazu u
sistem poznat, a nama je cilj bio da odredimo izlazni signal iz sistema. U ovom poglavlju baviemo
se sluajnim signalima. Sluajne signale ne moemo opisati vremenskim funkcijama ve se opisuje
matematikim statistikama. Sluajni signali su osnova za informacioni prenos.
Napredak teorije sluajnih signala kao prvo moe se zahvaliti brzom razvoju
telekomunikacije. Prema statistikim osobinama analognih signala prvo se pojavio telefon zatim
fototelegrafika kasnije televizor i njihovim razvojem neprestano je raslo. Pojava digitalne tehnike
dala je impulse za statistika istraivanja govornog signala. Poetkom pedesetih godina ve se u
velikoj meri bavili prenosom digitalne televizijske slike, gde su statistika istraivanja uticala na
odreena odabiranja modulacionih postupaka.
Ako strogo uzimamo govorni, video i muziki signali su ne stacionarni sluajni signali,
statistike osobine ovih signala zavise od onog vremena koji posmatramo i koju ogled posmatramo.
Ako imamo veliki broj ogleda i izvrimo usrednjavanje prema vremenu i prema ogledima onda
moemo pretpostaviti da imamo zadatak sa ekvivalentnim stacionarnim i ergodinim sluajnim
procesom.
Statistike osobine prirodnih signala uglavnom ispitivamo na trenutnim vrednostima ili na
nivoima funkcije gustine, korelacionim funkcijama i na snazi spektralne funkcije gustine.
Poglavlje poinje opisom sluajne promenljive. U nastavku opisujemo sluajne procese. Na kraju
emo se baviti filtriranjem sluajnih signala.
4.1. Sluajne promenljive
Kao prvo definiimo i sortirajmo pojmove u vezi sa verovatnim promenljivama. Neka
bude pripadajue polje dogaaja jednog sluajnog ogleda. Bilo koja taka polja dogaaja opisuje
( ) ( ) ( ) | |
2 2 1 1 2 1 2 1
, Pr , ; , x t X x t X t t x x P =
Sluajni procesi i signali
54
mogui izlaz, rezultat najee nije brojani podatak ali sa nekim zakonima moe se dobiti i
brojana vrednost.
Definicija sluajne promenljive
Ako elementima prostoru dogaaja dajemo jednu brojanu vrednost, ovako dobijene, od
sluajnosti (sluajnog osnovnog dogaaja) zavisne promenljive nazivamo sluajna promenljiva
(sluajnu promenljivu nazivamo stohastika promenljiva). Sluajnu promenljivu moemo definisati
i na drugi nain: onu matematiku funkciju nazivamo sluajna promenljiva koja opisuje odnos
izmeu jedne take dogaaja i jedne take kordinatnog sistema. Sluajne promenljive moemo
podeliti na dve grupe, neprekidne i diskretne sluajne promenljive. Ove promenljive mogu biti
diskretne i neprekidne.
Neprekidnim sluajnim promenljivama nazivamo takve promenljive verovatnoe, ija
vrednost se ispunjava na celom intervalu brojne ose
Ako su vrednosti sluajne promenljive na brojnoj osi diskretne vrednosti, onda je sluajna
promenljiva diskretna.
Funkcija raspodele sluajne promenjive
Kao kod diskretne tako i kod neprekidne raspodele moe se zadati sluajna funkcija
raspodele F(x), gde uzeta vrednost na mestu X-a zadaje koji deo promenljive pripada pod granicu
x-a. U sluaju neprekidne sluajne promenljive:
( ) ( ) x X P x P x F
r X
= = ) ( (4.1)
U diskretnom sluaju:

<
=
x x
i
i
p x F ) ( (4.2)
gde je p
i
i-ti sluajni diskretni dogaaj.
Funkcija gustine sluajne promenljive
Ako postoji takva realna f() integrisana funkcija za koju:
( )


=
x
d f x F ) ( (4.3)
onda je F(x) apsolutno neprekidna i f() je poznata kao sluajna funkcija gustine
Prvi izvod funkcije raspodele:
( )
dx
x dF
x f = ) ( (4.4)
osnovna osobina funkcije gustine je da na celom prostoru dogaaja vrednost integrala bude jedan
(normiranje):
Sluajni procesi i signali
( ) 1 =


dx x f (4.5)
jer od (-,+) treba da sadri sve vrednosti X-a. Isto tako:
| | ( )

=
2
1
2 1
Pr
x
x
dx x f x X x (4.6)
U sluaju diskretne sluajne promenljive,sluajna funkcija raspodele se definie na sledei
nain:
{ }

= =
x x
j
j
x f x X P x F ) ( ) ( (4.7)
Zadatak 4.1. Odredi funkciju raspodele sluajne promenljive X ako je sluajna funkcija sledea:
0 x , 2 ) ( > =
x
x f
za dva sluaja: a) ako je X promenljiva diskretna promenljiva
b) ako je X promenljiva neprekidna promenljiva.
Reenje: a) Poto je
... 2 ... 2 2 2 ) (
] [ 3 2 1
+ + + + + = =

<

x
x x
i
i
p x F
gde (x) oznaava celobrojni deo X promenljive. Zbir ovog reda, ako x traje do beskonanosti
jednak je 1 (jedinici). Na sledeoj slici su prikazane diskretne funkcije f(x) i F(x).
Slika 4.1. U zadatku zadata diskretna promenljiva a) sluajna funkcija i b) funkcija raspodele
b) Kada je sluajna promenljiva neprekidna onda:
4427 . 1 0
2 ln
1
2 ln
2
2 ) ( ) ( '
0
0 0
= = = = =

+

+

x
x
dx dx x f x F
Sluajni procesi i signali
56
Slika 4.2. U sluaju neprekidne sluajne promenljive a) sluajna funkcija i b) funkcija raspodele u
normalizovanom i ne normalizovanom sluaju
Na osnovu vrednosti F

(x) polaznu sluajnu funkciju moramo podeliti sa vrednou F

(x)
onda e postati korektna funkcija.
Na slici 4.2. moe se videti neprekidna promenljiva verovatnoe X a) funkcija verovatnoe
odnosno b) funkcija raspodele. Kao to se moe videti iz funkcije raspodele ako x onda F(x)1 i
zbog ovoga se moralo normirati funkcija verovatnoe. Na obe slike se mogu videti normirane i
nenormirane funkcije, i one su i posebno oznaene.
Oekivane vrednosti i momenti
Izraunavanje prosene vrednosti sluajne promenljive moe biti od velikog znaaja kod
tehnikih zadataka. Pojam statistiko usrednjavanje se odnosi kako na diskretne tako i na
neprekidne sluajne promenljive.
Oekivana vrednost neprekidne sluajne promenljive:
{ } ( )


= dx x f x X E (4.8)
n-ti momenat:
{ } ( )


= dx x f x X E
n n
(4.9)
kvadrat rasipanja:
{ } ( ) { } { } { } X E X E X E X E
X
2 2 2 2
= = . (4.10)
Oekivana vrednost diskretne raspodele:
i
i
i
p x X E

= ) ( (4.11)
normiran
nije normiran
Sluajni procesi i signali
kvadrat rasipanja:
2
2 2
|
.
|

\
|
=
i
i
i i
i
i X
p x p x (4.12)
na slian nain n-ti centralni momemat:
{ } ( ) { } { } ( ) ( )


= dx x p X E x X E x E
X
n n
(4.13)
Od X promenljive stvorena sluajna promenljiva aX+b (gde su a i b konstante) prvi momenat i
drugi centralni momenat:
( )
) ( ) (
) (
2 2
X a b aX
b X aE b aX E
X X
= +
+ = +
(4.14)
Viedimenziona raspodela
U nastavku od viedimenzionih raspodela mi emo obraivati samo dvodimenzionalna. Kod
viedimenzionalnih raspodela vae isti zakoni kao kod dvodimenzionalnih samo malo proireno sa
brojem dimenzija.
Preko dvodimenzionalne sluajne promenljive odreene take (X,Y) stvaraju jednu
neprekidnu ravnu , onda vektorsku promenljivu nazivamo neprekidnom. Grupna funkcija
raspodele:
( ) | | y Y x X y x P Y X F
XY
= = ; Pr , ) , ( (4.15)
funkcija gustine:
( )
( )
y x
y x F
y x f

,
,
2
= (4.16)
na celoj povrini gde je definisana raspodela, normirani uslov mora biti ispunjen:
( ) 1 , =


dy dx y x f (4.17)
U sluaju diskretne vektorske promenljive, ako preko njega stvaraju (x
k
,y
i
) brojne parove
koji ine konanu ili beskonanu mreu onda je raspodela:
) , (
k i ik
y Y x X P p = = = (4.18)
U sluaju ako su promenljive nezavisne onda se funkcija gustine moe opisati kao:
( ) ( ) ( ) y f x f y x f = , (4.19)
Novu promenljivu obeleavamo sa Z-om i pretpostavimo jednu osnovnu funkciju za opis
odnosa izmeu promenljive:
Sluajni procesi i signali
58
( ) Y X g Z , = (4.20)
u prvom momentu moe se opisati u sledeoj formi:
{ } ( )


= dz z f Z Z E (4.21)
kada uvrstimo poetne promenljive, grupnu funkciju raspodele moemo pisati:
Pr Pr , z Z z z x X x x y Y y y + = + +
osnovni gradijent funkcije raspodele:
( ) ( ) dy dx y x f dz z f , = (4.22)
i na kraju prvi momenat Z-promenljive izraen preko X i Y funkcije sluajne promenljive:
{ } ( ) ( )


= dy dx y x f y x g Z E , , (4.23)
Ako uzmemo jedan specijalan sluaj kada je Z=X*Y onda:
{ } ( )


= dy dx y x f y x Y X E , , (4.24)
odnosno pretpostavimo da su X i Y sluajne promenljive nezavisne jedan od drugog:
{ } ( ) ( ) { } { } Y E X E dy y f y dx x f x Y X E = =



, (4.25)
Z=a
1
X
1
+a
2
X
2
gde su a
1
i a
2
konstante a X
1
i X
2
nezavisne promenljive onda na osnovu
izraza 4.14 sledi:

Z X X
a a
2
1
2 2
2
2 2
1 2
= + (4.26)
odnosno u osnovnom sluaju:
Z a X
i i
i
n
=
=

1
(4.27)
gde su X
1
,X
2
,....,X
n
statistiki nezavisne promenljive:

Z i X
i
n
a
i
2 2 2
1
=
=

Sluajni procesi i signali


Nekoliko karakteristinih funkcija raspodele
Ravnomerna ili uniformna raspodela
U veini sluaja ne poznajemo tip funkcije raspodele sluajne promenljive, za dobru polaznu
taku se smatra u analizi ako pretpostavimo jednu funkciju raspodele za koje je sluajnost
pojavljivanja ista za svaku sluajnu promenljivu, odnosno:
( )

=
inace
x X x
x x
x f
0
1
2 1
1 2
(4.28)
Na slici 4.3 prikazana je ravnomerna raspodela funkcija sluajne promenljive.
Slika 4.3. Prikaz funkcije sluajne promenljive koja raspolae uniformnom raspodelom
Normalna ili Gauss-ova sluajna promenljiva
Jako upotrebljavana funkcija raspodele je tzv. Gauss-ova funkcija raspodele. Odreenu
funkciju gustine moemo opisati na sledei nain:
( )
( )
=

x e x f
x
2
2
2
2
1


(4.29)
gde su i konstante. Sluajna promenljiva prema Gauss-u je dvoparametarska, odreuje
srednju vrednost sluajne promenljive dok odreuje rasipanje sluajne promenljive. Na slici 4.4.
moe se videti funkcija gustine Gauss-ove raspodele.
Slika 4.4. Prikaz funkcije sluajne promenljive koja raspolae Gauss-ovom raspodelom
Sluajni procesi i signali
60
Postoje i druge vane funkcije raspodele pr. binomalni, Poisson, Rayleight itd.
Zadatak 4.2. Odredite oekivane vrednosti i kvadrat rasipanja u sluaju
a) Uniformne raspodele i
( )

=
inace
x x x
x x
x f
0
1
2 1
2 1
b) Normalne raspodele:
( )
( )
=

x e x f
x
2
2
2
2
1



Reenje:
a) Oekivana vrednost i drugi momenat u sluaju ravnomerne raspodele:
{ } ( )
2 1
1 2
2
1
2
1
x x dx
x x
x
X E
x
x
+ =

=

{ }
( )
1 2
3
1
3
2
1 2
2
2
3
2
1
x x
x x
dx
x x
x
X E
x
x

=

Na osnovu izraza (4.10):
( )
12
2
1 2 2
x x
X

=
b) U sluaju Gauss-ove raspodele:
{ }
( )



= dx e x X E
x
2
2
2
2
1


ako uvrstimo x=y+:
{ }
(

+ =


dy e y dy e y X E
y y
2 2 2 2
2 2
2
1


Prvi integral je nula jer je integrant neparna funkcija prema y-onu, a drugi integral prema
tablici 2 :
{ } = X E
odnosno
{ }
( )



= dx e x X E
x
2
2 2 2
2
1



Sluajni procesi i signali
sreen izraz:
{ }
2 2 2 2 2
ili = + =
X
X E
4.2. Sluajni procesi
Sluajni procesi su proirenje pojma sluajne promenljive. Za to imamo jedan jednostavan
primer i u ovom primeru emo objasniti pojedine izraze. Pretpostavimo da sa elektronskim
instrumentom neprekidno u toku vonje merimo vazduni pritisak u gumama. U toku vonje obru
gume prolazi kroz razne promene oblika, zbog ovoga se neprekidno menja pritisak u gumama. U
odreenom trenutku izmeren vazduni pritisak, oznaava sluajnu promenljivu. Promenljiv pritisak
u funkciji vremena nazivamo sluajna ili stohastina funkcija, odnosno proces.
Sluajne procese moemo podeliti prema slici 4.5. U ocenjivanju sluajnih procesa najiri
pojam je sam sluajni (stohastini) proces, ui pojam je stacionarni i unutar njega se nalazi
ergodini proces.
Slika 4.5. Uvrtavanje sluajnih procesa
Za stohastini proces kaemo da je stacionaran ako je u vremenu homogen odnosno
statistike osobine u vremenu ostaju nepromenjene.
Sluajni proces je ergodian ako je proces stacionaran i skoro svuda je isti statistiki
prosek i vremenski prosek
4.2.1. Definicija sluajnog procesa
Stohastiki proces je takav sluajni proces iji su izlazi beskonani redovi ili funkcije
nasuprot jednostavnim sluajnim promenljivama iji su izlazi brojevi ili vektori konanih dimenzija.
Drugim reima jedan { X(t) } stochastini proces je takav sluajni proces iji su izlazi t funkcije.
Vrednosti procesa u vremenskim takama t
1
, t
2
, t
3
,....,t
n
, su vrednosti X(t
1
), X(t
2
),....X(t
n
) koji
stvaruju red od sluajne promenjive.
Jedan stochastini signal je mnotvo takvih signal, iji vremensku zavisnost ne znamo ili ne
elimo zadati, ali moemo odrediti odreene zajednike karaktere signala odnosno karakteristike
stochastinih signala. Signali x(1), x(2), x(3),... su x=x(t) ili x=x|k| vremenski neprekidne ili
karakteristike diskretnih vremena.
Sluajni procesi i signali
62
Sluajne procese moemo ilustrovati sa vrednou pritiska koji je u obruu gume primer. u
tom sluaju ako na jednoj pravilnoj povrini makadama se voze razni automobili. Ovi automobili
imaju razne amortizere pa prolazei kroz isti makadam klizei meusobno u vremenu, pa merena
amplituda signala i faza e se razlikovati od jednog auta do drugog auta kao i od jednog obrua
gume do drugog. Rezultat je mrea signala. Pojedini predstavnici ovih mrea mogu se videti na
slici 4.4. i pretpostavimo harmonijske oscilacije u osnovnom sluaju, pa se mogu opisati sa
sledeim izrazom:
( ) ( ) Y t Z t X
c
+ = cos ,
gde su X i Y sluajne promenljive, i one predstavljaju mreu svih talasa koje stvaraju ansambl.
Ansambl, sa sluajnom funkcijom-gustine i Y i Z sluajnom promenjivom stvaraju sluajni proces.
Slika 4.5. Prikaz nekoliko sluajnih procesa koji stvaraju ansambl, odnosno funkcionu- ili
realizacionu celinu
4.2.2. Oznaavanja
U osnovnom sluaju, sluajni proces moe se opisati sa X(t, ). Zavisno od toga da za t i
kakve osobine su zadate, moe se opisati sledee:
1. Ansambl (funkciona ili realizaciona celina), ako su t i promenljive.
2. Jedan lan Ansambl-a je funkcija, ako je t promenljiva a konstanta.
3. Sluajno promenljiva, ako je t konstanta a promenljiva.
4. Samo jedan broj, ako su t i konstante.
4.3. Statistike prvog i drugog reda
U nastavku emo se baviti postupkom za usrednjavanje koje vae za stochastine procese.
Ovi postupci za usrednjavanje lie na srednje vrednosti obinih funkcija, ili na momente sluajne
promenljive, i na pojam oekivane vrednosti.
Sluajni procesi i signali
Za stochastine procese mogua su dva postupka za usrednjavanje:
- prvi proces pripada sigurnom uvrenom trenutku, oekivanim
vrednostima konano mnogo promenljive verovatnoe, sastoji se od
odreivanje momenata i ovi su statistiki proseci,
- drugi celoj vremenskoj funkciji ili samo jednom delu vremenskog trajanja,
ukupnoj vremenskoj taki pripadajui konano mnogo promenljive
verovatnoe (proces) pripada realizaciji, ovi su vremenski proseci.
Moe se koristiti za raunanje statistikog proseka, za momentume se odnosi ista zamisao
kao za sluajne promenljive.
U sluaju vremenskih proseka ako je re o razliitim prosecima koji su izraunati jedinom
realizacijom, ako uopte postoje ovakvi proseci. Vremenski prosek je uvek u vezi sa nekom
poznatom realizacijom, za izraunavanje nije potrebno poznavati sluajnu raspodelu procesa.
Vrednost ili je identina za sve mogue sluajne funkcije procesa, ili nije.
Za vrednost konstante t sluajnu promenljivu X(t), i ona je zastupljena trenutnom vrednou
ansambl-a kod razliitih oblika siganala. Funkcija sluajne raspodele ili sluajna funkcija gustine
respektivno od X(t):
( ) ( ) | | ( )
( )
x
t x P
t x f x t X t x P

;
; ; Pr ; = = (4.30)
Ove dve funkcije stvaraju statistiku prvog reda sluajnog procesa.
U dva trenutka t
1
i t
2
imamo dve razliite sluajne promenljive X(t
1
) i X(t
2
). Zajednika
sluajna funkcija i funkcija-gustine jedino zavise od t
1
i t
2
, i na sledei nain ih obeleavamo:
( ) ( ) ( ) | |
2 2 1 1 2 1 2 1
, Pr , ; , x t X x t X t t x x P = (4.31)
( )
( )
2 1
2 1 2 1
2
2 1 2 1
, ; ,
, ; ,
x x
t t x x P
t t x x f

= (4.32)
Ova dva izraza opisuju statistiku drugog reda. Statistiku vieg reda na slian nain definiemo.
4.4. Kordinatne transformacije
Na polju prirodnih nauka za obradu i tumaenje date mree podataka u veini sluaja u
jednom generalizovanom transformisanom kordinata sistemu jednostavnije je i lake se moe
upotrebiti nego kao sama izvorna kordinata podataka. Ovu kordinatu ne trebamo shvatiti samo u
geometrijskom obliku ve, moe i kao odnos napon-struja, ali u isto vreme je i Descartes
transformacija polarnih kordinata. Problem je uglavnom izraunavanje vrednosti funkcije ije
argumente poznajemo. Uzajamna povezanost moe biti determistina ili sluajna. U ovom sluaju
argumenti su sluajni. Veza moe biti jednoznana ili ne jednonoznana. Preko zadataka elimo da
razistimo sutinu transformacionog postupka.
Sluajni procesi i signali
64
Zadatak 4.3.: Odredi statistku prvog reda f(x;t) za sledei sluajni proces:
( ) 5 2 = t Y t X
gde je Y sluajno promenljiva sa sledeom funkcijom raspodele:
( ) =

y e y p
y
Y
2
2
2
1

Slika 4.6. Funkcija preslikavanja x=2yt-5 (za zadatak 4.3.)


Reenje: Ako su x i y mogue vrednosti za X(t) i Y onda je x=2yt-5 ili y=
t 2
1
(x+5). Na osnovu
slike 4.6.:
| | | | dy y Y y dx x X x + = + Pr Pr
( ) ( ) ( )
( )
dy dx
y f
x f ili dy y f dx x f = =
poto je dy
dx
=2t, statistika prvog stepena X procesa:
( ) ( )
( )
= =
+
x e
t
x f t x f
t x
2 2
8 5
2 2
1
;

Sledei zadatak je vaan jer nije jedinstveno preslikavanja. Istoj vrednosti Y-na odgovara vie X
vrednosti.
Zadatak 4.4. Odredite statistiku prvog reda f(x; t), za sledei sluajni proces:
Sluajni procesi i signali
( ) ( ) Y t t X
c
+ = sin
gde Y sluajno promenljiva se moe karakterisati sa sledeom funkcijom gustine:
( )


=
inace
y
y p
Y
0
2 0
2
1

Slika 4.7. Funkcija preslikavanja x=sin(


c
t + y) (za zadatak 4.4)
Reenje: Ako su x i y mogue vrednosti za X(t) i Y, onda x=sin(
c
t + y) prema slici 4.7:
Pr Pr
Pr
x X x dx y Y y dy
y Y y dy
+ = + +
+
1 1 1
2 2 2
+
( ) ( ) ( )
2 2 1 1
dy y f dy y f dx x f + =
Odavde je
( )
( )
( )
( )
( )
2
2
1
1
y x
y f
y x
y f
x f

=
gde je:
( ) ( )
2
1 cos x y t
dy
dx
y x
c
= + = =
poto je ( ) ( )
2 1
y x y x = moe se odrediti za X, statistika prvog reda:
( ) ( )

<

= =
inace
x
x
t f t x f
0
1
1
1
;
2

4.5. Momenti i autokorelacija


Oekivana vrednost statistike prvog reda, kvadrat oekivane vrednosti statistike drugog reda
drugi momenat sluajnog procesa u svakom t trenutku
( ) { } ( )


= dx t x f x t X E ; (4.33)
Sluajni procesi i signali
66
( ) { } ( )


= dx t x f x t X E ;
2 2
(4.34)
Statistike drugog reda je autokorelaciona funkcija:
( ) ( ) ( ) { } ( )


= =
2 1 2 1 2 1 2 1 2 1 2 1
, ; , , dx dx t t x x f x x t X t X E t t r
X
(4.35)
Autokorelacija opisuje odnos vrednosti prethodne funkcije u vremenskom trenutku t=t
1
i t=t
2
.
Zadatak 4.5.: Odrediti:
a) oekivanu vrednost, kvadrat rasipanja i autokorelaciju za sluajni proces
zadatka 4.3.
b) ponovite deo zadatka pod a) za zadatak 4.4.
Reenje: a) prema delu pod b) iz zadatka 4.3. i 4.4.:
E{X(t)}=E{2Yt - 5}=2E{Y}t-5
E{X
2
(t)}=E{(2Yt 5)
2
}=E{4Y
2
t
2
20Yt +25}
( ) ( )( ) { } { } ( ) { } 25 20 4 5 2 5 2 ,
2 1
2
2 1 2 1 2 1
+ + = = Y E t t Y E t t Yt Yt E t t r
X
poto se Y slui Gauss-ovom raspodelom:
{ } { } 1 0
2
= = Y E Y E
posle uvrtavanja:
E{x}= -5 E{X
2
}=4t
2
+ 2
( ) 25 4 ,
2 1 2 1
+ = t t t t r
X
b) Prema zadatku 4.4.:
( ) { } 0
1
1
1
1
2
=

dx
x
x
t X E

( ) { }
2
1
1
1
1
1
2
2
2
=

dx
x
x
t X E

( ) ( ) ( ) { }= + + = Y t Y t E t t r
c c X 2 1 2 1
sin sin ,
( ) ( ) { }= + + = Y t t E t t
c c c c
2 cos
2
1
cos
2
1
2 1 2 1

( ) | |
2 1
cos
2
1
t t
c
=
Sluajni procesi i signali
4.6. Vremenski proseci
Ako se koncentriemo na jednu konkretnu realizaciju procesa, onda je vremenski prosek ove
realizacije X(t):


=
T
T
T
dt t X
T
X ) (
2
1
lim (4.36)
Definicija pretpostavlja da postoji konana granina vrednost. Moe se desiti da za pojedine
realiacije {X(t)} ne postoji X.
Moe se uvesti vremensko usrednjavanje drugog reda:


+ = +
T
T
T
dt t X t X
T
t X t X ) ( ) (
2
1
lim ) ( ) ( (4.37)
Ovo usrednjavanje meri uzajamno delovanje ili koherenciju izmeu rastojanja vremenskih
taaka, pripadajue vrednosti posmatranih vremenskih funkcija. Ovo usrednjavanje veoma esto se
pojavljuje kod procenjivanja tehnikih problema.
4.7. Stacionarni procesi
Sluajni procesi onda su stacionarni ako X(t) i X(t+T) raspolae istom statistikom za sve T
vrednosti.
U mnogim praktinim problemima se ograniavamo na stacionarnost prvog reda eventualno
drugog reda. Jedan proces je prvog reda ako funkcija raspodele F(x,t) ne zavisi od t, odnosno
F(x,t)=F(x). Stacionarnost je drugog reda ako za svaki par (X
i
,X
j
) zajednika raspodela zavisi samo
od (t
i
- t
j
) vremenske razlike i nezavistan je od absolutnog vremena. Jedan proces je strogo
stacionaran ako bilo koju realizaciju u vremenu pomerimo, jednu drugu realizaciju, i dobijamo
jedan drugi element funkcione celine (ansambl). Jedan proces je u irok ili u irem smislu
stacionaran ako oekivana vrednost X(t) je konstantna, i autokorelacija zavisi samo od vrednosti
t
2
-t
1
:
( ) { } ( ) ( ) { } ( )
2 1 2 1
t t r t X t X E const t X E
X
= = (4.38)
za ovakav proces kaemo da je u irem smislu stacionaran.
Strogo stacionaran proces je stacionaran i u irem smislu, ali u suprotnom smeru bezuslovno
nije istina.
Neemo se baviti opirno ergodinim procesima, samo preko jednog zadatka.
Sluajni procesi i signali
68
Zadatak 4.7. Odredite, da li je :
Z(t)=Asint + Bcost
stochastini proces ergodian ili nije. A i B su nezavisne promenljive uniformne raspodele, sa
parametrom.
Reenje: Za to da bi smo mogli odrediti da li je ovaj proces ergodian moramo uporediti statistiko i
vremensko usrednjavanje. Prvo emo izraunati drugi centralni momenat za dati stochastiki
proces:
( ) ( ) { } { } ) cos sin )( cos sin (
2 2 1 1 2 1
t B t A t B t A E t Z t Z E + + =
{ } ) cos cos cos sin cos sin sin sin (
2 1
2
1 2 2 1 2 1
2
t t B t t AB t t AB t t A E + + + =
{ } { }( ) { }
2 1
2
1 2 2 1 2 1
2
cos cos cos sin cos sin sin sin t t B E t t t t AB E t t A E + + + =
{ } { } { }
2 1
2
2 1 2 1
2
cos cos ) sin( sin sin t t B E t t AB E t t A E + + + =
| | ) cos( ) sin(
2 1 2 1
t t t t + + =
prosek je vremenski zavistan.
Da bi odredili vremensko usrednjavanje biramo dve realizacije:
t B t A t Z cos sin ) (
1 1 1
+ =
t B t A t Z cos sin ) (
2 2 2
+ =
gde su A
1
, A
2
, B
1
i B
2
brojevi koje mogu uzeti A i B sluajne promenljive. Vremensko usrednjavanje
drugog reda:
)] cos( ) sin( )[ cos sin ( ) ( ) (
2 2 1 1
+ + + + = + t B t A t B t A t Z t Z
) cos( cos ) cos( sin ) sin( cos ) sin( sin
2 1 2 1 1 2 2 1
+ + + + + + + = t t B B t t B A t t B A t t A A
)] cos( ) 2 [cos( )] sin( ) 2 [sin(
)] sin( ) 2 [sin( )] cos( ) 2 [cos(
5 . 0
2 1 2 1
1 2 2 1


+ + + +
+ + + + +
=
t B B t B A
t B A t A A
od vremenskog usrednjavanja zavisi odabiranje mrenih elemenata pr. =0 onda se jednoznano
vidi:
) ( 5 . 0
) 2 cos( ) 2 sin(
) 2 sin( ) 2 cos(
] 1 ) 2 [cos( ] 0 ) 2 [sin(
] 0 ) 2 [sin( ] 1 ) 2 [cos(
5 . 0 ) ( ) (
2 1 2 1
2 1 2 1
1 2 2 1
2 1 2 1
1 2 2 1
A A B B
t B B t B A
t B A t A A
t B B t B A
t B A t A A
t Z t Z
+
+
+ +
=
+ +
+ + +
= +
jer jedan proces onda je ergodian ako vremensko usrednjavanje postoji za svaku realizaciju
procesa i njena vrednost ne zavisi od toga koju realizaciju smo odabrali. Prema gornjem raunanju
Sluajni procesi i signali
ima bar jedan vremenski prosek drugog reda koji zavisi od toga koju realizaciju biramo. Pa tako
ovaj proces je ne ergodian.
4.8. Spektralni domen
Determistine signale karakteriemo u spektralnom domenu amplitudskom, faznom
karakteristikom i spektralnom funkcijom gustine energije. Sluajne procese ne moemo ovako
karakterisati, jer energija sluajnih signal je beskonana. Uglavnom beskonane procese
karakteriemo u spektralnom domenu, spektralnom funkcijom gustine snage. U nastavku
analiziraemo signal x(t):
( )
( )


=
inace
T t t x
t X
T
0
(4.33)
prosena snaga signala u intervalu (-T,T):
( ) ( )



= = dt t X
T
dt t x
T
P
T
T
T
T
2 2
2
1
2
1
(4.34)
isto tako prema Parserval-ovom zakonu:
( )
( ) ( ) t X j X
d
T
j X
P
T T
T
T
F = =

ahol
2 2
2
(4.35)
Izraz
( )

2
2
j X
T
je srednja vrednost snage, za jedinstvenu frekvenciju (Hz), odnosno od X
T
(t)
spektralna vrednost gustine snage (PSD=power spectral density). Poto x(t) predstavlja realizaciju
sluajnog procesa X(t), zbog toga:
( )
( )

=
T
j X
E t X
T
T
2
az PSD
2

(4.36)
poto X
T
(t)X(t) kada T traje do beskonanosti:
( ) ( )
( )

=

T
j X
E S t X
T
T
X
2
lim PSD
2

(4.37)
Prema definiciji S
x
() je funkcija gustine snage sluajnog procesa. Za stacionarne procese
PSD je autokorelacioni Fourier-transformacioni par.
( )
( ) ( )
)
`

=

T
j X j X
E S
T T
T
X
2
lim
*

( ) ( )
)
`

=




T
T
T
T
t j t j
T
dt e t X dt e t X E
T
1 1 2 2
1 2
2
1
lim

( ) ( ) { }
( )




=
T
T
T
T
t t j
T
dt dt e t X t X E
T
2 1 2 1
1 2
2
1
lim

(4.38)
Sluajni procesi i signali
70
Ako je proces stacionaran u irem smislu onda:
( ) ( ) { } ( )
1 2 2 1
t t r t X t X E
X
= (4.39)
pa moemo pisati:
( ) ( )
2 1 1 2
2
1
lim dt dt t t f S
T
T
T
T
T
X



=

(4.40)
gde je
( ) ( )
( )
1 2
1 2 1 2
t t j
X
e t t r t t f

=

(4.41)
Prethodni dvostruki integral predstavlja vrednost integrala ispod povrine, ispod jedne
kvadratne povrine, kao na slici 4.8. Poto je f(t
2
-t
1
) konstantna du svake prave, koju opisuje
sledea jednaina:
t t c
2 1
= + (4.42)
elementarna povrina je ograniena sa jednim kvadratnim povrinom kao sa koturom cele povrine i
sa sledeim pravama:
t t t t d
2 1 2 1
= + = + + (4.43)
Slika 4.8. Ogranieni domen y=f(t
2
-t
1
)
i priblino je konstantna. Iz geometrija sa slike 4.8.:
( )
( )

< +

0 2
0 2


za d T
za d T
dA (4.44)
odnosno dA=(2T- )d zbog ovoga je elementarni kubni sadraj t
2
-t
1
=:
( )( ) d T f dV | | 2 = (4.45)
iz izraza (4.40):
( ) ( )( )


=
T
T
T
X
d T f
T
S
2
2
2
2
1
lim
Sluajni procesi i signali
( ) ( )




=
|
|
.
|

\
|
=

d f d
T
f
T
2
1 lim (4.46)
prema izrazu (4.41):
( ) ( )

=

d e r S
j
X X
(4.47)

poto vai


|r
x
()|d< pa ovako postoji Fourier transformisan par koje moemo pisati u
sledeoj formi:
( ) ( ) ( ) { } ( )


= + =



d e S t X t X E r
t j
X X
2
1
(4.48)
Zadatak 4.6. Odredimo PSD kod sluajnog procesa zadatka 4.3. i komentariimo rezultat.
Reenje: Prema reenju zadatka 4.4. pod b) moemo izraziti autokorelaciju procesa kao:
( )
c
r cos
2
1
=
odavde prema izrazu (4.48):
( ) ( ) ( ) | |
c c X
S

+ + =
2
Autokorelacija je parna funkcija od -a, pa zato:
( ) ( ) =
X X
r r
to se lako moe dokazati, i S
x
() je takoe parna funkcija od . Posle ovoga izraze moemo
opisati kao Fourier transformacione parove:
( ) ( ) ( )


= d r S
X X
cos
( ) ( ) ( )

d S r
X X
cos
2
1
Ako je =0 onda:
( ) ( )


= d r S
X X
0
Odnosno cela povrina ispod autokorelacione funkcije jednaka je sa PSD-om na nultoj frekvenciji.
Oekivana srednja vrenost snage X(t):
Sluajni procesi i signali
72
( ) { } ( ) ( )


= =

2
0
2
d
S r t X E
X X
Sluajni proces za koji je PSD konstantan na celom frekventnom domenu taj sluajni proces
nazivamo beli um. Ako
( ) K S
X
=
onda
( ) ( ) K r
X
=
odnosno autokorelacija belog uma je deltaimpuls u centru kordinatnog sistema.
Analogno/digitalna i digitalno/analogna
pretvaranja
Prirodni signali uglavnom su neprekidni a veoma retko samo impulsni. Ako ove signale
elimo obraditi digitalnim sistemima, procesorskom tehnikom, u tom sluaju neprekidne signale
moramo pretvoriti u digitalne signale odnosno brojeve. U procesu digitalizacije dogaaju se dve
diskretizacije kao prvo javlja se vreme (uzorkovanje) posle toga prema amplitudi (tzv. kvantizacija).
Proces digitalizacije nazivamo pretvaranje AD (Analogno Digitalno). Ovu konverziju vrimo tzv.
AD konvretorom.
Sa AD konverzijom dobijene signale obraujemo najee sa DSP procesorom. Digital
Signal Processor u prvom redu je izraen procesor za obradu signala. Mnoge operacije se moge
izvriti sa ovim signalima. U sledeem poglavlju knjiga se bavi ovim osnovnim operacijama. U
ovom poglavlju posebno emo se baviti sa problematikom ponovnog uzorkovanja. Ponovno
uzorkovanje pokriva dve vrste operacije, jedna je guivanje uzoraka, uklapanje novih uzoraka
izmeu postojeih sa interpolacijom, a druga je desetkovanje uzoraka, kada iz postojeeg reda
uzoraka samo svaki N-ti uzorak zadrimo a ostale zanemarimo.
Posle obrade najee se ukazuje zahtev za pretvaranje obraenih redova brojeva u analogne
signale. Ova konverzija se moe ostvariti tzv. DA (Digitalno Analognim) pretvaraem.
ematski prikaz sistema digitalne obrade signala se moe videti na slici 5.1.
Slika 5.1. ematski prikaz sistema digitalne obrade signala
Analogno/digtalno i digitalno/analogno pretvaranje signala
74
5.1. Uzorkovanje analognih signala
Diskretne signale x

(t) uglavnom stvaramo uzorkovanjem neprekidnih signala. U ovom pod


poglavlju se bavimo samo sa periodinim odnosno uniformnim uzorkovanjem. Pretpostavimo da se
uzorkovanje deava sa idealnim uzorkovanim strujnim krugom (slika 5.2).
Slika 5.2. Periodini uzorkovani strujni krug
Idealni uzorkiva je impulsni amplitudni modulator ili jednostavno mnoako strujno kolo,
iji rad opisujemo sa sledeom jednainom:
) ( ) ( ) ( * t x t c t x = (5.1)
gde je c(t) signal uzorkovanja to je periodini impulsni red, odnosno x(t) je neprekidni signal koji
uzorkojemo. Uzorkovani signal se opisuje sa sledeom jednainom:

+
=
=
n
nT t t c ) ( ) ( (5.2)
gde je (t) Dirack-ov impuls.
Uzorkovani signal:

+
=
=
n
nT t nT x t x ) ( ) ( ) ( * (5.3)
Slika 5.3. Proces uzorkovanja a) neprekidni signal b) uzorkiva i c) uzorkovani signal
Analogno/digitalno i digitalno/analogno pretvaranje signala
Fourier-ova transformacija x

(t):
{ }


+
=

+
=
+
=
=
=
)
`

=
n
nT j
n
n
e nT x nT t F nT x
nT t nT x F j X


) ( ) ( ) (
) ( ) ( ) ( *
(5.4)
Oblikovanje signala uzorkovanja se nalazi na slici 5.3.
U nastavku analiziraemo kroz jedan primer uticaj uzorkovanja na spektar uzorkovanog
signala.
Zadatak 5.1. Odredite X

(j) ako je

+
=
=
drugde 0
1 1 sgn
) X(j )
cos ) ( )
0
b
t t x a
pretpostavei da je fukcija u vremenskom domenu kauzalna i ima vrednost jedan u nuli.
Reenje: Upotrebei obrazac (2.38) za spektralno pomeranje dolazimo do sledeeg izraza:
) ( x(t)e
) X(j x(t)
t j -
+

j j X
Slika 5.4. Uticaj uzorkovanja na spektar a) ispod a)signala b) spektar ispod b) signala, odnosno c)
uzorkovani signal ispod b) spektra
Analogno/digtalno i digitalno/analogno pretvaranje signala
76
Ako uzmemo u obzir iz drugog poglavlja Poisson-ovu teoremu, izraz (2.42) onda je spektar
diskretnog signala X
s
(j)
) (
1
) (

+
=
+
=

+ =
n
s
n
T jn
jn j X
T
e nT x
s
gde je
s
=2/T.
)] ( ) ( [ ) ( *
) (
1
) ( *
0 0
+ + + + =
+ =

+
=
+
=
s
n
s
n
s
n n
T
j X
jn j X
T
j X

Spektar kosinusnog signala predstavljaju dva impulsa, jedan je -


0
sa jednom krunom
frekvencijom
0 .
Uzorkovanje sa idealnim uzorkivaem, kao to se vidi sa sliki 5.4.a) uzorkovana
frekvencija u okolini celo brojnih viekratnih na rastojanju +/-
0
moe se nai jedna spektralna
komponenta.
b) Polazei od 2.poglavlja teoreme 9b) i izraza (2.43).:


+
=
+
=
+ +
+ =
+ +
+

=
n s
n
s
n j T
j j X
T
x
x
) ( 1
1 1
2
1
) (j * X
) (
1
2
) 0 (
= ) (j * X
1 ) 0 (
Na slici 5.4.b) moe se videti spektar izvornog signala. Posle uzorkovanja uticajem
granine vrednosti sa jedne strane ceo amplitudski spektar se podie, a sa druge strane ako prema
slici c) biramo uzorkovanu brzinu onda dolazi do pojave spektralnog preklapanja (alliasing).
Spektralno preklapanje znai da spektar se ne ponavlja samo na svakom
s
rastojanju ve se i
deformie, sa ovim problemom detaljno se bavimo u nastavku ove knjige.
5.2. Teorema uzorkovanja
Uzorkovanje je osnova digitalne obrade signala. Vano je da proces uzorkovanja bude
korektno izvren. Pretpostavimo da je x(t) spektralno ogranien, odnosno:
2
ha 0 ) (
s
j X

= (5.5)
gde je
s
=2/T. Onda za uzorkovani signal upotrebljavamo rezultate iz 5.1 zadatka, pa se moe se
pisati sledee:
) ( ) ( *
) (
1
) ( *

+
=
+
=
+ =
+ =
n
s
n
s
jn j X j TX
jn j X
T
j X
(5.6)
Analogno/digitalno i digitalno/analogno pretvaranje signala
kao to se vidi sa uzorkovanjem spektar se periodino ponavlja, posle ovoga je logino da jedan
ovakav periodian lan sa filtrom izdvojimo, koji se nalazi okolo =0, pa moemo vratiti originalni
signal (5.7)
) ( * ) ( ) ( = j TX j H j X (5.7)
gde:

<
=
2
za 0
2
za 1
) (
s
s
j H
(5.8)
na osnovu pretpostavke filtra raspolae idealnom amplitudnom karakteristikom. Slika 5.5. vizuelno
prikazuje uticaj filtriranja na spektar uzorkovanog signala.
Slika 5.5 Uticaj niskopropusnog filtra na spektar uzorkovanog signala
Posle uzorkovanja vremenski oblik signala koji je vraen na prethodno stanje moe se
opisati na sledei nain:
| |


+
=
+
=

+
=

=
=
(

=
n
n
nT j
n
nT j
nT t h nT x
e j H F nT x T
e nT x T j H F t x
) ( ) (
) ( ) (
) ( ) ( ) (
1
1
(5.9)
poto je uzorkovani signal modulisan (razliite amplitude) red Dirack-ovog impulsa, pa
konvolucioni proizvod (5.9) nije drugo nego jedan teinski zbir u vremenskom skaliranju, impulsna
funkcija odziva h(t) niskofrekventnog filtra.
Fourier-ov transformacioni par idealnog niskopropusnog filtra (pravougaoni spektar):
) (
) 2 / sin(

j H
t
t
s

(5.10)
na mestu n-tog vremenskog uzorka funkcija odziva u vremenskom i frekventnom domenu:
Analogno/digtalno i digitalno/analogno pretvaranje signala
78
nT j s
e j H
nT t
nT t


) (
) (
) 2 / ) ( sin(

posle ovoga filtriran signal u vremenskom domenu se moe opisati u sledeoj formi:

+
=


=
n s
s
nT t
nT t
nT x t x
2 / ) (
] 2 / ) ( sin[
) ( ) ( (5.11)
F
N
=2F
max
nazivamo Nyquist-ova frekvencija.
Na slici 5.6. se nalazi funkcija Sinc(a)=sina /a ona vri interpolaciju izmeu diskretne
vrednosti fukcije.
Slika 5.6. Iterpolaciona funkcija Sinc(x)
Interpolacioni mechanizam prikazuje slika 5.7. sa jednim signalom koji se sastoji od vie
diskretnih uzoraka
Slika 5.7. Uticaj interpolacionog filtra za x(n)diskretni signal
Analogno/digitalno i digitalno/analogno pretvaranje signala
Na ovoj slici moe se videti sledea interpolacija diskretnog signala:
) 3 ( 25 . 0 ) 2 ( 4 . 0 ) 1 ( 7 . 0 ) ( ) ( + + + = n n n n n x
posle interpolacionog filtra signal dobija sledei oblik:
2 / ) 3 (
] 2 / ) 3 ( sin[
2 / ) 2 (
] 2 / ) 2 ( sin[
2 / ) (
] 2 / ) ( sin[
2 /
] 2 / sin[
) (
T t
T t
T t
T t
T t
T t
t
t
t x
s
s
s
s
s
s
s
s

+ =

na slici svaki Sinc(a) lan je posebno nacrtan odnosno intrpolacioni signal.


Poto je interpolacioni filtar antikauzalan, onda interpolacione formule (5.11) imaju samo
teorijski znaaj. U konkretnim realizacijama interpolacioni filtar zamenjujemo sa jednim ostvareim
niskopropusnim kauzalnim filtrom.
Ako je zavisnost izmeu uzorkovane brzine i signala granine frekvencije sledea:

h s
5 . 0 (5.12)
u tom sluaju se javlja tzv. alliasing efekat, odnosno spektralno preklapanje. Uticaj alliasing-a
nastupa i u 5.1.b) Zadatku jer smo odabrali
s
=1.5
h
, to je manja vrednost nego to zadaje izraz
(5.12). Na slici 5.4.c) vizuelno je prikazan nastanak alliasing efekta u spektralnom domenu.
Slika 5.6. Prikaz alliasing efekta u vremenskom domenu
Posmatrajmo dva analogna sinusna signala:
t t y
t t x
) 55 ( 2 cos ) (
) 5 ( 2 cos ) (

=
=
ako oba signala uzorkujemo sa frekvencijom F
s
=50Hz, onda su odreeni uzorkovani signali sledei:
Analogno/digtalno i digitalno/analogno pretvaranje signala
80
n n n y
n n n x
5
11
cos )
50
55
( 2 cos ) (
5
cos )
50
5
( 2 cos ) (

= =
= =
poto moemo pisati, da:
n n n n
5
cos )
5
2 cos(
5
11
cos

= + =
ova dva signala u diskretnom domenu se podudaraju ako je uzorkovana frekvencija za oba signala
50Hz. Moemo rei da 55Hz to je allising 5Hz-a pored, 50Hz-ne uzorkovana frekvencije.
Vano je uoiti da ne samo 55Hz je allising ve svaka vrednost frekvencije koja zadovoljava
sledee uslove:
3,... 2, 1, m ) (
1
= + =
s m
mF F F (5.13)
gde u naem sluaju F
1
=5Hz, na osnovu ovoga 105Hz, 155Hz itd. sinusni signali koji preklapaju
5Hz-ni sinusni signal pored 50Hz-ne uzorkovane brzine. U vremenskom domenu na slici 5.6. moe
se videti uticaj allising-a.
U osnovnom sluaju uzorkovanjem sinusnog signala:
) 2 cos( ) (
0
+ = t F t x
a
(5.14)
sa uzorkovanom brzinom F
s
=1/T dobijamo sledei diskretni signal:
) 2 cos( ) (
0
+ = n f n x (5.15)
gde f
0
=F
0
/F
s
relativna frekvencija u poreenju sa sinusnim signalom F
s
.
U nastavku Zadatak 5.2. pokazuje uticaj alliasing efekta za jedan sloen periodini signal.
Zadatak 5.2. Za sledei analogni signal:
t t t t x 12 cos 6 sin 2 cos ) ( + + =
a) Odredite Nyquist-ovu graninu frekvenciju.
b) Pretpostavimo da ovaj signal uzorkujemo F
s
=5Hz-nom uzorkovanom brzinom.
Odredite digitalni signal posle uzorkovanja.
c) Odredite analogni signal posle idealnog interpolacionog filtra.
Reenje: a) Sledee frekvencije se nalaze u analognom signalu:
Analogno/digitalno i digitalno/analogno pretvaranje signala
F
1
=1Hz, F
2
=3Hz i F
3
=6Hz
Ovde sinusni signal sa maximalnom frekvencijom je 6 Hz, pa je minimalna uzorkovana frekvencija:
F
s
>2F
max
=12Hz
pa je Nyquist granina frekvencija F
N
=12Hz.
b) Poto je u ovom zadatku uzorkovana frekvencija F
s
=5Hz a maximalno dozvoljena
frekvencija F
s
=2.5Hz. Upotrebei izraz normalizacionog postupka frekvencije f=F/F
s
:
n n
n n n n x
)
5
2
( 2 sin )
5
1
( 2 cos 2
)
5
6
( 2 cos )
5
3
( 2 sin )
5
1
( 2 cos ) (


=
+ + =
upotrebei izraz (5.13), odreujemo frekvenciju ogledala koje se javljaju zbog alliasing uticaja.
Ovako F
1
=1Hz frekventni kosinusni lan moemo korektno uzorkovati
Slika 5.8. Spektralna slika signala pre i posle uzorkovanja
jer ima manju vrednost od 2.5Hz, a u isto vreme druge dve spektralne komponente ne moemo
korektno uzorkovati i ovako deluje alliasing efekat:
5 / 1 1
5 / 2 2
3 3
,
3
2 2
,
2
= = =
= = =
f Hz F F F
f Hz F F F
s
s
kao to se i vidi u rezultatima. Na slici 5.8. su prikazane spektralne komponente od signala X(t) i
t(t), i posebno su oznaeni naini poticanja pojedinih spektralnih komponenata.
Analogno/digtalno i digitalno/analogno pretvaranje signala
82
Slika 5.9. Uticaj alliasing efekta na sloene periodine signale u vremenskom domenu
Kao to se vidi sa slike, 6Hz-na komponenta u odnosu na 2.5Hz-nu se preslikava (na slici
je prikazana sa I ) na 1Hz, kojoj odgovara 1Hz-na spektralna komponenta (na slici je prikazana sa
II ), ovako pored postojee dodaje se jo plus jedna a intenzitet e postati dvostruka. 3Hz-na
komponenta se preslikava u odnosu na 2.5Hz-nu na 2Hz-a kao to se i vidi na slici.
Poto se samo 1Hz-ne i 2Hz-ne frekventne komponente nalaze u uzorkovanom signalu, pa
je analogni signal posle rekonstrukcije:
t t t y 4 sin 2 cos 2 ) ( =
kao to se vidi na slici 5.9. x(t) i y(t) bitno se razlikuju jedan od drugog.
5.3. Kvantizacija i kodovanje
Uticaj AD i DA konvertora bilo je analizirano za spektar signala. Ali ima uticaja i pored
spektra signala jer oba konvertora koriste konaan broj bita za prikaz digitalne informacije, greka
se javlja u reprezentaciji trenutne vrednosti i ovu greku nazivamo kvantna greka ili um.
Na izlazu AD konvertora dobijamo diskretizovanu i kvantizovanu sliku analognog signala:
)] ( [ ) ( nT x Q n x
a q
= (5.16)
Osnova kvantizacionog postupka najee je zaokruivanje, odnosno vrednosti z analognog
signala dodaje se najblii kvantizacioni nivo. Na slici 5.13 moe se videti kvantizaciona kriva.
Analogno/digitalno i digitalno/analogno pretvaranje signala
Slika 5.13. Kvantizaciona kriva ulaznog signala
Kao to se moe videti sa slike kvantizacioni postupak je nelinearan i ne reverzibilni
postupak, jer vie ulaznih vrednosti signala se preslikava za istu izlaznu vrednost signala. Greka
kvantizacionog postupka moe se izraziti sledeim izrazom:
) ( ) ( ) ( n x n x n
q
= (5.17)
kod prvog pribliavanja kvantizacioni um moemo smatrati kao aditivni beli um i zbog toga se
uticaj aditivnog uma moe izraziti za signal sa odnosom signal/um:
n
x
P
P
SNR log 10 = (5.18)
gde je P
x
ulazna snaga a P
n
snaga kvantizacionog uma. Konani izraz za odnos signal/um:
B P dB SNR
x
02 . 6 8 . 10 log 10 ) ( + + = (5.19)
gde je B broj aproksimacionih bitova. U veini sluaja ako je analogni ulazni signal govor ili
muzika, funkcija amplitidne raspodele jako lii na Gauss raspodelu, u ovom sluaju:
25 . 1 02 . 6 ) ( = B dB SNR (5.20)
Kod izlaznog signala um koji se javlja prilikom kvantizacije se zanemaruje ali u veini
sluaja ova vrednost zna da bude veoma znaajna. Jo pre nego to dovedemo signal na DA
konvertor trebamo transformirati podatke sa velikim brojem bitova u podatke sa manjim brojem
bitova. Pa na osnovu ovoga se pojavljuje noviji izvor uma koji poveava izlazni prag uma za
otprilike 3dB.
5.4. Diskretna obrada neprekidnih signala
Cilj u ovom podpoglavlju je da u krupnim crtama pokaemo jedan sistem digitalne obrade
signala. Pokazaemo ulogu pojedinih elemenata.
Na slici 5.14. ematski je prikazan sistem digitalne obrade signala.
Analogno/digtalno i digitalno/analogno pretvaranje signala
84
Slika 5.14. Sistem digitalne obrade signala
Na slici 5.14. F
1p
je antiallising filtar, c(t) je signal uzorkovanja, A/D je analogno digitalni
pretvara, DF je digitalni filtar, D/A je digitalno analogni pretvara i F
2r
je rekonstrukcioni filtar.
Strujni krug uzorkivaa, A/D konvertor odnosno digitalni filtar moemo shvatiti kao jedan
celinski filtar (h
A
funkcijom impulsnog odziva) iji je izlaz uzorkovan (h
A

diskretizovana funkcija
impulsnog odziva). Funkcija impulsnog odziva uzorkovanog filtra moe se raunati na osnovu
dosadanjih saznanja sledeim izrazom:
) ( ) ( ) (
0
*
nT t nT h t h
n
A A
=

=
(5.21)
odreeni iz spektralnog domena, prenosna funkcija Poisson b) na osnovu izraza (2.43):
) (
1
2
) 0 (
) (
*
s
n
A
A
A
jn s H
T
h
s H + +
+
=

+
=
(5.22)
spektar izlaznog signala:
) ( ) ( ) ( ) (
* *
= j X j H j H j Y
LP A
(5.23)
pretpostavei, da je:
2
0 ) ( ) (
0 ) 0 ( ) 0 (
s
A
j
h x

= =
= + = +
A
H j X
(5.24)
Uzorkovani filtar DF na slici 5.14 nazivamo digitalnim filtrom. Ovim filtrom sada se
neemo baviti detaljno ve kasnije kada emo se baviti projektovanjem digitalnih filtra. U optem
sluaju digitalnim filtrima nije zadatak samo klasino filtriranje ve i sloeniji procesi.
Sa AD i DA konvertorima smo se ve bavili pa zato ih neemo posebno analizirati.
U sledeem zadatku (Zadatak 5.3.) za bolje razumevanje ove oblasti pratiemo promenu
signala do kraja u jednom zamiljenom sistemu digitalne obrade signala.
Zadatak 5.3. Na slici 5.14. moe se videti konfiguracija filtra koju upotrebljavamo za sledei
periodini signal:
Analogno/digitalno i digitalno/analogno pretvaranje signala
Slika 5.15. Periodini testerasti signal na ulazu u digitalni filtar
idealni niskopropusni (antiallising) filtar moe se opisati sa sledeom funkcijom:

<
=
drugde
j H
LP
0
4 0 1
) (
0
idealni digitalni opseg filtra u osnovnom opsegu:

< <
=
drugde
e H
t j
D
0
2 . 2 8 . 1 1
) (
0 0
pretpostavimo da je
s
=20
0
.
Zadajmo prema slici 5.14. signale u spektralnom i digitalnom domenu, u karakteristinim
takama.
Reenje: Vrednosti koeficijenata Fourier-ovog reda ulaznih signala odreujemo prema sledeem
izrazu:
dt e t x
T
A
e A t x
t jk
T
k
t jk
k
k
0
0
0
) (
1
) (
0
1
0
1

+
=

=
=
kada smo izraunali odreene Fourier-ove koeficijente (prema tablici 2.1) moe se pisati u prvoj
taki, oblik signala razloen u red u vremenskom i spektralnom domenu.
) ( 2 ) (
... 3 sin
3
1
2 sin
2
1
sin
1
2
1
) (
0 1
0 0 0 1
=
(

+ + + =

+
=
k A j X
t t t t x
k
k

U zadatku definisan niskopropusni antiallising filtar ne proputa jednosmerne komponente.


Uglavnom se ne vri jednosmerno filtriranje sa antiallising filtrom. Na izlazu antiallising filtra
spektar signala i vremenski oblik:
Analogno/digtalno i digitalno/analogno pretvaranje signala
86
(

+ + + =
=

+

=
t t t t t x
k A j X
k
k
k
0 0 0 0 2
0
4
0
4
2
4 sin
4
1
3 sin
3
1
2 sin
2
1
sin
1
) (
) ( 2 ) (


Na izlazu antiallising filtra pojavljuju se komponente zakjuno sa etvrtim harmonikom.
Niskopropusni filtar tako je definisan prema zadatku da proputa spektralne komponente signala do
etvrtog harmonika.
Za uzorkovanje su zadati uslovi jer uzorkovana brzina pet puta je vea od najvee
frekvencije spektralne komponente (minimalno mora biti dva puta vea prema teoremi
uzorkovanja). Signal na izlazu uzorkivaa:
] 4 sin
4
1
3 sin
3
1
2 sin
2
1
[sin
1
) (
) ( 4 sin
4
1
3 sin
3
1
2 sin
2
1
sin
1
) (
0 0 0 0 4
0 0 0 0
*
3
nT nT nT nT nT x
nT t t t t t t x
n
+ + + =

+ + + =

+
=

spektar ovih signala moe se opisati u sledeoj formi:


) (
2
) ( ) (
0 4
*
3
+ = =

+
=
k n A
T
e X j X
s
n
k
T j


ako uzmemo u obzir i kvantizacioni postupak (odnosno uzorkovanje prema intenzitetu) zavisno
koliko bitova ima A/D konvertor tako se menjaju vrednosti amplitude. U tablici 5.1. pokazujemo u
praksi vane bitove, uticaj digitalizacije na tanost raunanja. U tablici se vidi da ne svako
poveanje broja bitova donosi poveanje tanosti. Ovako na primer izmeu 12-to bitne i 14-to bitne
rezolucije nema promena vrednosti, sledee gde e se desiti promena vrednosti je 15-to bitna
rezolucija.
Tabela 5.1. Uticaj rezolucije
rezolucija taan 8 bitni 10 bitni 12 bitni 14 bitni
Vrednost
0.5=
1.57079632679489
7
1.5703125 1.5703125 1.57077578125
jednak je
sa
12 bitnim
Posle digitalnog filtra u spektar signala je samo uzorkovana spektralna komponenta drugog
harmonika signala ostali harmonici se filtriraju:
| |
| |


+
=
+
=

+ + + + =
= + + + + = =
n
s s
n
s s T
T j
n n
T
j
n A n A j X e X
) 2 ( ) 2 (
2

) 2 ( ) 2 ( ) ( ) (
0 0
0 2 0 2
2
*
6 5

Analogno/digitalno i digitalno/analogno pretvaranje signala


tako da u vremenskom domenu signal na izlazu iz digitalnog filtra:
nT nT x
0 5
2 sin
2
1
) ( =

signal na izlazu iz D/A konvertora:


) ( ) 2 sin(
2
1
) (
0
*
6
nT t nT t x
n
=

+
=

Rekonstrukcioni niskopropusni filtar eliminie pojavu spektralne komponente usled uticaja


uzorkovanja, spektar signala i vremenski oblik na izlazu iz filtra.
t
T
x
T
j
j X
0 7
0 0 7
2 sin
2
1
)] 2 ( ) 2 ( [
2
) (
=
+ =


5.5. Interpolacija i decimacija diskretnih signala
U nastavku emo razgovarati o osnovi jednog konkretnog ureaja za digitalnu obradu
signala. U sistemima za digitalnu obradu signala ali naroito u telekomunikaciji puno puta se
ukazuje potreba za promenu uzorkovane brzine. Oni sistemi u kojima upotrebljujemo vie
uzorkovane brzine nazivamo ih vie brzinski (multirate) sistemi za obradu signala.
Postoje dve mogunosti za promenu uzorkovane brzine:
- prvi uzorkovani signal sa DA konvertorom pretvorimo u analogni signal,
zatim AD konvertorom ponovo uzorkujemo eljenom brzinom. Ovko po elji
moemo menjati uzorkovanu brzinu, ali ovaj postupak ima i nedostatke, kao
to znamo DA konvertor odnosno interpolacioni filtar u toku rekonstrukcije
unosi deformacije u signal, dok AD konvertor noviju kvantnu greku, u
signal unosi um.
- Drugi vie odgovara, jer svaki zadatak izvrava u diskretnom domenu. Jedina
slaba taka ovog postupka je da odnos stare i nove uzorkovane brzine moe
biti samo racionalni broj, ovu u primeni ne znai problem. Uzorkovanu
brzinu moemo poveati a i smanjiti.
Ako smanjujemo uzorkovanu brzinu onda je kompresija ili decimalizacija:
] [ ] [ ] [ nMT X nM X n X
c d
= = (5.25)
Pre nego to kompresujemo signal (slika 5.16.), prvo diskretnim filtrom moramo smanjiti
spektralnu irinu signala jer ako ne smanjimo onda posle kompresije irina spektra se poveava M-
puta u odnosu na uzorkovanu brzinu i ovako dolazi do pojave alliasing efekta.
Analogno/digtalno i digitalno/analogno pretvaranje signala
88
Slika 5.16. Postupak vremenske kompresije
Prvo ako napiemo x|n|=x
c
(nT
s
) spektar uzorkovanog signala:
)
2
(
1
) (
s s
n
c
j
T
n
j
T
j X
T
e X

+ =

+
=
(5.26)
spektar decimacionog signala x
d
|n|=x|nM|=x
c
(nT) gde je T=MT
s
:
)
2
(
1
) (
s s k
c
j
d
MT
k
j
MT
j X
MT
e X

+ =

+
=
(5.27)
index k se moe izraziti:
k=i+nM (5.28)
gde je 0 i M-1 i izraz (5.27) moe se opisati u sledeoj formi:

=
+
=
(

=
1
0
)
2 2
(
1 1
) (
M
i
s s
k
c
s
j
d
T
k
j
MT
i
j X
T M
e X

(5.29)
i dolazimo do konanog izraza :
( )

=
1
0
) / 2 / (
1
) (
M
i
M i M j j
d
e X
M
e X

(5.30)
Izmeu izraza (5.26) i (5.30) je evidentan odnos. Sa izrazom (5.26) rauna se x|n|
vremenski red Fourier transformacije sa T uzorkovanom periodom. A sa izrazom (5.30) moe se
raunati Fourier-ova transformacija u vremenu diskretizovanom x
d
|n| vremenskog reda (uzorkovana
perioda M).
Decimaciju ilustrujemo preko zadatka 5.4. za dva sluaja kada usled kompresije nastaje alliasing
efekat i kada ne nastaje alliasing efekat.
Zadatak 5.4.: Fourier-ova transformacija X|n| vremenskog reda moe se videti na slici 5.17.
Nacrtajmo X
s
(e
j
)
M
Granina
frekvencija
niskopropusnog
filtra /M
X|n|
X
e
|n| X
i
|n|=X
c
|nM|
T
T
1
=MT
T
Analogno/digitalno i digitalno/analogno pretvaranje signala
Slika 5.17. Spektar signala u zadatku 5.4.
i X
d
(e
j
) za sluaj ako je M=3 i
h
= /2 ili
h
= /4, gde je:


=
inace 0,
2 1 0 , , ] [
] [
,... , , k= n=Mk n x
Mn x
s
.
x
d
|n|=x
s
|Mn|=x|Mn|
Kolika je maximalna vrednost
H
za sluaj kada je M=3 i ne javlja se alliasing efekat?
Reenje: Ako elimo de zaobiemo alliasing efekat onda je maximalna vrednost
H
:
3 /
Hmax max
M
H
Za dva sluaja diskutujemo promenu spektra, uticajem decimacije i grafiki se vidi na
slikama 5.18 i 5.19.
Prvi sluaj slika 5.18, kada je
H
= /2.
Slika 5.18. Uticaj decimacije kada je
H
= /2
1 X(e
j
)
-
H
0
H
2
1 X (e
j
)
- 0 2 =T
1
X
d
(e
j
)
-
H
0
H
2
1/3 X
d
(e
j
)
- 0 2 =T
1
-/3 0 /3 2 =T
X
s
(e
j
)
Analogno/digtalno i digitalno/analogno pretvaranje signala
90
Jednoznano se vidi sa slike 5.18 da dolazi do spektralnog preklapanja direktna posledica
ovoga je da prvobitni trougaoni spektar kao to je zadat u zadatku postaje plitak zbog ponovnog
uzorkovanja. Krajnji spektar se ve ne slae sa originalom i ova granina frekvencija ne odgovara
brzini ponovnog uzorkovanja.
Drugi sluaj se moe videti na slici 5.19, kada je
H
= /4.
U ovom sluaju ne dolazi do spektralnog preklapanja jer je irina spektra u odnosu na
prethodni je manja.
Slika 5.19. Uticaj decimacije kad je
H=
/4
Suprotni sistem nazivamo expander ili iterpolator. Uzorkovanu brzinu moemo poveati L-
puta sa interpolacijom tako da L-1 novi uzorak smetamo izmeu svakog ulazeeg uzorka.
Jednaina kojom opisujemo expander:
| |
inace
,... 2 , , 0
, 0
, /
] [
L L n L n x
n x
e
=

= (5.26)
ili ekvivalentno:
] [ ] [ ] [

=
=
k
e
kL n k x n x (5.27)
ovo je jedan niskopropusni filtar /L graninom frekvencijom i L pojaanjem. Ovaj idealni
niskopropusni filtar ima slinu ulogu kao D/A konvertor, niskopropusni filtar prilagoava
generisane nove impulse.
Spektar signala posle expander-a:
( ) ( )
L j Lk j
k
n j
n k
j
e
e X e k x e kL n k x e x

= = |
.
|

\
|
=

=

] [ ] [ ] [
je normalizovan:
X
s
(e
j
)
1 X (e
j
)
1/3 X
d
(e
j
)
-/4 0 /4 2
-2/4 0 3/4 2 =T
1
-/4 0 /4 2
Analogno/digitalno i digitalno/analogno pretvaranje signala
=T
Posle expandera treba da implementiramo interpolacioni filtar. Interpolacioni filtar je
niskopropusni filtar. Interpolacioni filtar prilagoava nove uzorke u signalu. Na slici 5.20. se moe
videti ematska slika prilagoenog expandera.
Slika 5.20. Poveavanje uzorkovane brzine
Impulsna fukcija odziva, idealnog niskopropusnog filtra:
L n
L n
n h
i
/
) / sin(
] [

= (5.27)
Idealni niskopropusni filtar u praktinim upotrebama teko je egzaktno ostvariti, zbog toga
upotrebljavamo alternativne postupke, napr. takozvana linearna interpolacija. Graf i izraz za
impulsnu funkcije odziva, linearnog interpolacionog filtra se moe videti na slici 5.21.,
| |


=
inace , 0
n , / n - 1 L L
n h
lin
Slika 5.21. Impulsna funkcija odziva linearnog interpolacionog filtra
odnosno Fourier-ova transformacija impulsne funkcije odziva linearnog interpolacionog filtra:
H e
L
L
lin
j
( )
sin( / )
sin( / )

(
1 2
2
2
(5.28)
Promena uzorkovanja sa brzinom iznad minimalne brzine uzorkovanja moe se videti na
slici 5.22.
L
Interpolacioni
filtar
x|n|
x
e
|n/L| x
i
|n|
T
T
1
=T/L T
1
=T/L
-2 -1 0 1 2 n
a
b
c
d
e
Analogno/digtalno i digitalno/analogno pretvaranje signala
92
Slika 5.22. Uticaj poveanja uzorkovane brzine na spektar signala, x(n) je originalni signal dok je
y(n) ponovno uzorkovani signal
Kao to smo dosad videli poveanje ili smanjenje uzorkovane frekvencije moglo je samo sa
celim brojem, ali kombinacijom interpolacije i decimacije moe se promenuti uzorkovana brzina i
sa racionalnim brojem. Ako je M>L onda se smanjuje uzorkovana brzina, a ako je M< L onda se
poveava uzorkovana brzina. Ovaj postupak se moe videti ematski na slici 5.23.
Slika 5.23. Promena uzorkovane brzine sa ne celim brojem
L
Interpola-
coni
filtar.Grani
na
frekvencija
/L
x|n|
x
e
|n| x
i
|n|
T
T/L
T/L
M
Niskopro-
pusni
filtar.
Granina
frekvencija
/M
x
d1
|n| x
i1
|n|
T/L
TM/L
INTERPOLATOR DECIMATOR
Analogno/digitalno i digitalno/analogno pretvaranje signala
5.6. Primena menjanja uzorkovane brzine
Sa nekoliko primenjivih zadataka prikazujemo, dobijene mogunosti, menjanjem
uzorkovane brzine, unutar digitalne obrade signala. Prvo sa Zadatkom 5.5. prikazujemo primenu
uzorkovanja sa racionalnim brojem.
Zadatak 5.5.: Postavimo, da smo za rezultat dobili s|n| govorni vremenski red, da smo propustili
s
c
(t) originalni govorni signal, prvo proputamo kroz niskopropusni filtar granine frekvencije 5kHz
a zatim uzorkujemo 10kHz-nom brzinom. ematska izgradnja diskretizacionog sistema se moe
videti na slici 5.24. Govorni signal s
c
(t) se ponitava kada prihvatimo vremenski red s|n|. Kasnijom
analizom smo utvrdili da za analizu upotrbljen postupak ne valja i da smo trebali koristiti postupak
koji je skiciran na slici 5.25.
Razvijte jedan postupak za sluaj ako dobijemo vremenski red s
1
|n|, upotrebei od vremenskog reda
s|n| mogunosti za diskretnu obradu signala. Postupak ne moe sadrati A/D i D/A konvertore.
Upotrebljene parametre diskretnog filtra moramo odrediti.
Slika 5.24. Opis stvaranja poetnog vremenskog reda
Slika 5.25. ematski opis ispravnog postupka
Reenje:
Govorni
signal s
c
(t)
s|n|
1 H
a
(j)
-2(5000) 0 2(5000)
AD
T=10
-4
Govorni
signal s
c
(t)
s
1
|n|
1 H
a
(j)
-2(3000) 0 2(3000)
AD
T
1
=10
-3
/6
Analogno/digtalno i digitalno/analogno pretvaranje signala
94
Slika 5.26. Sastav rekonstrukcionog sistema
U zadatku, u sluaju pogreno zadate uzorkovane brzine (10ksampl/s), diskretizovani signal
se nalazi u frekventnom domenu |-,|, jedan mogui spektar se nalazi na slici 5.27.
Slika 5.27. Spektarpogreno uzorkovanog signala prema zadatku
Ako bismo ispravno uzorkovali odnosno 6ksampl/s, prema definiciji antiallising filtra isto bi
se nalazio izmeu |-,|. Prema zadatom u drugom sluaju korektnim uzorkovanjem i korektnom
definicijom antiallising filtra dolazimo do zakljuka da je spektralna irina korisnog signala
maximalno 3Hz. Mera kompresije prilikom obrade je 3/5. Prema ovome prvo moramo izvriti
trostruku expanziju zatim na kraju petostruku kompresiju.
Slika 5.27 prikazuje spektar pogreno uzorkovanog signala. A Slika 5.28. prikazuje spektar
signala posle interpolacije.
Slika 5.28. Spektar signala posle interpolacije prema zadatku
L=3
L
H
1
(e
j
) M
s
e
|n| s
i
|n| s
1
|n|
s|n|
M=5
T
T/L T/L
TM/L=T
1
eljena
uzorkovana brzina
Analogno/digitalno i digitalno/analogno pretvaranje signala
Spektar signala posle interpolacije:
) ( ) (
L j
c
j
e
e S e S

=
Filtriranje moramo izvriti jer u protivnom dolazi do pojave alliasing efekta. Primenom
interpolacionog digitalnog filtra, prenosna karakteristika u domenu osnovne frekvencije:

< <

=

5
1
, 0
5
1
, 1
) (

L
L
e H
L j
spektar signala posle interpolacionog filtra:
) ( ) ( ) (
L j L j
e
L j
i
e H e S e S

=
a spektar izlaznog signala je:
) ( ) (
/ /
1
M L j
i
M L j
e S e S

=
Slika 5.29. Spektar signala na izlazu iz sistema prema zadatku
U zadatku 5.7. i 5.8. na slici 5.14. moe se videti digitalni sistem, prikazana je mogunost
popravke prenosnog karaktera. U praktinoj upotrebi analogni filtri imaju veliki uticaj kako pre A/D
konverzije u pripremi signala tako i u rekonstrukciji signala posle D/A konverzije. Poto u idealnom
sluaju ovi filtri bi trebali biti idealni niskopropusni filtri zato u praktinoj upotrebi zahtevamo da
imaju veu selektivnost. Ovakve filtre moemo ostvariti realizacijom aktivnog RC filtra (3.
poglavlje), zbog preciznih pasivnih elemenata i specifinih aktivnih elemenata cena bi im bila
velika. Posebno se javlja problem ako stalno moramo menjati uzorkovanu brzinu. Na osnovu ovoga
poeljno bi bilo da u to veoj meri smanjimo zahteve za analognim filtrima, i preko ovoga smanjiti
nivo zahteva za realizaciju. Ili ako imamo mogunost da u idealnom sluaju zanemarimo analogne
filtre u celoj meri. Ovakvu mogunost daje slika 5.14. modifikovani sistem, koji se vidi na slici
5.30.
Analogno/digtalno i digitalno/analogno pretvaranje signala
96
Slika 5.30. Modifikovan sistem digitalne obrade signala
Zadatak 5.7. Upotrebei postupak decimacije filtrirajmo visokofrekventni um iz signala koji se vidi
na slici 5.31. tako da se ne oteti sadraj korisne informacije.
Slika 5.31. Spektar signala optereen visokofrekventnim um-om
ako je
N
=22500Hz i
C
=210000Hz. U reenju zadatka odredimo prenosnu karakteristiku
upotrebljenog filtra i konstantu decimacije. Kod zadavanja prenosne karakteristike filtra ne
trebamo se zanimati realizacijama.
Reenje:
Slika 5.32. Amplitudska karakteristika uzorkovanog antialliasing filtra
Najednostavnije reenje moemo postii sa jednim uzorkovanim antialliasing filtrom koji u
spektralnom domenu korisnog signala ima vrednost pojaanja
N
a izvan toga je smanjujueg
karaktera sve do
C
gde ima vrednost nula. Ovakva jedna karakteristika se moe videti na slici
5.32.
Na slici 5.33. moe se videti uticaj filtra na signal. Sadraj korisnog signala se ne oteuje
samo se menja spektralni sadraj um-a to se i vidi na slici.
Analogno/digitalno i digitalno/analogno pretvaranje signala
Slika 5.33. Uticaj antialliasing filtra na signal
Ako uzorkujemo signal x
CF
(t) sa T uzorkovanom periodom, da bude zadovoljen sledei
uslov:
N C
T > / 2
spektar uzorkovanog signala je na slici 5.34. a). um sa ovakvim uzorkavanjem raspolae spektrom
preklapanja, odnosno javlja se alliasing uticaj u spektru um-a, ali spektar korisnog signala se ne
oteuje.
Slika 5.34. a) Uticaj alliasing efekta na spektar um-a b) Amplitudska karakteristika idealnog
decimacionog filtra c) Amplitudska karakteristika posle decimacije uzorkovanog signala
Spektar preklapanja
um-a
Analogno/digtalno i digitalno/analogno pretvaranje signala
98
Alliasing uticajem je na spektar um-a. Za koristan signal kao to se vidi sa slike 5.34. nema
alliasing uticaja. Konstanta decimacije je tako odabrana da se spektar decimalnog signala nalazi u
granicama - i . U ovom konkretnom sluaju M=4.
U sledeem zadatku koristei interpolacioni postupak pojednostaviemo uslove koje interpolacioni
filtar mora da zadovoljava u toku D/A konvrzije. U ovom postupku koristiemo intrpolacioni
postupak.
Zadatak 5.8. Upotrebei interpolacioni postupak u toku D/A konvrezije smanjujemo zahteve za
intrpolacionu realizaciju filtra:
Slika 5.35. Spekter vremenskog reda koji se javlja na izlazu iz digitalnog filtra
U reavanju problema odredimo prenosnu karakteristiku upotrebljenog filtra i interpolacionu
konstantu tako da pretransformiemo signal u originalni spektralni domen prema zadatku 5.7.
Reenje:
Slika 5.36. a) Vremenski red koji se javlja na izlazu iz digitalnog filtra b) prenosna karakteristika
rekonstrukcionog filtra c) spektar signala posle filtriranja
Analogno/digitalno i digitalno/analogno pretvaranje signala
Da bi pretransformisali filtriran signal u originalni spektralni domen pre decimacije, za
intrpolacionu konstantu trebamo da odabremo istu vrednost kao to je konstanta decimacije bila u
zadatku 5.7. jer onda je konstanta celog sistema:
1 =
L
M
odnosno M=L=4.
Diskretni signali i sistemi
U prethodnim poglavljima knjiga se bavila analizom neprekidnih signala i sistema kasnije i
sintezom. Poto smo stvorili sliku o analognim signalima i sistemima u nastavku prethodno
poglavlje se bavi diskretizacijom neprekidnih signala i pretransformacijom u analogni domen
diskretno obraene signale. U nastavku iskljuivo se bavimo diskretnim signalima i sistemima.
Prethodno poglavlje se smatra kao osnova da bi lake shvatili sledee poglavlje.
Opirno e biti opisani osnovni diskretni signali, od kojih je najvaniji vremensko diskretno
sinusni signal jer se javlja kao osnovni signal u ostvarenju drugih signala (Fourier-ova teorija).
Diskretne signale moemo realizovati na dva naina, kao prvo uzorkovanjem analognih
signala kao u prethodnom poglavlju, drugi nain je registracijom diskretnih signala pr. meteroloki
podaci, brojanje automobila, podaci sa berze itd. Prilikom obrade potpuno je nebitno kakvog je
porekla signal. Sistemi za obradu danas su najee procesori za digitalnu obradu signala ali
napredak pokazuje u tom pravcu da komplexno programirajue DSP procesore koristimo paralelno
sa brim fix CPLD ili FPGA ureajima.
Digitalna obrada signala to je jedan proces kada stvaramo diskretni signal prema eljenoj
specifikaciji. Slino neprekidnim signalima i diskretne signale moemo karakterisati u vremenskom
i spektralnom domenu.
Vaan deo ovog poglavlja je kada se zanimamo karakterisanjem osnovnih diskretnih sistma
i naroito kad se zanimamo tzv. linearno vremensko invariantnim (LTI) sistemima. Za zanimanje
LTI sistemima imamo dva vana pokretaa. Prvo, postoje razvijena matematika sredstva koja su
pogodna za analizu LTI sistema. Drugo postoji mnogo praktinih sistema koji se mogu smatrati LTI
sistemima ili u prvom koraku se mogu pribliiti sa LTI sistemom.
Ovo poglavlje posebno se bavi sa diskretnom konvolucijom i korelacijom.
Diskretni signali i sistemi
102
6.1. U vremenu diskretni signali
Nezavisno promenljiva u vremenu diskretnog signala x(n) je integer ceo broj. Vano je
zapamtiti da u vremenu diskretni signal nije definisan izmeu dva susedna uzorka.
Slika 6.1. Grafika slika diskretnog vremenskog reda
Diskretne signale moemo opisati na vie naina:
1. Kao diskretnu funkciju:
3 , 2 , 1
2
3 , 1

0
4
1
) (

=
=

=
n
n
n
n x
2. Tablino, kao:
n -2 -1 0 1 2 3 4 5
x(n) 0 0 0 1 4 1 0 0
3. Kao red, gde lan koji je na mesto n=0 posebno je oznaen oznakom , u tom
sluaju ako je re o beskonanom redu:
)
`

=
... 0 0 1 4 1 0

0 ...
) (n x
Taj red iji su lanovi n< 0 nule moge se opisati na sledei nain:

... 0 0 1 4 1 0
) (

)
`

= n x
i red konane duine:

0 0 1 4 1 0
) (

)
`

= n x
Diskretni signali i sistemi
Matematiki diskretni signali, vremenski redovi tako su oznaeni kao brojni redovi. n-ti lan
vremenskog reda je oznaen x(n)-om u sledeoj formi:
| | | | {
x n x n n = < < (6.1)
U ovom sluaju numerika vrednost n-tog lana podudara se vrednou analognog signala
x
a
(t) u nT vremenskom trenutku, odnosno:
| | | | x n x nT n
a
= < < (6.2)
Vrednost T je uzorkovana brzina, a reciprona vrednost je uzorkovana frekvencija. U
mnogim sluajevima vremenski red nije rezultat uzorkovanja, ali po dogovoru n-ti lan
obeleavamo sa x(n).
6.2. Osnovne operacije i karakteristini vremenski redovi
6.2.1. Osnovne diskretne operacije
U analizi i sintezi diskretnih signala u upotrebi je nekoliko osnovnih operacija, koje
upotrebljavamo za diskretne signale i sa ovim operacijama u celoj meri se moe opisati tok digitalne
obrade signala. Ovi su:
- sabiranje. Zbir dva vremenska reda x| n| i y| n| dobijamo sabiranjem dva vremenska reda
po lanovima a rezultat je ponovo vremenski red,
- mnoenje sa konstantom. Ako sa -om pomnoimo jedan vremenski red x(n) onda dobijemo
vremenski red y(n) a vrednost lanova se podudara sa proizvodom i lanovima vremenskog reda,
- kanjenje. Vremenski red y(n) je izmenjena varijanta x(n)-a zbog kanjenja (shitovan ili
koraan), ako se ispuni sledei uslov:
| | | |
y n x n n =
0
(6.3)
gde je n
0
ceo broj.
Koristei jedinstveno kanjenje, operatore mnoenja i sabiranja u optem sluaju svaki red
se moe izraziti u sledeoj formi:
| | | | | | x n x k n k
k
=
=

(6.4)
6.2.2. Karakteristini vremenski redovi
U diskretnim i digitalnim sistemima obrade signala, nekoliko elementarnih digitalnih signala
imaju osnovnu ulogu. U nastavku najvaniji diskretni signali e biti obraeni.
Diskretni signali i sistemi
104
Jedinini impulsni vremenski red (n) je definisan u sledeoj formi:
| | n
n
n
=

=

0 0
1 0
, ,
, .
(6.5)
Red jedininih odskonih funkcija oznaimo sa u(n)-om:
| | u n
n
n
=

<

1 0
0 0
, ,
, .
(6.6)
Vremenski red jedinine odskone funkcije, moemo izraziti jedinstvenim impulsnim redom
u sledeoj formi:
| | | |

=
=
n
k
k n n u
(6.7)
Impulsni slejd:

<

=
0 0
0
] [
n
n an
n r
(6.8)
Slika 6.2. Nekoliko osnovnih vremenskih redova
Jedinini impuls
Jedinini
odskok
Realni exponencijalni
red
Sinusni red
Diskretni signali i sistemi
Oblik exponencijalnih vremenskih redova opisuje sledei red:
n
A n e = ] [ (6.9)
Ako su A i realni brojevi onda je i red realan. U najosnovnijem sluaju A i su komplexni
brojevi. Ako je jedinini onda dobijamo tzv. komplexno exponencijalni red, iji je realni deo
kosinusni a imaginarni deo se menja po sinusnom zakonu.
Osnovna forma sinusnog vremenskog reda:
| | ( ) x n A n = + cos
0
(6.10)
gde je A realan broj. Sinusni vremenski red ima vanu ulogu kod ispitivanju sistema u spektralnom
domenu. Dve sinusne funkcije ija se frekvencija razlikuje za 2k vrednosti jedno od druge ne
moemo ih razlikovati u tim takama u kojima su definisani. Zbog ovoga diskretno sinusne ili
koplexno exponencijalne signale posmatramo samo u tzv. osnovnom opsegu (engleski baseband).
Osnovni opseg je sledei domen:
- ili 2 0
Druga vana razlika izmeu neprekidnih i diskretno periodinih ili exponencijalnih
vremenskih redova je u definiciji periode. Ono to se odnosi za neprekidne signale je vremensko
ponavljanje periode T=2 / . U sluaju diskretnih signala periodu definiemo na sledei nain:
) ( ) ( N n x n x + =
gde je N ceo broj i N je perioda diskretnog signala. Upotrebljen za diskretnu sinusnu funkciju:
) sin( ) sin( + + = + N n n
odavde je vrednost periode N=2k. Odnosno komlexno exponencijalni i sinusni vremenski red
nisu uvek za 2 / vrednosti periodini, ve zavisi i od vrednosti ( u 5. poglavlju kod obrade
alliasing efekta knjiga se detaljno bavi ovom problematikom).
Kod neprekidnih signala sa porastom frekvencije raste i brzina promene signala u vremenu,
kod diskretnih signala ovo ne znai zakonitost, kao to se vidi u prethodnom poglavlju kada smo
obraivali alliasing efekat.
6.2.3. Klasifikacija diskretno vremenskih signala
Iz matematikog oblika posmatramo signale prema razliitim karakteristikama.
Signali energetskog tipa i signali tipa snage. Energiju signala prema definiciji moemo opisati u
sledeoj formi:

+
=
=
n
n x E
2
) ( (6.11)
Diskretni signali i sistemi
106
ovaj izraz vai i za komlexnih signala a i za realne signale. Energija signala moe biti konana i
beskonana, ako je energija konana onda je signal energetskog karaktera.
Energija mnogih signala je beskonana ali prosena snaga je konana i ovakve signale
nazivamo karakter snage. Prosenu snagu diskretnih signala definiemo na sledei nain:

=

+
=
N
N k
N
n x
N
P
2
) (
1 2
1
lim (6.12)
Ako definiemo energiju signala u konanom domenu -N n N onda je energija prvog 2N
lana:

+
=
=
N
N n
N
n x E
2
) (
Na osnovu ovoga energija signala moe se raunati kao:
N
N
E E

= lim (6.13)
u ovom sluaju prosena snaga ovog signala je:
N
N
E
N
P
1 2
1
lim
+
=

(6.14)
Ako je E konaan onda je P=0, a ako je E beskonaan onda prosena snaga moe biti
konana ili beskonana. Ako je P konaan (i nije nula) signal nazivamo signal snage.
Od karakteristinih signala jedinini impuls je tipa energije, jedinina odskona funkcija i
osnovni exponencijalni signali su tipa snage i funkcija impulsnog slejda nije definisana.
Periodini i neperiodini signali. U pod poglavlju 6.1.2 kod obrade exponencijalnih
signale knjiga se bavila periodinim signalima a sada emo ovaj tip signala ispitivati samo prema
karakteru snage ili energije. Prosena snaga periodinog signala je konana i jednaka je prosenoj
snazi unutar jedne periode. Odnosno ako x(n) periodini signal sa N konanom periodinom
duinom njena prosena snaga se rauna na sledei nain:

=
=
1
0
2
) (
1
N
k
n x
N
P (6.15)
Na osnovu ovoga periodini signali su signali snage.
Simetrini (parni) i antisimetrini (neparni) signali. Za signale sa realnom vrednou
kaemo da su simetrini ako:
x(-n)=x(n)
sa druge strane signali su antisimetrini ako:
x(-n)= -x(n)
za osnovni sluaj je istina, da se svaki signal moe opisati kao zbir parnog i neparnog signala:
Diskretni signali i sistemi
x(n)=x
e
(n)+x
o
(n)
parni deo iz originalnog signala se lako rauna:
x
e
(n)=0.5*[x(n)+x(-n)]
neparni deo signala:
x
o
(n)=0.5*[x(n)-x(-n)]
Zadatak 6.1.: Data su etiri vremenska reda. Izvrite klasifikaciju DFT-a kao realni, imaginarni
odnosno komplexni. Pretpostavimo da je u svakom sluaju N=10.
a)
b)
c)
Slika 6.3. Pod a), b) i c) na osnovu istaknutog zadatka
Reenje: a) Kao prvo moemo odrediti da je vremenski red paran, pa za rezultat dobijemo realan
DFT.
Koristei izraz za raunanje DFT-a:
| | | |

= =
1
0
/ 2
1 ,... 3 , 2 , 1 , 0
N
n
N kn j
p p
N k e x X n k

rezultat je:
Diskretni signali i sistemi
108
X
P
|k|=0.2e
-jk/5
+0.4e
-j2k/5
+0.6e
-j3k/5
+0.8e
-j4k/5
+e
-jk
+0.8e
-j6k/5
+0.6e
-j7k/5
+0.4e
-j8k/5
+0.2e
-j9k/5
Uparivanjem odreenih lanova i sreivanjem izraza:
0.2(e
-jk/5
+e
-j9k/5
)=0.4e
-j5k/5
|
.
|

\
| +

2
e e
5 / k 4 j 5 / k 4 j
=0.4e
-jk
cos(4k/5)
0.4(e
-j2k/5
+e
-j8k/5
)=0.8e
-j5k/5
|
.
|

\
| +

2
e e
5 / k 3 j 5 / k 3 j
=0.8e
-jk
cos(3k/5)
0.6(e
-j3k/5
+e
-j7k/5
)=1.2e
-j5k/5
|
.
|

\
| +

2
e
5 / k 2 j 5 / k 2 j
=1.2e
-jk
cos(2k/5)
0.8(e
-j4k/5
+e
-j6k/5
)=1.6e
-j5k/5
|
.
|

\
| +

2
e e
5 / k j 5 / k j
=1.6e
-jk
cos(k/5)
posle uparivanja:
X
P
|k|=0.4e
-jk
cos(4k/5)+0.8e
-jk
cos(3k/5)+1.2e
-jk
cos(2k/5)+1.6e
-jk
cos(k/5)+e
-jk
=
= e
-jk
|0.4cos(4k/5)+0.8cos(3k/5)+1.2cos(2k/5)+1.6cos(k/5)+1|
sreen izraz:
X
P
|k|= (-1)
k
|0.4cos(4k/5)+0.8cos(3k/5)+1.2cos(2k/5)+1.6cos(k/5)+1|
Kao to smo pretpostavili dobili smo realan spektar odnosno isto samo realne lanove za
DFT. Sada emo odrediti amplitudski i fazni spektar prema sledeim izrazima:
| | k X
p
=A|k|=
2 2
Im Re+ | |
Re
Im
arctg k =
U sledeoj tabeli se mogu videti pojedine spektralne komponente amplitudnog i faznog
spektra koji su numeriki raunati.
Tabela 6.1. Numerike vrednosti amplitudnog i faznog spektra
k A|k| |k| k A|k| |k|
0 5 0
0
5 0.2 -180
0
1 2.09 -180
0
6 0 0
0
2 0 0
0
7 0.31 -180
0
3 0.31 -180
0
8 0 0
0
4 0 0
0
9 2.09 -180
0
Poto u ovom sluaju faznog kanjenja za imaginarni deo uvek dobijemo nulu, za negativne
vrednosti uvek trebamo da dodamo za faznu vrednost vrednost. Na osnovu vrednosti iz tabele
crtamo amplitudni i fazni spektar.
Diskretni signali i sistemi
Slika 6.4. a) spektar amplitude i b) spektar faze vremenskog reda
b) Sa slike se moe videti da vremenski red nije ni paran a nije ni neparan na osnovu ovoga
moemo oekivati za rezultat komplexni DFT.
X
p
|k|= | |

=

1 N
0 n
N / kn 2 j
p
e n x =0.2e
-jk/5
+0.4e
-j2k/5
+0.6e
-j3k/5
+0.8e
-j4k/5
+e
-jk
-0.8e
-j6k/5
-
-0.6e
-j7k/5
-0.4e
-j8k/5
-0.2e
-j9k/5
Na slian nain sredimo izraz kao pod a) i dolazimo do sledeeg izraza:
X
P
|k|= (-1)
k
+(-1)
k
0.4j|sin(4k/5)+2sin(3k/5)+3sin(2k/5)+4sin(k/5)|
Dobijemo odreen komplexni DFT. Amplitudski i fazni spektar smo sredili u sledeoj tabeli.
Tabela 6.2. Amplitudski i fazni spektar vremenskog reda
k A|k| |k| k A|k| |k|
0 1 0
0
5 1 180
0
1 3.24 -72
0
6 1.1 18
0
2 1.7 -54
0
7 1.2 36
0
3 1.2 -36
0
8 1.7 54
0
4 1.1 -18
0
9 3.24 72
0
Na osnovu vrednosti iz tabele crtamo amplitudski i fazni spektar na sledeoj slici.
Slika 6.5. a) amplitudski i b) fazni spektar vremenskog reda
Diskretni signali i sistemi
110
c)
x
p
|n|=

=


9 8 10
7 3 0
2 0
, n n) (
n
n n
Slika 6.6. a) amplitudski i b) fazni spektar vremenskog reda
Slino prethodnim sluajevima mogu se izraunati spektralne komponente:
X
p
|k|=

=
1 N
0 n
x
p
|n|e
-j2kn/N
=e
-jk/5
+2e
-j2k/5
-2e
-j8k/5
-e
-j9k/5
Posle uparivanja i sreivanja dolazimo do sledeeg izraza.
X
p
|k|= (-1)
k
2j|sin(4k/5)+2sin(3k/5)|
Brojane vrednost amplitudskog i faznog spektra smo sredili u tabeli 6.3. Slika
amplitudskog i faznog spektra vremenskog reda se moe videti na slici 6.6.
Tabela 6.3. Amplitudski i fazni spektar vremenskog reda
K A|k| |k| k A|k| |k|
0 0 0
0
5 0 0
0
1 4.98 90
0
6 2.63 90
0
2 4.25 90
0
7 0.45 90
0
3 0.45 -90
0
8 4.25 -90
0
4 2.63 -90
0
9 4.98 -90
0
Diskretni signali i sistemi
6.3. Opis diskretno vremenskih sistema
Diskretno vremenski sistemi su matematiki definisani kao transformacija ili operator, koji
preslikavaju vrednost x(n) u vrednost y(n). Ovo moemo opisati u sledeoj formi i ematski
prikazati.
| | | | { }K n x T n y = (6.16)
Slika 6.7. ematski prikaz diskretno vremenskog sistema
Operator T odreuje nain, da na kakav nain se moe odrediti vrednosti pojedinih lanova
izlaznog signala na osnovu ulaznog signala. Operator T moe biti jako razliit. Definicija operatora
se moe zadati u vremenskom i frekventnom domenu, matematikim izrazom, grafikonom, tabelom
itd. Operatori mogu imati ogranien uslov, sa ovim zanimljivostima se bavi knjiga u nastavku.
6.3.1. Vremenski invarijantni (nepromenjeni) sistemi
Za jedan sistem kaemo da je vremenski invarijantan ako za svaku vrednost n
0
ulazni
vremenski red x
1
(n)=x(n-n
0
) generie vremenski red y
1
(n)=y(n-n
0
), gde je y(n)=f(x(n)).
Najvei deo sistema za digitalnu obradu signala pripadaju u vremenski nepromenjene
sisteme. Izuzetak ine adaptivni sistemi ija prenosna funkcija se adaptira za promene ulaznog
signala i ovako se koeficijenti u vremenu neprestano menjaju.
6.3.2. Linearni sistemi
Za linearne sisteme se ispunjava se uslov za aditivnost i homogenost. Teorema sabiranja se
moe opisati sa sledeim izrazom:
| | | | { } | | { } | | { } | | | | T x n x n T x n T x n y n y n
1 2 1 2 1 2
+ = + = + (6.17)
odnosno teorema homogenosti:
| | { } | | { } | | T ax n aT x n ay n = = (6.18)
Gore navedene dve osobine se mogu spojiti teoremom superpozicije:
| | | | { } | | { } | | { }
T ax n bx n aT x n bT x n
1 2 1 2
+ = + (6.19)
gde su a i b proizvoljne konstante.
Diskretni signali i sistemi
112
Ako postoji vie izvora vremenskog reda onda u optoj formi se moe opisati teorema
superpozicije:
| | | | y n a y n
k k
k
=

(6.20)
Ako iskoristimo teoremu superpozicije onda lako moemo ispitati da li je jedan sistem
linearan ili nije.
6.3.3. Kauzalnost
Sistem je kauzalan za svaki n
0
ako za n=n
0
lan izlaznog vremenskog reda jedino zavisi od
n n
0
ulaznog reda. Ovo jednostavno znai da lanovi izlaznog vremenskog reda sistema su
nezavisni od lanova ulaznog vremenskog reda koji se javljaju u budunosti. Kauzalni sistemi ne
raspolau mogunou uvidom u budunost.
Poznato je iz teorije sistema da jedan sistem se moe ostvariti ako je kauzalan. Ali zato i ne
kauzalni sistemi imaju znaaj u ureajima digitalne obrade signala. Jer u veini sluaja signale ne
moramo obraditi u realnom vremenu nego ih moemo i kasnije obraditi, i u ovakvim sluajevima
moe se ostvariti nekauzalan sistem jer postojee podatke po elji moemo pomerati 'levo ili desno'.
Sa upotrebom nekauzalnih elemenata mogu se izraditi vani postupci za obradu signala koji mogu
biti zanimljivi u digitalnoj obradi slike ili u geofizikim merenjima.
Definicija kauzalnosti se u veini sluaja upotrebljava za diskretne signale kod odreivanja
kauzalnog impulsnog odziva funkcije.
6.3.4. Sistemi sa pamenjem
Sistem je bez pamenja ako lan izlaznog vremenskog reda y(n) zavisi samo od n-tog lana
ulaznog vremensko reda, odnosno od x(n).
Zadatak 6.1. Odredimo da li je sledei sistem sa pamenjem ili nije:
| | | | ( ) y n x n =
2
Reenje: Ovaj sistem je bez pamenja jer n-ti lan izlaza zavisi samo od
n-tog lana ulaza ali sistem nije linearan. Dok naprimer jedna idealna linija za kanjenja je sistem sa
pamenjem jer n-ti lan izlaznog vremenskog reda zavisi od n-tog jednog ili vie razliitog lana
ulaznog vremenskog reda.
Diskretni signali i sistemi
6.3.5. Stabilnost
Diskretni sistem je stabilan u tom sluaju ako jedan konani ulazni vremenski red za rezultat
daje na izlazu jedan konani izlazni vremenski red. Ulazni diskretni vremenski red konaan je u tom
sluaju ako postoji jedan fix, konaan, pozitivan broj, B
x
, za koje:
| | x n B
x
< za svaku n vrednost (6.21)
Uslov stabilnosti zahteva da ako je x|n| konaan onda postoji i jedan konaan pozitivan broj
B
y
koji zadovoljava sledei uslov:
| | y n B
y
< za svaku n vrednost (6.22)
6.4. Linearno vremensko invarijantni sistemi
Najvaniji diskretni sistemi koji se upotrebljavaju u sistemima digitalne obrade signala
uglavnom ispunjavaju uslov linearnosti i vremenski su invarijantni. Ove sisteme nazivamo linearno
invarijantni sistemi i najee upotrebljavamo englesku skraenicu LTI (Linear Time Invariant).
Ova porodica sistema raspolae mnogim zanimljivim osobinama sa ijom upotrebom lako se mogu
opisati ovi sistemi.
Porodicu linearnih sistema lako moemo opisati teoremom superpozicije. Ako je h(n) odziv
funkcije sistema za vremenski red (n-k) u sledeem obliku:
| | | |
)
`

= k
k n k x T n y ] [ (6.23)
Na osnovu teoreme superpozicije za LTI sistem moemo pisati:
| | | | | | { } k n T n x n y
k
=

=
(6.24)
Pod linearno invarijantnim sistemima podrazumevamo takve sisteme, da za (n) je odziv
funkcije h(n-k), pa ovako jednaina (6.23) dobija sledei oblik:
| | | | | |

=
=
k
k n h k x n y (6.25)
Ako je y(n) vremenski red koji je u relaciji sa redom x(n) i h(n), onda ovu relaciju nazivamo
konvolucija i obeleavamo ga u sledeem obliku:
| | | | | | y n x n h n = (6.26)
Raunanje konvolucionog zbira dosta je teko prema izrazu (6.25) u praktinim upotrebama
jer sadri lan sa beskonanim brojem. Ali u stvarnosti ako je diskretni sistem kauzalan onda je
Diskretni signali i sistemi
114
impulsni odziv funkcije sa leve strane ogranien, odnosno h(n)=0 n< 0 u ovom sluaju izraz (6.25)
ima sledei oblik:
| | | | | |

=
=
0 k
k n h k x n y (6.27)
Ako je ulazni signal kauzalan, odnosno x(n)=0 n< 0 prethodni izraz imae sledei oblik:
| | | | | | | | | | k n x k h k n h k x n y
n
k
n
k
= =

= = 0 0
(6.28)
Duina konvolucionog reda uvek je dua od ulaznih redova x(n) i h(n). Ako je duina
redova x(n), y(n) i h(n) respektivno N
x
, N
y
, N
h
onda :
N
y
=N
x
+N
h
-1 (6.29)
Slika 6.7. Prikaz raunanja konvolucije
Diskretni signali i sistemi
6.4.1. Nekoliko osobina konvolucionog zbira
Konvolucioni operator je komutativan:
| | | | | | | | | | ) ( * ) ( ) ( * ) (
0 0
n x n h k n x k h k n h k x n h n x n y
n
k
n
k
= = = =

= =
(6.30)
Konvolucioni operator je i asocijativan:
| | )] ( * ) ( [ * ) ( ) ( * )] ( * ) ( [
2 1 2 1
n h n h n x n h n h n x n y = = (6.31)
Konvolucioni operator je distributivan za sabiranje:
| | )] ( * ) ( [ * ) ( ) ( * )] ( * ) ( [
2 1 2 1
n h n h n x n h n h n x n y = = (6.32)
Slika 6.8. a) Kaskadna i b) paralelna veza
U sluaju kaskadne veze sistema vrednost ekvivalentne prenosne funkcije (slika 6.8. a):
| | ) ( * ) (
2 1
n h n h n h = (6.33)
A u sluaju paralelne veze sistema vrednost ekvivalentne prenosne funkcije (slika 6.8. b):
| | ) ( ) (
2 1
n h n h n h + = (6.34)
6.5. Klasifikacija LTI sistema
U prethodnim poglavljima smo definisali i upotrebljavali smo pojam impulsnog odziva
funkcije diskretnih sistema. LTI sisteme emo podeliti u dve grupe. U prvu grupu spadaju diskretni
sistemi iji je impulsni odziv funkcije sa konanom duinom (engleski Finite Impulse Response
FIR) koje moemo opisati sa sledeim izrazima:
Diskretni signali i sistemi
116

=
=

< =
1
0 k
) ( ) ( y(n)
1 - M n 0 0, h(n)
M n 0, n , 0 ) (
M
k n x k h
n h
prilikom izvoenja, pretpostavljamo da je sistem kauzalan. Kao to se vidi izlaz FIR sistema je
linearna kombinacija M ulaznih uzoraka i teinskih koeficijenata, odnosno uzorci h(n) impulsnog
odziva funkcije. FIR sistem se ponaa kao ' prozor ' preko koga zadnji uzorak M iz ulaznog signala i
pomnoen su sa teinskim koeficijentima i tako nastaje izlazni signal.
Drugu grupu LTI sistema ine beskonani impulsni odzivi (engleski Infinite Impulse
Response IIR) iji je izlazni konvolucioni zbir:

=
=
0 k
) ( ) ( y(n) k n x k h (6.35)
u izrazu je pretpostavljeno da je sistem kauzalan.
Praktina realizacija IIR sistema na osnovu izraza konvolucije se ne moe realizovati, ali
ima alternativni izraz.

=

=
+ =
N
k
M
k
k n y a k n x b
1 k
1
0 k
) ( ) ( y(n) (6.36)
Ovakvi diskretni sistemi su rekurzivni.
6.6. Diskretni vremenski redovi i sistemi u frekventnom domenu
Kod neprekidnih signala i sistema mogli smo videti znaaj tansformacionih postupaka kod
analize i sinteze LTI sistema. Mnoge osobine signala i sistema mnogo lake moemo analizirati ako
vrimo na njima razne transformacije. U neprekidnoj oblasti veliki znaaj imaju Fourier-ova i
Laplace-ova transformacija.
Kod diskretnih sistema i signala razne transformacije imaju veliki znaaj.
Ako posmatramo sledei ulazni vremenski red x(n)=e
jn
, onda je impulsni odziv funkcije:
| | | |
( )
y n h k e
j n k
k
=

=


| | =
|
\

|
.
|

e h k e
j n j k
k

(6.37)
Ako u izrazu 6.27. vrednost koja se nalazi u zagradi oznaimo:
( ) | | H e h k e
j j k
k

=

=

(6.38)
gde e
j
predstavlja karakteristinu funkciju diskretnog sistema i dodata karakteristina vrednost
H(e
j
). Kod diskretnih sistema komplexno exponencijalna funkcija pobude ostvaruje komplexno
exponencijalni odziv na istoj frekvenciji.
Diskretni signali i sistemi
| | ( )
y n H e e
j j n
=

(6.39)
Iz jednaine (6.39) vidimo da H(e
j
) u

frekventnom domenu opisuje promenu komplexne
amplitude i oznaava sopstvenu vrednost sistema. H(e
j
) prema ovome nazivamo frekventni odziv
funkcije na slian nain kao ko analognih sistema.
U osnovnom sluaju prenosna funkcija je komplexna i moe se izraziti u realnom i
imaginarnom delu ili preko svoje amplitude i faze:
) ( arg
) ( ) ( ) ( ) (


j
e H j
j j
I
j
R
j
e e H e jH e H e H = + =
Kod neprekidnih i diskretnih sistema izmeu odziva funkcija ima velike razlike. Kod
vremensko diskretnih i invarijantnih sistema frekventni odziv funkcije u frekventnom domenu je
periodian ovo se lako moe dokazati ako umesto uvrstimo +2:
( ) | | | | | | ( )
j
k
k j k j
k
k j
k
k j j
e H e k h e e k h e k h e H = = = =


=

=
+ + 2 ) 2 ( ) 2 (
Ovaj rezultat nije oekivan jer i prethodno smo videli kod alliasing efekta da diskretni
signali prema 2 su periodini.
Odzivi funkcije takoe su periodini i ne moemo ih razlikovati unutar razliite duine 2
periode.
Kao to smo videli kod diskretnih signala, i diskretne sisteme je dovoljno ispitati u
domenima od 0 2 ili - .
6.7. Opis vremenskih redova Fourier-ovom transformacijom
Izrazi (6.40) i (6.41) zajedno ine Fourier-ov transformacioni par diskretnog vremenskog
reda:
| | ( )
x n X e e d
j j n
=

1
2


(6.40)
( ) | | X e x n e
j
n
j n
=
=

(6.41)
Jednaina (6.41) je inverzna Fourier-ova transformacija i predstavlja sintetizaciju. Odnosno
prema ovom izrazu x(n) je sastavljen od mnogo malih komplexnih sinusnih signala sa beskonano
mnogo odreenih komplexnih amplituda, gde se u (,2) intervalu menja i X(e
j
) predstavlja
amplitudu sinusnih komponenata, i pojedine sinusne komponente moemo karakterisati sa sledeim
izrazom:
( )
1
2


X e e d
j j n
Diskretni signali i sistemi
118
Uglavnom Fourier-ova transformacija je funkcija komplexne vrednosti u domenu.
Vrednosti X(e
j
)i < X(e
j
) nazivamo magnituda i faza. Najee Fourier-ovu transformaciju
jednostavno nazivamo spektar. Ovaj spektar se moe opisati na dva naina prvo kao zbir realnih i
imaginarnih delova (6.41) a drugo kao proizvod amplitudnog i faznog spektra (6.42):
( ) ( ) ( )
X e X e jX e
j
R
j
I
j
= + (6.41)
( ) ( )
( )
X e X e e
j j
j X e
j


=

(6.42)
6.8. Diskretna Fourier-ova transformacija konane duine
Pretpostavimo da osnovnu duinu periode od 2, spektar diskretnog vremenskog reda
diskretizujemo sa uzorkom na N jednakim rastojanjima, ije meusobno rastojanje =2/N. Ako
uvrstimo vrednost u izraz (6.41):
| | . 0,1,2,3,.. k ,
2
/ 2
= = |
.
|

\
|

N kn j
n
e n x
N
k
X

(6.42)
ovaj zbir beskonane duine moemo podeliti na beskonaan broj parcijalnih zbirova koji imaju N
lanova:
| | | | | | | |

+
=

+
=

=
= + + + + = |
.
|

\
|
r
N kn j
N rN
rN n
N kn j
N
N n
N kn j
N
N n
N kn j
N
n
e n x e n x e n x e n x
N
k
X
/ 2
1
/ 2
1 3
2
/ 2
1 2
/ 2
1
0
... ...
2

ako u unutranjem zbiru n zamenimo sa n-rN i zamenimo redosled suma onda dobijemo sledei
izraz:
| | 1 - N ., 0,1,2,3,.. k ,
2
1
0
/ 2
=
(

= |
.
|

\
|

=
N
n
N kn j
n
e rN n x
N
k
X

izraz koji se nalazi u srednjoj zagradi opisuje periodino ponavljanje vremenskog reda x(n), perioda
ponavljanja je N. Poto periodine signale moemo razloiti u Fourier-ov red:
| | 1 - N ., 0,1,2,3,.. k , ) (
1
0
/ 2
= = =


=

=
N
n
N kn j
k
n
p
e c rN n x n x

Fourier-ovi koeficijenti mogu se raunati prema sledeem izrazu:
1 - N ., 0,1,2,3,.. k , )
2
(
1
) (
)
2
(
1
) (
1
1
0
/ 2
1
0
/ 2
= =
= =

N
n
N kn j
p
N
n
N kn j
p k
e
N
k
X
N
n x
N
k
X
N
e n x
N
c

Diskretni signali i sistemi


Zadnji izraz je paran to je jako vano i ukazuje na to da je mogue vraanje na prethodno
stanje periodinog vremenskog reda x
p
(n) iz uzorkovanog spektra X(e
j
).
Na slian nain kao kod vremenskog uzorkovanja u spektru moralo se paziti na spektralno
preklapanje pa tako ako uzorkujemo u spektralnom domenu, poto su vreme i spektar meusobno
dualni, onda moramo paziti na vremensko preklapanje prilikom stvaranja periodinog vremenskog
reda.
Preklapanje u vremenskom domenu ne dolazi ako je vremenski red x(n) krai nego N. Na
slici 6.9. prikazujemo realizaciju ispravnog periodinog vremenskog reda i neadekvatnog.
Sa slike 6.9. b) pravilno moemo izdvojiti iz periodinog vremenskog reda x
p
(n) vremenski
red x(n) jer nema preklapanja u vremenskom domenu , dok sa slike 6.9. c) ne moemo jednoznano
izdvojiti vremenski red x(n) prema slici 6.9.a).
Uzorkovani DFT u frekventnom domenu se moe koristiti u raunarskim upotrebama jer
obe oblasti vreme i spektar sadre konaan broj lanova i diskretan je.
Slika 6.9. Periodian nastavak konanog vremenskog reda a) originalni vremenski red L=6 b)
periodian nastavak bez preklapanja N=7>L c) periodian nastavak sa preklapanjem N=4< L
U dosada reenima i u nastavku zbog sistematizovanja jo jednom opisaemo dva izraza,
prvo za izraunavanje Diskretne Fourier-ove Transformacije vremenskog reda x(n):
( ) | | . 0,1,2,3,.. k ,
/ 2
= =

N kn j
n
e n x k X

(6.43)
odnosno za vraanje vremenskog reda x(n) na prethodno stanje koristimo Inverznu Diskretnu
Fourier-ovu Transformaciju:
Diskretni signali i sistemi
120
1 - N ., 0,1,2,3,.. k , )
2
(
1
) (
1
0
/ 2
= =

N
n
N kn j
e
N
k
X
N
n x

(6.44)
Slika 6.10. Cirkularno pomeranje vremenskog reda a) originalni vremenski red b) za dva koraka
pomeren vremenski red
6.9. Cirkularna konvolucija
Kao prvo moramo uvesti nov pojam koji nazivamo cirkularno pomeranje. Cirkularno
pomeranje u vremenu konanog vremenskog reda moemo shvatiti kao periodino pomeranje istog
konanog vremenskog reda. Na slici 6.10. moe se videti cirkularno pomeranje vremenskog reda sa
duinom N=6 za dva uzorka levo.
Transformacioni par cirkularno pomerenog vremenskog reda:
| | | | x n m W X k
DFT
N
km
+

(6.45)
gde je W
N
(n,k)=e
j k / N
=W
N
nk
rotacioni faktor i kod mnoenje sa njima samo se menja argument
komplexnog broja, sa N periodom je periodian nk. Lako se moe uoiti da kod bilo kojeg
pomeranja vremenskog reda m N isti transformacioni par daje za rezultat kao za krae n
pomeranje, za koje je ispunjen uslov da m=n+rN i 0 n N-1 odnosno m=n mod N= m
N
.
Cirkularno pomeranje u frekventnom domenu moe se pisati u sledeoj formi:
| | | | W x n X k m
N
nm DFT
+ (6.46)
Dva vremenska reda konane duine x
1
|n| i x
2
|n| iji je DFT X
1
|k| i X
2
|k|. Ako ih
pomnoimo po lanovima X
1
|k| i X
2
|k| za rezultat se dobija X
3
|k| isto tako sa N lanom.
inae
Diskretni signali i sistemi
Slika 6.11. Cirkularna konvolucija
(6.47)
odnosno:
| | | | ( ) ( )
| |
x n x m x n m
N
m
N
3 2 1
0
1
=
=

(6.48)
ova dva izraza oznaavaju N duinu cirkularne konvolucije.
Osnovna razlika izmeu cirkularne i linearne konvolucije je u izraunavanju rednog broja
vremenskog reda. U praktinim raunanjima moe se koristiti algoritam kao kod linearne
konvolucije vremenskog reda sa tom razlikom da konvolucioni algoritam u prva dva koraka,
preslikavanje i pomeranje indexa raunamo prema modulu N, odnosno operacije nad vremenskim
redovima vrimo kao da se lanovi sa poetka vremenskog reda prikopavaju na kraj vremnskog
reda. Odavde sledi ime cirkularne konvolucije i posledica ovoga je rezultat cirkularne konvolucije
vremenski red N duine.
Zadatak 6.3. Za vremenske redove na slici 6.12.:
a) Odredite linearnu konvoluciju i predstavite x
1
|n|*x
2
|n|
b) Odredite i nacrtajte cirkularnu konvoluciju u 100 taaka:
Diskretni signali i sistemi
122
Slika 6.12. Vremenski redovi zadatka
Reenje: a) Linearne konvolucije vremenski reda x
1
|n|*x
2
|n|, duina 100 + 10 1 =N
| | | | | |

= :
~ ~ ~
2 1
m n x n x n x
a
| | | |
x x
a a
0 109 1 = =
| | | | x x
a a
1 108 2 = =

| | | | x x
a a
9 100 10 = =
gde je x
a
|i|=10, 10 i 99
b) Vrednosti cirkularne konvolucije vrmenskog reda su
N = 100
| | | | | |
x x x
b a a
0 0 100 10 = + =
| | | | | | x x x
b a a
1 1 101 10 = + =
M
| | | | | | x x x
b a a
9 9 109 10 = + =
| |
x i i
b
= 10 10 99 ;
Diskretni signali i sistemi
Slika 6.13. a) Vremenski red linearne konvolucije b) Vremenski red cirkularne konvolucije
6.10. Simetrine osobine diskretne Fourier-ove transformacije
Ponavljamo reeno u podpoglavlju 6.2.3. sortirano u tabele, saimamo celinu delova
zbirova vremensko diskretnih signala u odnosu na spektralne komponente. Svaki vremenski red se
moe izraziti kao zbir jednog konjugovano simetrianog i zbir konjugovano antisimetrinog reda. U
tom sluaju taj red se moe opisati na sledei nain:
| | | | | | x n x n x n
e o
= + (6.49)
gde je:
x
e
|n| - konjugovano simetrini red u sledeoj formi
| | | | | | x n x n x n
e
= +
|
\

|
.
|
1
2
(6.50)
x
0
|n| - konjugovano antisimetrini reda u sledeoj formi
| | | | | | x n x n x n
o
=
|
\

|
.
|
1
2
(6.51)
Realni red je konjugovano simetrian ako je x
e
|n|= x
e
|-n| i nazivamo ga parni red;
a realni red je konjugovano antisimetrini ako je x
0
|n|= - x
0
|-n| i nazivamo ga neparni red.
Fourier-ovu transformaciju moemo rastaviti na jednu konjugovanu simetrinu funkciju i
konjugovanu antisimetrinu funkciju:
| | | | | | x n x n x n
o
=
|
\

|
.
|
1
2
(6.52)
Diskretni signali i sistemi
124
gde je

( ) ( ) ( )
| |
X e X e X e
e
j j j
= +
1
2
(6.53)
( ) ( ) ( )
| |
X e X e X e
o
j j j
=
1
2
(6.54)
X
e
(e
j
) je konjugovano simetrian lan (oznaka e biti KoS), a X
o
(e
j
) je konjugovano
antisimetrian lan (oznaka KoAS) odnosno:

( ) ( )
X e X e
e
j
e
j
=

(6.55)

( ) ( )
X e X e
o
j
o
j
=

(6.56)
U tabeli 6.3. su pokazane neke vane simetrine osobine Fourier-ovog reda.
Tabela 6.4. Simetrine osobine Fourier-ove transformacije
Vremenski red | | x n
Fourier-ova transformacija
( )
X e
j
1. | | x n

( )
X e
j
2. | | x n

( )
X e
j
3. | | { }
Re x n
( )
X e
e
j
(KoS od
( )
X e
j
)
4. | | { }
j x n Im
( )
X e
o
j
(KoAS od
( )
X e
j
)
5. | | x n
e
(KoS od | | x n )
( )
X e
R
j
6. | | x n
o
(KoAS od | | x n )
( )
jX e
I
j
Sledee osobine upotrebljavamo samo ako je | | x n realan:
7. | | x n
( ) ( )
X e X e
j j
=

( Fourier transformacioni KoS)
8. | | x n
( ) ( )
X e X e
R
j
R
j
=

(realani deo paran)
9. | | x n
( ) ( )
X e X e
I
j
I
j
=

(imaginarni deo neparan)
10. | | x n
( ) ( )
X e X e
j j
=

(magnituda parna)
11. | | x n
( ) ( )
=

X e X e
j j
(faza je neparna)
12. | | x n
e
( | | x n parni deo)
( )
X e
R
j
13. | | x n
o
( | | x n neparni deo)
( )
jX e
I
j
Diskretni signali i sistemi
6.11. Teoreme Fourier-ove transformacije
Tabela 6.6. Nekoliko teorema diskretne Fourier-ove transformacije
Vremenski red Fourier-ova
transformacija
| |
x n
( )
X e
j
| |
y n
( )
Y e
j
Imenovanje teoreme
| | | |
ax n by n +
( ) ( )
aX e bY e
j j
+
Linearnost
| |
x n n
d
(n
d
ceo broj)
( )
e X e
j n j
d

Vremenska translacija
| |
e x n
j n
0 ( )
( )
X e
j
0
Spektralna translacija
( )
X e
j
| |
x n
( )
X e
j
ako
| |
x n realan
Vremenska inverzija
| |
nx n ( )
j
dX e
d
j

Diferenciranje po frekvenciji
| | | |
x n y n
( ) ( )
X e Y e
j j
Konvolucija u vremenskom
domenu
| | | |
x n y n
( )
( )
( )
1
2

X e Y e d
j j

Konvolucija u spektralnom
domenu ili modulacija ili
prozorisanje
| | ( )
x n X e d
n
j
2
2
1
2
=

Parserval teorema
| | | | ( ) ( )
x n y n X e Y e d
n
j j
=


=
1
2

Parserval teorema
Ove teoreme se podudaraju sa teoremama neprekidnog vremenskog domena. Zbog lakeg
praenja uveemo sledee oznake za Fourier-ovu transformaciju i za inverzne transformacije:
( ) | | { }
X e F x n
j
=
| | ( ) { }
x n F X e
j
=
1
x|n|X(e
j
)
U tabeli 6.6. nabrojeno je nekoliko saetih teorema bez dokazivanja.
F
Diskretni signali i sistemi
126
6.12. Karakteristini Fourier transformacioni parovi
U tabeli 6.7. nabrojaemo nekoliko mnogo upotrebljavanih diskretnih Fourier-ovih
transformacionih parova.
Tabela 6.7. Fourier-ovi transformacioni parovi
Vremenski red Fourier-ova transformacija
| | n
1
| |
n n
0
e
j n
0
1 < < n ( ) 2 2 +
=

k
k
| | ( ) 1 < a n u a
n
1
1

ae
j
| | u n
( )
1
1
2

+ +

e
k
j
k


( ) | |( ) 1 1 < + a n u a n
n
( )
1
1
2


ae
j
( )
| | ( )
r n
u n r
n
p
p
sin
sin

+
<
1
1
1
1 2
2 2
+

r e r e
p
j j
cos

sin

c
n
n
( )
X e
j c
c



=
<
<

1
0
, ,
,
| |


=
inace
M n
n x
, 0
0 , 1
( ) | |
( )
sin
sin


M
e
j M
+

1 2
2
2
e
j n
0
( )
2 2
0
+
=

k
k
( ) cos
0
n + ( ) cos
0
n + ( ) ( )
| |


e k e k
j j
k
+ + + +

0 0
2 2
6.13. FFT- brzi Fourier-ov transformacioni algoritam
Do sada smo obrazloili znaaj Diskretne Fourier-ove Transformacije kod obrade diskretnih
i digitalnih signala, zbog toga ima veliki znaaj mogunost izraunanja DFT efektivno i brzo. Prvo
emo kratko ukazati na tekoe izraunavanja DFT-a prema definiciji i ukazaemo na nekoliko
jednostavnih mogunosti sa ime je mogue poveati brzinu raunanja. Ove postupke uglavnom
nazivamo brze Fourier-ove transformacije (engleski Fast Fourier Transformation-FFT).
Diskretni signali i sistemi
6.13.1. Aritmetika sloenost raunanja DFT-a
Prema definiciji DFT raunamo prema sledeem izrazu:
( ) | | . 0,1,2,3,.. k ,
/ 2
= =

N kn j
n
e n x k X

U ovom izrazu moramo izvriti etiri operacije: raunanje trigonometrijske funkcije,
mnoenje, sabiranje i raunanje indexa. Postavlja se pitanje koliko puta trebamo izvriti ove
raunske operacije:
- Broj raunanja trigonometrijskih operacija je 2N
2
.
- Broj mnoenja realnih brojeva je 4N
2
.
- Broj potrebnih realnih sabiranja je 2N(2N-1)4N
2
.
Direktan nain raunaja kao to se vidi nije efektivno ali se lako se moe poboljati.
Ako unapred izraunamo vrednosti trigonometrijskih funkcija za vane vrednosti uglova i
ove vrednosti rasporedimo u tabelu. Smestimo ih u raunar ili u memoriju procesora i odavde ih
vadimo prilikom upotrebe. Ve tada se u velikoj meri smanjuje broj potrebnih operacija. Ovaj
postupak se upotrebljava samo u sistemima realnog vremena.
Jedan od postupaka za poveanje efektivnosti je raunanje vrednosti trigonometrijskih
funkcija rekurzivnim postupkom. Odnosno za dve sukcesivne vrednosti koje se razlikuju od jednog
konstantnog faktora e
-j2k / N
za ove koristimo sledee rekurzivne izraze:
N k j N kn j N n k j
e e e
/ 1 2 / 2 / ) 1 ( 2 +
=
Ako prvo za svaki k-ti DFT koeficijent prvo izraunamo vrednost konstante:
) / 2 sin( ) / 2 cos(
/ 2
N k j N k e
N k j

posle ovoga rekurzijom se mogu izraunati sinus-ne i kosinus-ne vrednosti:


) / 2 cos( ) / 2 sin( ) / 2 sin( ) / 2 cos( ] / ) 1 ( 2 sin[
) / 2 sin( ) / 2 sin( ) / 2 cos( ) / 2 cos( ] / ) 1 ( 2 cos[
N k N kn N k N kn N n k
N k N kn N k N kn N n k


+ = +
= +
Rekurzivni postupak poinje sa cos(0)=1 odnosno sin(0)=0. Sa ovim postupkom
trigonometrijsko raunanje 2N
2
smo smanjili na 2N, odnosno na 4N
2
mnoenje i 2N
2
realno
sabiranje. Rekurzivni postupak ima veliku manu, akumulie greku i ovaj postupak se upotrebljava
samo kod optih raunara gde su promenljive zadate sa velikim brojem bitova u floating point
obliku.
Periodinost DFT koeficijenata i simetrinost jo vie se moe iskoristiti za smanjenje broja
aritmetikih operacija, ako upotrebljavamo dekompozicioni postupak i to nas vodi do FFT
algoritma.
Diskretni signali i sistemi
128
6.13.2. FFT
Ako podelimo ulazni vremenski red na dva parcijalna vremenska reda i za oba odredimo sa
DFT direktnim postupkom, broj operacija mnoenja je 2N
2
, odnosno na pola se smanji nego u
originalnom sluaju. Rezultat parcijalnog DFT-a nije krajnji rezultat pa zbog toga moramo
izvravati raunske operacije ali njihov broj je mnogo manji od broja 2N
2
ovako ve je velika
dobit. Ako ovo dalje nastavimo i parcijalnu granu dalje razlaemo, svaku granu jo na dve, i dalje
nastavimo ovu zamisao sve dok u jednoj grani ne ostane dva-dva elementa i samo za ove elemente
bi izvrili DFT. Na ovoj dekompoziciji ili separacionom postupku se gradi brzi Fourier-ov postupak
odnosno FFT.
U nastavku kratko emo opisati algoritame. Pretpostavimo, da analizirani vremenski red
duine 2
r
to nije zakonitost jer imamo algoritme koji ne zahtevaju ove vrednosti (pr. Winogradow
algoritam). Ali zbog jednostavnosti algoritma ostajemo u objanjenju sa dvostrukim eksponentom.
Ovako u veini sluaja vremenski red moemo razloiti na dve grane. Ako sad ulazni vremenski red
razloimo na dva vremenska reda da parni indexi stvaraju x
10
(n) vremenski red a neparni indexi
x
11
(n) vremenski red onda:
N kn j kn
N
N
m n
kn
N
N
m n
kn
N
N
n
kn
N
e W W n x W n x W n x k X
/ 2
1
1 2
1
2
1
0
ahol ) ( ) ( ) ( ) (

+ =

=
= + = =

poto:
ik
N
ik
N N
N j N j
N
W W W e e W
2 /
2
2 /
) 2 / /( 2 / 4 2
= = = =

odnosno
ik
N
k k i
N
W W W
N
2 /
) 1 2 (
=
+
posle uvrtavanja x
10
(m)=x(2m) s x
11
(m)=x(2m+1)
1 2 / ,..., 2 , 1 , 0 ) ( ) ( ) (
1 2 /
0
1 2 /
0
2 / 11 2 / 10
= + =

=
N k W n x W W n x k X
N
m
N
m
km
N
k
N
km
N
u zadnjem izrazu se mogu videti dve sume koje su DFT-i vremenskih redova x
10
(n) i x
11
. Znai:
1 2 / ,..., 2 , 1 , 0 , (k) ) (
11 10
= + = N k (k) X W X k X
k
N
lanove sa veim indexom od N/2 raunamo na sledei nain:
1 2 / ,..., 2 , 1 , 0 , 2 / ) 2 ( ) 2 / (
11
2 /
10
= + + + = +
+
N k ) N (k X W N/ k X N k X
N k
N
poto je X
10
(k) i X
11
(k) periodian sa N /2, i
k
N
N k
N
W W =
+ 2 /
:
1 2 / ,..., 2 , 1 , 0 , ) ( ) 2 / (
11 10
= = + N k (k) X W k X N k X
k
N
na osnovu ovoga DFT lanovi sa indexima k i k+N/2 se razlikuju jedan od drugog u predznaku
elemenata sa sabiranje i ovaj postupak nazivamo proraun leptirne strukture.
Ako sa ovim postupakom, nastavimo dekompoziciju, X
10
(k) i X
11
(k) onda na kraju, redovi u
celoj meri se mogu razloiti na lanove i mogu se izraunati spektralne komponente. Na slici 6.14.
pokazujemo dekompozicioni postupak za N=8.
Diskretni signali i sistemi
Slika 6.14. Kompletan FFT raunski proces za N=8
Postoje i druge premetajui postupci ali nije cilj ove knjige opis gradnje detaljnog FFT
algoritma.
6.14. Prozorske funkcije
Uzmimo u obzir vremenski red x(n)=e
j n
, ija je frekvencija proizvoljna i ne treba da se
podudara sa diskretnim frekvencijama na kojima smo izraunali DFT. Ako izraunamo DFT za ovaj
signal onda se pojavljuju ne nula vrednosti za svaki DFT red. Za ovo razlog moramo traiti, na
osnovu Parseval-ove teoreme, energije koju smo izraunali u frekventnom i spektralnom domenu
treba da se slau. Na osnovu ovoga ako se frekvencija signala ne slae sa frekvencijom koja se
rauna na osnovu DFT-a onda dolazi do raspodele energije izmeu spektralnih komponenata. r-ti
komponent DFT-a:

= =
1
0
/ 2
2
1
1
) , (
N
n
j N r j
N j r j
nr
N
n j
e
e
W e F r X

(6.58)
odavde sa odreenim uvrtavanjem:
= N k
r
/ 2
r-ti lan DFT reda:
2 / ) 1 (
) 2 / sin(
) 2 / sin( 1
) (

=
N j
r
r
r
r
e
N
N
X (6.59)
amplitudna karakteristika DFT-a se moe videti na slici 6.15 u decibelima, u sluajevima N=6, r=2
i r=4. Fazna karakteristika DFT-a je linearna funkcija.
Amplitudna karakteristika maximuma r-tog DFT lana je
r
=0 odnosno F=rF
s
/ N (glavni
snop), nule su F=(r-n)F
s
/ N, a n se razlikuje od nule. Delovi karakteristike koje se nalaze izmeu
nule su manji (sporedni list), ali oni raspolau sa ne zanemarujuom amplitudom. Ovu pojavu
nazivamo spektralno curenje.
Diskretni signali i sistemi
130
Slika 6.15. Amplitudna karakteristika DFT u sluaju N=6, r=2 i r=4
Spektralno curenje nije poeljana pojava jer utie na kvalitet analize. Za smanjenje
spektalnog curenja upotrebljavaju se vie modifikacije vremenskog reda x(n).
Na grafikonima slike 6.15. vidi se, da je irina glavnog snopa dva puta vea od onog
rastojanja gde se oekuju spektralne komponente prema DFT-u i ovako ako je re o susednim
spektralnim komponentama ( pr. ako je r=2 i ako je r=3 ili druga susedna vrednost) onda se
preklapaju sa pola njenih irina. Posledica ove injenice je, da ako se neke spektralne komponente
nalaze u preklopnom domenu onda njihovu amplitudu ne moemo precizno detektovati, poto
velikim delom uestvuje u oba DFT komponenta.
Diskretni signali i sistemi
Slika 6.16. Upotreba DFT algoritma u tom sluaju kada nastaje spektralno curenje u sluaju a) i b)
je spektar, a c) kada iz a) spektra rekonstruiemo vremenski signal
Spektralno curenje je posledica, da spektralne komponente ulaznog signala i sa DFT-om
izraunate spektralne komponente se ne slau, posledica ovoga je da u toku periodinog
nastavljanja vremenskog reda na kraju periode dolazi do pojave diskontinuiteta. Sam diskontinuitet
donosi irok spektar i na osnovu ovoga pojavljuju se takve spektralne komponente koje se ne nalaze
u originalnom signalu. Za ovaj sluaj moemo videti jedan primer na slici 6.16.
Na slici 6.16. prikazujemo uticaj spektralnog curenja na spektar a) i b) odnosno za
pretransformisan signal c). U sluaju pod a) uzeli smo N=64 uzorka i signal za koji raunamo DFT
je kosinusni signal sa relativnom frekvencijom f
1
=17.2/64, u sluaju pod b) isto se radi o jednom
kosinusnom signalu priblinom frekvencijom f
2
=17.5/64. U oba sluaja sa raunanjem DFT-a
moemo izraunati takve spektralne komponente ija je vrednost brojioca ceo broj pr. : f
3
=17/64 ili
f
4
=18/64 kao to se moe videti na slici 6.9c), za ove vrednosti spektralne komponente se mogu
jednoznano izraunati DFT-om.
DFT selektivnost u frekventnom domenu definiemo kao mogunost razdvajanja spektralnih
komponenata. One komponente koje spadaju u domen glavnog snopa ne moemo razdvojiti. Na
osnovu ovoga DFT selektivnost zavisi od toga, da u koliko taaka raunamo DFT, ali zavisi i od
toga da na kakav nain menjamo ulazni vremenski red, da bi smanjili spektralno curenje. Ova
modifikacija ima uticaja na irinu glavnog snopa.
Slika 6.17. Uticaj prozorske funkcije na vremenski red a) ulazni vremenski red b) prozorski
vremenski red c) uticaj prozorskog vremenskog reda na ulazni signal
Diskretni signali i sistemi
132
Na kraju ekvivalantna irina opsega um-a (engleski equivalent noise bandwidth ENBW).
ENBW definiemo kao irinu opsega jednog idealnog filtra koji proputa beli um, i ija snaga se
slae sa snagom koja se javlja na odreenom DFT izlazu. Ekvivalentna irina opsega um-a moe se
koristiti kao mera kvaliteta za razliite promene modifikacionih funkcija ulaznih signala.
Prozorisnje vrimo tako da, u vremenskom domenu vremenski red koji pripada u prozor u
vremenskom redu pomnoimo sa konstantama prozorske funkcije. Ovo se moe videti na slici
6.17.
) ( ) ( ) ( n w n h n h
i
=
U spektralnom domenu ovo mnoenje je konvolucija, odnosno:
( ) ( ) ( )
T j T j
i
T j
e W e H e H

=
6.14.1. Pravougaona prozorska funkcija
Najpoznatija prozorska funkcija je tzv. pravougaona prozorska funkcija, koji praktino ne
menja vrednost uzoraka koje se nalaze unutar prozora. Pravougaona prozorska funkcija:

=
=
izvan 0,
1 - N 0,1,..., n , 1
) (n w
R
(6.60)
moe se videti na slici 6.17. sa duinom N=18. Sa slike se moe videti da pravougaona prozorska
funkcija ne menja na vremenskom redu nego u potpunosti proputa ili u potpunosti pomera, dosada
reeno o prozorskim funkcijama vai i za pravougaone prozorske funkcije. Spektar pravougaone
prozorske funkcije:
2 / ) 1 (
1
0
) 2 / sin(
) 2 / sin(
) (

= =
N j
N
n
n j j
R
e
N
e e W

(6.61)
na slici 6.18 a) N=16 i na slici 6.18 b) N=64 vrednosti je predstavljen spekter prozorske funkcije.
Na slici 6.18. moe se videti spektar pravougaone prozorske funkcije za dve razliite
vrednosti N, N=16 i N=64. irina glavnog snopa je 4 /N, sa N porastom, irina glavnog bonog
opsega se smanjuje. Sa druge strane boni opsezi su relativno veliki, nezavisno od N vrednosti, a to
je jedan veliki nedostatak pravougaone prozorske funkcije. Pojaanje prvog sporednog lista je 22%
od glavnog snopa pojanja (-13dB). Pojaanje viih sporednih snopova se smanjuje brzinom
6dB/oktavu. Poto su dosta veliki sporedni listovi, u velikoj meri se menja spektar ulaznog signala.
Zbog ovakvog karaktera pravougaona prozorska funkcija nije pogodna za izraunavanje
DFT-a jer praktino nemogua je detekcija malih amplituda spektralnih komponenti pored velikih
amplituda spektralnih komponenti. Krajnje sporedni listovi imaju relativno velike amplitude a to je
posledica korienja pravougaone prozorske funkcije, jer brzo prekida vremenski red. Svaka dobra
prozorska funkcija ima jednu vanu osobinu a to je da od sredine prozorske funkcije, pa do krajeva,
postepeno smanjuje pojaanje.
Diskretni signali i sistemi
U nastavku emo obraditi nekoliko zanimljivih prozorskih funkcija, uzeemo u obzir bitne
parametre i na osnovu ovoga emo suditi pod kakvim okolnostima i za kakvo reenje probleme su
pogodne pojedine prozorske funkcije.
Slika 6.18. Spektar pravougaone prozorske funkcije u sluajevima a) N=16 i b) N=64
6.14.2. Druge puno upotrebljavane prozorske funkcije
Prozorske funkcije emo podeliti na prozorske funkcije sinusnih komponenata i ne sinusnih
komponenata.
Slika 6.19 pokazuje osnovne parametre prozorske funkcije:
Slika 6.19. Glavne karakteristike spektra prozorske funkcije a- priguenje prvih sporednih listova,
B/2 je irina glavnog snopa i je gradijent priguenja sporednih listova vieg reda odnosno
maximalno scaloping priguenje
Diskretni signali i sistemi
134
Kod ne sinusnih tipova najednostavniji predstavnik prozorskih funkcija je trougaona ili
Bartlett prozorska funkcija, sledei izraz ga opisuje u vremenskom domenu:
Slika 6.20. Trougaona prozorska funkcija a) vremenski red b) spektar

+ + =
=
=
1 - N 2,..., (N/2) 1, (N/2) n ), (
N/2 0,1,2,..., n , / 2
) (
n N w
N n
n w
T
T
(6.62)
Prozorska funkcija je simetrina. Spektar trougaone prozorske funkcije dobijamo sa w
T
(n)
direktnom upotrebom Fourier-ove transformacije u sledeoj formi:
) 1 2 / (
2
) 4 / sin(
) 4 / sin( 2
) (

(

=
N j j
R
e
N
N
e W

(6.63)
ovaj rezultat nije sluajan jer trougaonu prozorsku funkcije moemo shvatiti kao konvolucije dve
pravougaone funkcije duine N/2 u vremenskom domenu (koje u spektralnom domenu znai
mnoenje odnosno dizanje na kvadrat). Amplitudski spektar trougaone prozorske funkcije se moe
videti na slici 6.20 b).
Maximalna amplituda sporednog lista je 26dB to se moe videti i sa slike 6.20. u odnosu
na glavni snop. irina glavnog snopa je porasla za dvostruku vrednost u odnosu na pravougaoni
prozor, to se moe i oekivati jer autokonvolucija duplira irinu izmeu referentnih taaka.
Maximalno scaloping priguenje koja se javlja na sredini dva uzorka (vidi sliku 6.19.) kod
trougaonog prozora je veliine 1.82 dB i gradijent priguenja bonog opsega je 12 dB.
Diskretni signali i sistemi
Da bi jo vie smanjili intenzitet sporednih listova moramo obezbediti jo blai prelaz pri
krajevima prozora. Ovo se moe postii na vie naina, najvie upotrebljavan nain je sinusna ili
kosinusna funkcija, kombinacija eksponenata sa razliito teinskim koeficijentima. Osnovni oblik
ovakvih prozorskih funkcija:
1 - N 0,1,2,..., n , sin ) 1 ( ) ( = |
.
|

\
|
=

i
i
r
N
n
A n w
i

(6.64)
uslov za upotrebu je da:

= 1
i
A (6.65)
U prethodnim izrazima najee upotrebljavane vrednosti: r=0, i=1, A
i
=1 i =2 u ovom
sluaju dobijamo Hann-ovu prozorsku funkciju, ovu prozorsku funkciju nazivamo jo i kvadrat
kosinusa ili podignuta kosinusna prozorska funkcija.
Vremenski red Hann-ove prozorske funkcije:
1 - N 0,1,2,..., n ,
N
2n
cos - 1 0.5 sin ) (
2
=
(

|
.
|

\
|
= |
.
|

\
|
=

N
n
n w (6.66)
spektar Hann-ove prozorske funkcije:
{ } ) ( ) ( 25 . 0 ) ( 5 . 0 ) (
) / 2 ( ) / 2 ( N j
R
N j
R
j
R
j
HM
e W e W e W e W
+
+ = (6.67)
Na krajevima Hann-ove prozorske funkcije nema prekida jer je gladak prelaz a kod viih
sporednih listova gradijent smanjenja pojaanja je 18dB/oktavu. Najvei sporedni list je za 32dB-a
pomeren u odnosu na centralni snop. irina centralnog snopa je 8 /N. Mera scaloping priguenja je
1.42dB. Vremenski red i spektar Hann-ove prozorske funkcije moe se videti na slici 6.21.
Slika 6.21. Hann-ov prozor a) vremenski red b) spektar
U nastavku detaljno emo obraditi eliminaciju sporednih listova iz pravougaone prozorske
funkcije upotrebljavajui izraz za raunanje Hann-ove prozorske funkcije. Grafiki upotrebljavamo
izraz za Hann-ovu prozorsku funkciju polazei od pravougaone prozorske funkcije prema slici 6.22.
Na ovoj slici ne crtamo vrednosti u logaritamskoj razmeri da bi lake bilo tumaenje rezultata.
Diskretni signali i sistemi
136
Slika 6.22. Realizacija Hann-ove prozorske funkcije iz pravougaone prozorske funkcije
Na slici 6.22 a) moe se videti spektar pravougaone prozorske funkcije za sluaj N=6,
odnosno sa b) i c) slike smo prekvalifikovali samo glavne snopove. Nule sporednih snopova se
slau sa nulama spektralnih komponenata neiftovanih pravougaonih prozorskih funkcija, i ovako
za svoenje zakljuaka ne trebamo ponovo obraivati spektralne komponente. Nezavisno sa kakvim
se predznakom sabiraju snopovi, i sa slike se dobro vidi da prvi prelaz nule prestaje jer spektri
pomerenih snopova se nalaze tamo gde su prvi nula prelazi u ne pomerenim spektrima. Pa ovako
Hann-ova prozorska funkcija raspolae dva puta irim glavnim snopom nego pravougaona
prozorska funkcija.
Direktna posledica je da umesto mnoenja u vremenskom domenu, Hann-ovu prozorku
funkciju saberemo sa spektralnim komponenetama u spektralnom domenu:
| | { } ) 1 ( ) 1 ( 5 . 0 ) ( 5 . 0 ) ( + + = k X k X k X k Y (6.68)
gde je X(k) spektralna komponenta ulaznog vremenskog reda, odnosno Y(k) spektralna komponenta
izlaznog vremenskog reda. Sa ovim reenjem operaciju mnoenja smo smenili na iftovanje to je
mnogo lake ostvariti.
Druga mnogo koriena prozorska funkcija je Hamming-ova prozorska funkcija w
HM
(n).
Glavni cilj uvoenja Hamming-ove prozorske funkcije je da se u velikoj meri smanji pojaanje
sporednih listova.


=
i n vrednost druge za 0,
1 - N n 0 ), cos( ) 1 (
) (
rel
HM
n A A
n w

(6.69)
Diskretni signali i sistemi
gde je
rel
=2 /N. I ako vrednost A biramo na 25/46 onda prvi boni opseg moemo u potpunosti
eliminisati. Spektar Hamming-ove prozorske funkcije:
{ } ) ( ) ( ) 1 ( 5 . 0 ) ( ) (
) / 2 ( ) / 2 ( N j
R
N j
R
j
R
j
HM
e W e W A e AW e W
+
+ = (6.70)
Vremenski red i spektar Hamming-ove prozorske funkcije se moe videti na slici 6.13.
Slika 6.23. a) vremenski red i b) spektar Hamming-ove prozorske funkcije
Kao to se vidi i sa slike, na slici postoji u maloj meri diskontinuitet u prozorskoj funkciji,
gradijent priguenja sporednih listova se samo za 6dB smanjuje po oktavi. irina centralnih
sporednih listova dva puta je vea nego kod pravougaone prozorske funkcije.
Blackman-ova prozorska funkcija je ustvari osnovna sinusna prozorska funkcija. Osnovni
oblik Blackman prozorske funkcije slae se sa oblikom osnovne sinusne prozorske funkcije (6.64).
Blackman-ova prozorska funkcija moe sadrati i vie lanova, dva, tri ak i etiri lanova.
Najvie upotrebljavana Blackman-ova prozorska funkcija je sa tri lanova:

+
=
i n vrednost druge za 0,
1 - N n 0 ), 2 cos( 08 . 0 ) cos( 5 . 0 42 . 0
) (
rel rel
H
n n
n w

(6.71)
Spektar Blackman-ove prozorske funkcije:
{ }
{ } ) ( ) ( 04 . 0
) ( ) ( 25 . 0 ) ( 42 . 0 ) (
) / 4 ( ) / 4 (
) / 2 ( ) / 2 (
N j
R
N j
R
N j
R
N j
R
j
R
j
HM
e W e W
e W e W e W e W


+
+
+ +
+ =
(6.72)
Ako kod ove prozorske funkcije za ove vrednosti su smeteni maximumi f=3.5/N i f=4.5/N i
ovako glavni snop se proiri na vrednost 6/N, ali sporedni listovi su pomerena za 58dB ali poto
na granici prozorske funkcije nema skoka pa je potiskivanje sporednih listova 18dB po oktavi. Na
slici 6.24 moe se videti ovde opisana prozorska funkcija a) njegov vremenski red i b) spektar.
Diskretni signali i sistemi
138
Slika 6.24. a) vremenski red i b) spektar Blackmann-ove prozorske funkcije
6.14.3. Parametarske prozorske funkcije
Kaiser prozorska funkcija pripada porodici ne kosinusnih tipova prozorskih funkcija.
Problem projektovanja dobre prozorske funkcije je da moramo nai po vremenu konanu funkciju
ija je energija maximalna u unapred zadatom frekventnom domenu. Ovaj problem smo reili sa
upotrebom Bessel I
0
(x) funkcije prvog tipa nultog reda. Sa ovakvim optimizacionim postupkom
dobijenu prozorsku funkciju nazivamo Kaiser-Bessel prozorska funkcija. Sa ovim, prozorske
funkcije blizu su idealnosti jer pored nivoa zadatog maximalnog bonog opsega, koncentriu
energiju ispod glavnog snopa.
Bessel funkcija se moe raunati na sledei nain:
{ }
( )

=
(

+ =
1
2
0
!
2 /
1
m
m
m
x
x I (6.73)
ova funkcija jako brzo konvergira i pogodna je za raunarsko raunanje, sabiranje prema iskustvu
dosta je izvriti do m=15. Prilikom upotrebe Kaiser-prozorske funkcije parametar oznaava
kompromis izmeu maximalnog nivoa irine-glavnog snopa i sporednih listova. Upotrebei Bessel
funkciju, lanovi vremenskog reda Kaiser prozorske funkcije se mogu raunati iz sledeeg izraza:
( ) | | { }
1 0 ,
) (
1 / 2 1 1
) (
0
2
0


= N n
I
n n I
n w
K

(6.74)
gde je =0.5T
K
B
K
,T
K
je irina prozora odnosno B
K
irina glavnog snopa.
Diskretni signali i sistemi
Spektar Kaiser prozorske funkcije se moe raunati iz sledeeg izraza:
{ }
{ }

>



=


1
2
,
] 2 / ) 1 [(
] 2 / ) 1 [( sinh
) (
1
1
2
,
] 2 / ) 1 [(
] 2 / ) 1 [( sinh
) (
1
) (
2 / ) 1 (
2 2
2 2
0
2 / ) 1 (
2 2
2 2
0
N
e
N
N
I
N
N
e
N
N
I
N
e W
N j
N j
j
K

(6.75)
U praktinim primenama u spektralnoj analizi signala ili u projektovanju digitalnih filtara
unapred je zadato priguenje bonih opsega A
ol
(od ega zavisi spektralno curenje) i pored irine
glavnog snopa
0
( od ega zavisi spektralna selektivnost) odreuju karakteristine koeficijente N i
. N i -tu moemo odrediti iz sledeeg izraza:

< < +

=
+

50 A 21 ), 21 ( 07886 . 0 ) 21 ( 5842 . 0
50 A ), 7 . 8 ( 1102 . 0
1
36 . 14
95 . 7
ol
4 . 0
ol
ol ol
ol
ol
A A
A
A
N

(6.76)
Priguenje prvog bonog opsega pravougaone prozorske funkcije je A
ol
=13.26dB. Ako kod
upotrebe Kaiser prozorske funkcije raunamo sa =0 onda e priguenje prvog bonog opsega
Kaiser prozorske funkcije biti 13.26dB. Kao to se vidi iz tabele 6.8. biramo odreenu vrednost,
onda bi sve dosadanje obraivane prozorske funkcije mogli simulirati sa Kaiser prozorskom
funkcijom. Sa Kaiser prozorskom funkcijom dosta elastino se mogu realizovati traeni uslovi.
vrednost u upotrebama tipino se nalazi izmeu 2 i 10.
Tabela 6.8. prikazuje karakteristine parametre Kaiser prozorske funkcije.
Tabela 6.8. Karakteristini parametri Kaiser prozorske funkcije

PRELAZNI
DOMEN

s
/N
MAXIMALNO
PRIGUAVANJE
PRVOG BONOG
OPSEGA [dB]
A
[dB]
2.0 1.5 -19 29
3.0 2.0 -24 37
4.0 2.6 -30 45
5.0 3.2 -37 54
6.0 3.8 -44 63
7.0 4.5 -51 72
8.0 5.1 -59 81
9.0 5.7 -67 90
10.0 6.4 -74 99
Na sledeoj slici prikazujemo vremenski red odnosno spektar Kaiser prozorske funkcije za
vrednost =8.
Diskretni signali i sistemi
140
Slika 6.25. a) vremenski red i b) spektar Kaiser prozorske funkcije za N=32 i =8
Na slici 6.26. uporeeno je nekoliko sporednih listova spektra Kaiser prozorske funkcije za
razne vrednosti .
Slika 6.26. Spektar Kaiser-Bessel prozorske funkcije u okolini glavnog snopa za razne vrednosti
Druga vana parametarska prozorska funkcija je Csebisev prozorska funkcija. Parametarsku
optimalizaciju moemo postaviti i prozorskoj funkciji, da takvu prozorsku funkciju traimo ija je
irina glavnog snopa minimalna pored datog priguenja sporednih listova. Ovako definisanu
prozorsku funkciju nazivamo Csebisev prozorska funkcija.
Spektralne uzorke Csebisev prozorske funkcije raunamo sa sledeim izrazom:
Diskretni signali i sistemi
| | { }
| |
(

=
= =

) 10 ( cosh
1
cosh
1 - N 0,1,2,..., k ,
) ( cosh cosh
) / cos( cos cos
) 1 ( ) (
20 / 1
1
1
ool
A
k
C
N
N
N k N
k W


(6.77)
Slika 6.27. a) vremenski red i b) spektar Csebisev prozorska funkcija za N=32 i pored priguenja
bonog opsega od 100dB
gde je A
ol
priguenje sporednog snopa u odnosu na glavni snop izraen u dB-ma. Vremenski red
semoe raunati inverznim DFT-om. Na sledeoj slici moe se videti promena irine glavnog snopa
u odnosu proizvoljnog pomeranja sporednih listova.
Slika 6.28. Spektar Csebisev prozorska funkcija u okolini glavnog snopa za razliite vrednosti
Diskretni signali i sistemi
142
6.15. Auto- i unakrsna korelacija
Pretpostavimo da su x(n) i y(n) vremenski redovi sa konanom energijom. Unakrsnu
korelaciju r
xy
(l), x(n) i y(n) vremenskog reda na sledei nain definiemo:

+
=
+
=
= + = =
n n
xy
n y l n x l n y n x l r 2,.. 1, 0, l ), ( ) ( ) ( ) ( ) ( (6.78)
gde je l vremensko pomeranje, a red indexa oznaava da vremenski redovi jedan od drugog kako su
se pomerili. Prema prethodnom izrazu x(n) se nije pomerio dok y(n) se pomerio za l vrednost u
vremenu. Ako je l pozitivan onda se pomera ulevo a ako je negativan onda udesno.
Na slian nain definiemo par unakrsne korelacije:

+
=
+
=
= + = =
n n
yx
n x l n y l n x n y l r 2,.. 1, 0, l ), ( ) ( ) ( ) ( ) ( (6.79)
ako izraunamo dve korelacije, moe se videti sledei odnos izmeu dve korelacije:
) ( ) ( l r l r
yx xy
= (6.80)
Na slian nain kao kod raunanja konvolucije i kod raunanja unakrsne korelacije imamo
potrebu za dva vremenska reda. Kod raunanja konvolucije jedan vremenski red smo okrenuli i
zatim smo pomerali (iftovali), a zatim ovako dobijene lanove vremenskog reda smo pomnoili pa
na kraju ih sabrali. Kod raunanja unakrsne korelacije ne okreemo ni jedan vremenski red samo ga
pomeramo u vremenu. Kao rezultat okretanje (ogledalo) konvolucija je parna funkcija. Ako imamo
konvolucioni program za neki procesor onda ga moemo upotrebiti i za raunanje unakrsne
korelacije samo kod pomerenog vremenskog reda moramo izvriti sledeu smenu umesto y(n)
uzimamo y(-n), odnosno:
) ( * ) ( ) ( n y n x l r
xy
= (6.81)
Specijalni sluaj ako je x(n)=y(n) onda je to autokorelacija, koju definiemo sa sledeim
vremenskim redom:

+
=
+
=
= + = =
n n
xx
n x l n x l n x n x l r 2,.. 1, 0, l ), ( ) ( ) ( ) ( ) ( (6.82)
Zadatak 6.4. Odredite unakrsnu korelaciju sledeih vremenskih redova:
,...} 0 , 1 , 1 , 1 , 1 , 1 , 1 , 1 , 1 , 0 {..., ) (
,...} 0 , 1 , 1 , 1 , 1 , 1 , 1 , 1 , 0 {..., ) (

=
=
n y
n x
vremenski redovi se mogu videti na slici 6.29.
Diskretni signali i sistemi
Slika 6.29. Prikaz x(n) i y(n) vremenskih redova
Reenje: Upotrebei izraz za izraunavanje korelacije:

+
=
+
=
= + = =
n n
xy
n y l n x l n y n x l r 2,.. 1, 0, l ), ( ) ( ) ( ) ( ) (
moe se videti da u zadatku, za zadati vremenski red od prve nule razliitirezultat dobijemo za
l= -7 jer onda poinju brojevi razliiti od nule da se poklapaju kao to se moe videti na animaciji
slike 6.30.
Slika 6.30. Prikaz mechanizma korelacionog raunanja
Diskretni signali i sistemi
144
Slika 6.31. Rezultat korelacionog raunanja
U tom sluaju ako autokorelaciju raunamo za vremenski red sa konanom duinom i
unakrsnu korelaciju sa sabiranjem konane duine, za rezultat dobijamo konanu duinu. Naroito
ako su x(n) i y(n) kauzalni vremenski redovi sa N duinom onda unakrsnu korelaciju i
autokorelaciju raunamo na sledei nain:


=
=
1
0
) ( ) ( ) (
l N
n
xy
l n y n x l r (6.83)
Autokorelacioni i unakrsno korelacioni redovi imaju nekoliko zanimljivih osobina, nekoliko
emo nabrojati u nastavku. Pretpostavimo da imamo vremenske redove x(n) i y(n) sa dva energetska
tipa. Linearna kombinacija dva vremenska reda je jedan trei vremenski red:
) ( ) ( l n by n ax + (6.84)
gde su a i b proizvoljne konstante. Energija ovog vremnskog reda:
) ( 2 ) 0 ( ) 0 (
) ( ) ( 2 ) ( ) ( )] ( ) ( [
2 2
2 2 2 2 2
l abr r b r a
n y n x ab l n y b n x a l n by n ax
xy yy xx
n n n n
+ + =
= + + = +

+
=
+
=
+
=
+
=
(6.85)
Prvo pretpostavimo da je E
x
=r
xx
(0) energija vremenskog reda x(n) a E
y
=r
yy
(0) energija
vremenskog reda y(n).
0 ) ( 2 ) 0 ( ) 0 (
2 2
+ + l abr r b r a
xy yy xx
(6.86)
pretpostavimo da b0, onda sledei izraz podelimo sa b:
0 ) ( 2 ) 0 ( ) 0 (
2
+ + |
.
|

\
|
l r
b
a
r r
b
a
xy yy xx
(6.87)
poto je ovaj izraz rezultat dizanja na kvadrat i nikad nije negativan, zbog toga diskriminanta ovog
izraza mora da bude negativna, odnosno:
Diskretni signali i sistemi
0 ) 0 ( ) 0 ( ) ( 4
2

yy xx xy
r r l r (6.88)
unakrsna korelacija dva vremenska reda zadovoljava sledei uslov:
y x yy xx xy
E E r r l r = ) 0 ( ) 0 ( ) ( (6.89)
U tom sluaju ako je y(n)=x(n) prethodni izraz se redukuje u sledei izraz:
x xx xx
E r l r = ) 0 ( ) ( (6.90)
to znai da maximum autokorelacione funkcije sa nalazi pored nula pomeraja.
U praktinim upotrebama najee upotrebljavamo normalizovanu autokorelaciju i izraz
unakrsne korelacije, normalizacija se vri u domenu 1 i 1.
U sluaju autokorelacije jednostavno normalizujemo na r
xx
(0), odnosno:
) 0 (
) (
) (
xx
xx
xx
r
l r
l = (6.91)
na slian nain definiemo normalizovanu funkciju unakrsne korelacije:
) 0 ( ) 0 (
) (
) (
y x
xy
xy
r r
l r
l = (6.92)
U nastavku definiimo unakrsnu korelaciju i autokorelaciju vremenskih redova tipa snage a
naroito periodine vremenske redove.
Pretpostavimo da su x(n) i y(n) vremenski redovi tipa snage, onda unakrsnu korelaciju
definiemo na sledei nain:

+
=


+
=
M
M n
M
xy
l n y n x
M
l r ) ( ) (
1 2
1
lim ) ( (6.93)
u tom sluaju ako je y(n)=x(n) onda autokorelaciju vremenskih redova tipa snage na sledei nain
definiemo:

+
=


+
=
M
M n
M
xx
l n x n x
M
l r ) ( ) (
1 2
1
lim ) ( (6.94)
Ako je x(n) i y(n) periodian sa istom N periodom, onda srednje vrednosti u beskonanom
domenu i u periodinom domenu se podudaraju, pa se tako prethodni izrazi redukuju u sledei izraz,
unakrsna korelacija:

=
=
N
n
xy
l n y n x
N
l r
0
) ( ) (
1
) ( (6.95)
odnosno autokorelacija:

=
=
N
n
xx
l n x n x
N
l r
0
) ( ) (
1
) ( (6.96)
ovde moramo zapamtiti da r
xx
(l) i r
xy
(l) su periodini prema N. Normalizacioni faktor je 1/N.
Diskretni signali i sistemi
146
U mnogim praktinim upotrebama korelacija se upotrebljava za identifikaciju periodinosti,
kod takvih fizikih signala, koji su pomeani sa aditivnim sluajnim vremenskim redovima, pr.
) ( ) ( ) ( n w n x n y + = (6.97)
gde je x(n) nepoznati vremenski red sa N periodom, dok je w(n) aditivni signal smetnje.
Pretpostavimo da M uzorak posmatramo iz y(n) vremenskog reda tako da 0 n M-1 i M>> N. Za
svaku praktinu upotrebu y(n)=0, n< 0 i n M:

=
=
M
n
yy
l n y n y
M
l r
0
) ( ) (
1
) ( (6.98)
Kada uvrstimo y(n) onda :
| || |

=
+ + =
1
0
) ( ) ( ) ( ) (
1
) (
M
n
yy
l n w l n x n w n x
M
l r (6.99)
izvrimo mnoenja i sredimo izraze:
) ( ) ( ) ( ) ( ) ( l r l r l r l r l r
ww wx xw xx yy
+ + + = (6.100)
Na desnoj strani prvog izraza jednaine je autokorelacija vremenskog reda x(n). Poto je
x(n) periodian pa autokorelaciona funkcija sa istom periodom je periodina kao vremenski red
x(n). Pojavie se relativno veliki pikovi l=0, N, 2N, 3N,.....
Unakrsne korelacije r
xw
(l) i r
wr
(l) su relativno male jer x(n) i w(n) nisu usaglaeni.
Autokorelacija vremenskog reda smetnje r
ww
(l) sadri jedan pik za l=0, i poto nije periodina nego
sluajna za vee l vrednosti pokazuje brzo smanjujuu tendenciju. Prema ovome za vee l vrednosti
r
yy
(l) praktino sadri samo r
xx
(l). Poto na poetku periode se javljaju izraziti pikovi lako moemo
detektovati duinu periode.
Sa sledeim primerom elimo ukazati na mogunosti upotrebe unakrsne korelacije kod
pomeanog signala sa aditivnim um-om.
Zadatak 6.5. Odredite periodu periodinih sastavnih elemenata na sledeem vremenskom redu:
Vremenski red dolazi kao zbir od 1V-ne amplitude sinusnog vremenskog reda i od jedne Gausove
raspodele ( =0V srednje vrednosti i rasipanjem =1) vremenskog reda. Sinusni vremenski red se
moe videti na slici 6.32. a), kao primer prethodno opisan vremenski red um-a i pod b) a pod c) se
moe videti njihov zbir.
a)
Diskretni signali i sistemi
b)
c)
Slika 6.32. Na ulazu u korelator c) y(n) vremenski red i a), b) njihov sastavni elemenat x(n) i w(n)
Reenje: Prilikom zadavanja zadatka na slici 6.32. prikazan je ulazni vremenski red, videvi
vremenski red teko bi mogli detektovati u vremenskom redu periodu dela periodinog vremenskog
reda. Ali na slici 6.32 a) moe se videti periodini vremenski red od kojeg je stvoren konani
vremenski red. Perioda poetnog vremenskog reda je N=15 . Meani vremenski red um-om sa
slike 6.32. c), moe se videti njegov autokorelacioni red na slici 6.33. Kao to se vidi pod uticajem
autokorelacije iz signala y(n) optereen um-om izdvaja se jedan periodini red r
xx
(l), sa periodom
N=15. Uticaj aditivnog um-a se javlja u jednom piku l=0, ali I0 a r
ww
(l)0 jer izmeu elementa
stvorenog um-a vremenskog reda nema periodine uzajamne povezanosti. Mnogo manji pikovi na
viekratniku N=15 N=30, 45, 60,...itd. zbog periodinog karaktera x(n).
Slika 6.33. Autokorelacioni red vremenskog reda pomean sa um-om
Diskretni signali i sistemi
148
Na sledeoj slici za manju vrednost , za =0.2 moe se videti optereen vremenski red sa
um-om a), odnosno autokorelaciona funkcija b).
a)
b)
Slika 6.34. a) y(n) vremenski red i b) autokorelaciona funkcija za vrednost =0.2
I pored ovog malog nivoa um-a, ne moe se korektno prepoznati periodinost y(n)
funkcije, ali iz autokorelacione funkcije tano se moe prepoznati periodinost. Vano je prepoznati
da pored manjeg um-a manji je i prebaaj ( odnosno pik za vrednost l=0, kao to je naznaeno na
slici).
Z-transformacija i njena primena
U analizi i sintezi neprekidnih signala i sistema vanu ulogu imaju Laplace-ova i Fourier-
ova transformacija. Laplace-ova transformacija je uoptenje Fourier-ove transformacije. Pomou
Laplace-ove transformacije moge se analizirati prelazne pojave, odnosno mogu se analizirati LTI
sistemi ako pobuda nije sinusna. Slino u diskretnom domenu je mogue sa uoptenjem Laplace
transformacije, realizovati novu transformaciju za opis diskretnih signala, koje nazivamo z-
transformacija.
Kod upotrebe z-transformacije, konvolucija dva vremenska reda jednak je sa proizvodom
z-transformacije u z-domenu. Ova osobina u velikoj meri pojednostavljuje analizu diskretnih LTI
sistema ( kasnije samo LTI sistemi) za uticaj raznih signala. U nastavku z-transformaciju emo
upotrebljavati za opis i analizu LTI sistema, sa raznim lokacijama nule-polovi.
7.1. Z-transformacija
z-transformaciju jednog diskretnog vremenskog reda x(n) definiemo kao zbir geometrijskog
reda:
( ) ( )
n
n
z n x z X

= (7.1)
mnogo puta se simboliki obeleava u sledeoj formi:
) ( ) ( z X n x
z

Z-transformacija i njena primena


151
gde je z komplexno promenljiva. Jednaina (7.1) predstavlja beskonaan red. X(z) postoji samo za
one z vrednosti za koje konvergira. Definiimo Z operator ( ovo je jedna dvostrana kolinearna
z-transformacija).
( ) { } ( ) ( ) z X z n x n x Z
n
n
= =

(7.2)
Druga transformacija je jednostrana z-transformacija, koju moemo definisati na sledei
nain:
( ) ( )
n
n
z n x z X

=
0
(7.3)
Unilateralna (jednostrana) i bilateralna (dvostrana) transformacija samo onda je ekvivalentna
ako je x(n)=0, n< 0 . Poto je z-transformacija funkcija komplexne promenljive, preporueno je za
opisivanje i za interpretaciju koristiti komlexnu z-ravan. U z-ravni kontura koja odgovara |z|=1 je
krug jedininog poluprenika koji je prikazan na slici 7.1.
Slika 7.1. Jedinini krug u komplexnoj z-ravni
Z-transformacija izraena ovim jedininim krugom (slika 7.1) odgovara diskretnoj Fourier-
ovoj transformaciji odnosno sa DFT-om. Z transformacija ne konvergira za svaki vremenski red,
odnosno za svaku z vrednost. Za svaki zadati vremenski red z vrednosti za koje z transformacija
konvergira, nazivamo konvergentna transformacija, kratko upotrebljavamo englesku skraenicu
ROC (Region of Convergence). Red konvergencije u jednaini 7.1. jedino zavisi od |z|, odnosno
|X(z)|< ako
( ) <

n
n
z n x (7.4)
Ako jedna vrednost, neka je z=z
1
unutar ROC, onda svaka vrednost koja se nalazi na krugu
| z|=| z
1
| nalazi se u ROC. Posledica ovoga je povrina jednog krunog prstena ROC unutar z ravni
oko centra kordinatnog sistema. Ako ROC u sebi sadri i jedinini krug onda konvergira na nivou
ekvivalentne diskretne Fourier-ove transformacije. Obrnuto ako ROC ne sadri jedinini krug, onda
ne konvergira ni diskretna Fourier-ova transformacija.
Z transformacija i njena primena
152
Slika 7.2. Oblast konvergencije
z transformacija se moe izraziti u formi racionalnih funkcija.
( )
( )
( ) z Q
z P
z X = (7.5)
gde su P(z) i Q(z) polinomi po z-tu. One z vrednosti za koje je X(z)=0 nazivamo nulama, a one
vrednosti za koje je X(z) tei beskonanosti nazivamo polovima.
7.1.1. z-transformacija kauzalnih i nekauzalnih redova
U nastavku preko jednog zadatka emo analizirati nedostatke kauzalnosti u raunanju
z-transformacije.
Zadatak 7.1. Odredimo z-transformaciju sledeih vremenskih redova i oblast konvergencije
odnosno ROC:
a) ( ) ( )

<

= =
0 0
0
n
n a
n u a n x
n
n
b) ( ) ( )
1
0

0
1

= =
n
n
b
n u b n y
n
n
c) ( ) ( ) ( ) 1 + = n u b n u a n z
n n
Reenje: a) Prema definiciji:
( ) ( )

=

= =
n n
n
n n
az z n u a z X
0
1
) (
Da bi X(z) konvergirao moraju se ispuniti sledei uslovi:
az
n
n

<
1
0
Z-transformacija i njena primena
153
odavde sledi | az
-1
| < 1 i moe se odrediti konvergentna oblast odnosno ROC a to je | z| <| a| .
Unutar ROC konvergiraju beskonani redovi:
( ) a z
a z
z
az
az z X
n
n
>

= =

0
1
1
,
1
1
) (
z-transformacija prema ovome konvergira za svaku z vrednost koja je vea od ( a(. Ako je ( a(< 1
onda vremenski red x(n) Fourier-ove transformacije konvergira.
Slika 7.3. Konvergentna oblast
Na slici 7.3. se moe videti ROC ako |a| > 1 i ROC ne sadri jedinini krug i u tom sluaju
Fourier-ova transformacija ne konvergira za vremenski red a
n
u(n).
b) Upotrebljavamo definicioni izraz za izraunavanje z-transformacije:
( ) ( )

=

= = =
n n
n n
n
n n n n
z b z b z n u b z Y
1
1
1
u tom sluaju ako je |b
-1
z|< 1 ili |z|<|b| zbir konvergira:
( ) ( )

= =
0
1
1
1
1
1 1
n
n
b z
z
z b
z b z Y
ROC za ovaj sluaj se moe videti na slici 7.4. Ako je ( b( < 1 za vremenski red b
n
u(-n-
1), n - ne postoji Fourier-ova transformacija.
Slika 7.4. Oblast konvergencije
c) Poetni vremenski red z(n) moemo shvatiti kao zbir dva vremenska reda onda e
zbir Z(z) biti delovi zbirova z-transformacije. Delovi zbira ROC e biti presek ROC, odnosno one
z vrednosti za koje svaki deo zbira konvergira. Formalno izraunata vrednost Z(z), da bi ova stvarno
z-transformacija bila za to moramo odrediti ROC.
Z transformacija i njena primena
154
( ) ( )
b a abz z
b a
bz az
bz az z Y z X z Z
n n
n
n
+

=

= = =

=


1 1
0
1
1
1 1
1
1
1
1
) ( ) ( ) (
Prvi red konvergira u oblasti ( z( >( a( dok drugi red konvergira u oblasti |b
-1
z|< 1 ili
|z|<|b|. Kod odreivanja Z(z) konvergentne oblasti razlikujemo dva pod sluaja, u zavisnosti od
toga da definisani oblasti se poklapaju ili ne.
1. sluaj: kada je | b|>| a| postoji preklopna oblast koja se moe videti sa slike 7.5.:
Slika 7.5. Prikaz preseka konvergentne oblasti
Poto postoji jedan ROC za koje oba dela zbira konvergiraju pa ovako cela Z(z)
transformacija postoji u ovoj oblasti prstena.
2. sluaj: kada | b|<| a| ne postoji preklopna oblast to se i moe videti sa slike 7.6. Ako
nema preklopne oblasti onda se ne moe odrediti ni z transformacija.
Konvergentnim oblastima se izgubi presek ako je a=b, i kako poveavamo a u odnosu na b
stvara se jedna oblast prstena gde ni jedan ni drugi parcijalni red ne konvergira.
Slika 7.6. Kao to se vidi konvergentne oblasti nemaju presek
Kao to se vidi iz zadatka 7.1 kauzalni redovi i nekauzalni redovi daju za rezutat istu
zatvorenu formu z-transformacije. Slika 7.7 prikaziva vremenske redove z-transformacije.
Z-transformacija i njena primena
155
Slika 7.7. Dva vremenska reda iz zadatka 7.1. pod a) i pod b)
Kauzalnom redu beskonanog vremenskog trajanja, z-transformacija uvek je jedna oblast izvan
kruga, koja se moe videti i na slici 7.3.
Nekauzalnom redu beskonanog vremenskog trajanja, z-transformacija uvek je jedna oblast unutar
kruga, koja se moe videti i na slici 7.4.
Slika 7.8. Jedan primer za dvostrani beskonani vremenski red
Na slici 7.8. se moe videti vremenski red zadatka 7.1 c). Ovaj vremenski red je dvostrani
dok su prethodni signali bili jednostrani.
Z-transformacija dvostranog vremenskog reda beskonanog vremenskog trajanja, ROC je uvek
jedna oblast u obliku prstena kao to se i moglo videti u zadatku.
U nastavku emo grupisati kauzalne vremenske redove prema polovima. Vremenski redovi i
polovi z-transformacije u pogledu njihovih smetanja imaju uzajamnu povezanost, ovu povezanost
emo predstaviti.
Jedino je realna ona grupa koja ima jedan realan pol, a to je realni exponencijalni vremenski
red:
( ) ( ) a z
a z
z
z X n u a n x
n
>

= = : ROC , ) (
z
(7.6)
jedna nula z
1
=0 i jedan pol p
1
=a na realnoj osi. Na slici 7.9. za razliite vrednosti a prikazan je
vremenski red i poloaj polova.
Z transformacija i njena primena
156
Slika 7.9. Realni exponencijalni vremenski redovi i poloaj polova z-transformacije u odnosu na
jedinini krug ako je | a|< 1 i a) ako je a>0 odnosno b) ako je a<0
Nastavei zamisao sa slikom 7.9. ako je | a| =1 u tom sluaju pol se nalazi na jedininom
krugu. Ako je a=1 onda vremenski red prema slici 7.9a) odgovara vremenskom redu u(n). Ako je
a= -1 prema slici 7.9b) jedininim impulsima alternativno se menja predznak u zavisnosti od
parnosti n vrednosti. Ako je | a| > 1 onda se pol nalazi izvan jedininog kruga, a odreen vremenski
red je exponencijalno rastueg karaktera. Ako je a>1 onda prema slici 7.9a) za rezultat dobijemo
exponencijalno rastui vremenski red. Odnosno ako je a< -1 onda prema slici 7.9b) dobijamo
exponencijalni vremenski red sa alternativno menjajuim predznakom.
Uglavnom negativni pol oznaava promenu predznaka vremenskog reda. Vano je da one
kauzalne vremenske redove kod kojih se pol nalazi izvan jedininog kruga, ovi vremenski redovi
zasiuju digitalni sistem i zato ih ne koristimo.
Drugu grupu ine oni vremenski redovi ija z-transformacija ima dvostruki realni pol. Opti
oblik ovih vremenskih redova:
( ) ( ) n u na n x
n
= (7.8)
Sa dvostrukim realnim polom raspolagajui vremenski red za razne a vrednosti. Na slici
7.10. mogu se videti vremenski redovi odnosno poloaj odreenih polova.
Z-transformacija i njena primena
157
Slika 7.10. Realni exponencijalni vremenski red koji za z-transformaciju ima dvostruki realni pol,
ako je |a|< 1 i a) ako je a> 0 odnosno b) akoje a< 0
Na slian nain kao kad je jednostruki bio realni pol i sada moemo razlikovati tri razliita
sluaja. Prvi sluaj je kada |a|< 1 moe se videti na slici 7.10. Ako je| a| =1 i ako je a pozitivan
dobijemo ravnomerno rastui linearni vremenski red. A ako je a negativan isto tako za rezultat
dobijemo ravnomerno rastui vremenski red sa alternativno menjajuim predznakom. Trei sluaj
kada je | a|> 1 vremenski red bre raste kao i exponencijalni vremenski red jer se jo mnoi sa n
vrednou.
Na kraju emo se baviti sluajem kada z-transformacija ima komplexno konjugovan par
polova. Reenje u sva tri sluaja oscilacioni vremenski red (sinusni) ako je | p|< 1 priguena
oscilacija se vidi na slici 7.11. Ili ako je | p| =1 onda je vremenski red harmonijske oscilacije
(sinusni), a ako je | p|> 1 onda je rezultat, pojaajua sinusna vibracija.
Slika 7.11. Komplementarnim parom polova raspolagajui vremenski red i poloaj polova
Unilateralnu z-transformaciju uglavnom koristimo sa konstantnim koeficijentima i ne nula
poetnim vrednostima kod reavanja linearnih diferencijalnih jednaina. Malu ulogu ima
Z transformacija i njena primena
158
unilateralna z-transformacija kod digitalne obrade signala. Ako je vremenski red kauzalan onda obe
varijante z-transformacije se slau.
7.2. Najpoznatiji z-transformacioni parovi
U veini sluaja vremenske redove moemo razloiti na parcijalne zbirove vremenskih
redova. Ponoviemo, da vremenski red x(n) je zbir dva ili vie vremenskih redova, onda je ROC za
ovu sloenu funkciju presek delova funkcije ROC-a. Presena oblast za z vrednosti oba individualna
delova funkcije konvergira. U tabeli 7.1. mogu se videti najvie upotrebljavani z-transformacioni
parovi.
Tabela 7.1. Karakteristini z-transformacioni parovi
Diskretni vremenski
red
Trnsformacija ROC
1.
( ) n
1 Svaki z
2. u (n) ( )
1
1 1

z
z >1
3. -u (-n-1)
( )
1
1 1

z
z <1
4. ( ) m n
z
m
; 0
ili 0 0
<
>
m z
m z
5.
( ) n u a
n
( )
1
1 1

az
z a >
6.
( ) 1 n u a
n
( )
1
1 1

az
z a <
7.
( ) n u na
n
( )
2
1 1
1

az az
z a >
8.
( ) 1 n u na
n
( )
2
1 1
1

az az
z a <
9.
( ) ( ) n u n
0
cos 1
1 2
0
1
0
1 2


cos
cos

z
z z
z >1
10.
( ) ( ) n u n
0
sin sin
cos

0
1
0
1 2
1 2
z
z z


+
z >1
11.
( ) ( ) n u n r
n
0
cos
1
1 2
0
1
0
1 2 2


r z
r z r z
cos
cos

z r >
12.
( ) ( ) n u n r
n
0
sin
r z
r z r z
sin
cos

0
1
0
1 2 2
1 2


+
z r >
13.


inace
N n a
n
0
1 0
1
1
1

a z
N N
z > 0
Z-transformacija i njena primena
159
7.3. Osobine z-transformacije
Naroito su vane osobine z-transformacije, kod ispitivanja diskretnih sistema.
Na osnovu definicije moemo ispitati osobine z-transformacije. U nastavku mogu se nai
neke najvanije osobine z-transformacije u tabeli 7.2. Sa dokazivanjem se neemo baviti.
Tabela 7.2. Osobine z-transformacije
Redni
broj
Vremenski redovi Transformacije ROC
( ) n x ( ) z X R
x
( ) n x
1
( ) z X
1
R
x
1
( ) n x
2
( ) z X
2
R
x
2
1 ( ) ( ) n bx n ax
2 1
+ ( ) ( ) z bX z aX
2 1
+ Sadri R R
x x
1 2
I
2 ( )
0
n n x ( ) z X z
n
0

R
x
izuzev ako je mogue
sabiranje i oduzimanje u
sreditu kordinatnog
poetka ili u
3
( ) n x z
n
0
( )
0
z z X z R
x 0
4
( ) n nx
( )
dz
z dX
z
R
x
izuzev ako je mogue
sabiranje i oduzimanje u
sreditu kordinatnog
poetka ili u
5
( ) n x

( )

z X
R
x
6 ( ) { } n x Re ( ) ( ) | |

+ z X z X
2
1 sadri R
x
7 ( ) | | n x Tm
( ) ( ) | |

z X z X
j 2
1 sadri R
x
8 ( ) n x ( ) z X 1 1 R
x
9 ( ) ( ) n x n x
2 1
( ) ( ) z X z X
2 1
sadri R R
x x
1 2
I
10 teorema poetnih
vrednosti
( ) 0 , 0 < = n n x
( ) ( ) 0 lim x z X
z
=

11
( ) ( ) n x n x
2 1 ( ) ( ) dv v v z X v X
j
c
1
2 1
2
1

sadri R R
x x
1 2
12
Parserval zavisnost
( ) ( ) ( ) ( ) dv v v X v X
j
n x n x
c
n
1
2 1 2 1
1
2
1

Z transformacija i njena primena


160
Ove osobine moemo upotrebiti i ako inverznu z-transformaciju treba da odredimo iz
komplikovanijeg izraza.
( ) ( )
x
z
R ROC z X n x = (7.9)
U tabeli 7.2. X(z) oznaava z-transformaciju vremenskog reda x(n) unutar jedne
konvergentne oblasti r
r
<| z|< r
2
. U tom sluaju ako imamo dva reda onda pojedine transformacione
parove na sledei nain obeleavamo:
( ) ( )
1 1 1
Rx ROC z X n x
z
=
( ) ( )
2 2 2
Rx ROC z X n x
z
= (7.10)
7.4. Inverzna Z-transformacija
Diskretne signale uglavnom obraujemo u z-domenu. Ako posle zavretka operacije
moramo odrediti vrednosti vremenskih domena onda koristimo inverznu z-transformaciju. Onaj
postupak sa kojom z-transformaciju transformiemo u vremenski red nazivamo inverzna z-
transformacija. Inverzioni izraz za odreivanja x(n) vremenskog reda, izvodimo iz Cauchy integral
teoreme. Cauchy teorema je jedna od najvanijih teorema u komplexnoj analizi.
Polazei od Cauchy teoreme:

=
=


1 0
1 1
2
1
k
k
dz z
j
c
k

(7.11)
gde je c kontura i u celoj meri se nalazi u konvergentnoj oblasti, i obuhvata centar kordinatnog
sistema.
Realizacija z-transformacije:
( ) ( )

=
n
z n x z X (7.12)
obe strane izraza 7.12. pomnoimo z
k-1
i integriemo sa konturnim integralom, gde izabrana kontura
u sebi sadri centar kordinatnog poetka i celu oblast konvergencije X(z), sreujui izraz dobijamo
sledeu jednakost:
( ) ( ) dz z n x
j
dz z z X
j
c c
n
k n k

=
+
=
1 1
2
1
2
1

(7.13)
upotrebei Cauchy integralnu teoremu 7.11.:
( ) ( ) k x dz z z X
j
k
c
=

1
2
1

Z-transformacija i njena primena


161
na osnovu ovoga inverznu z-transformaciju moemo opisati u sledeem obliku:
( ) ( ) dz z z X
j
n x
c
n


=
1
2
1

(7.14)
Moramo naglasiti da u izrazima 7.13. i 7.14. nema privezivanja, vrednosti k i n mogu biti
negativni i pozitivni. Reenje izraza 7.14. traimo u polovima u vidu ostataka unutar c konture:
( ) ( )


=
c
n
dz z z X
j
n x
1
2
1

(7.15)
( ) | |


= konture c unutar polovima u ostaci
1 n
z z X
izraz 7.15. vai za svaku z-transformaciju X(z).
U posebnoj prilici ako je X(z)z
n-1
racionalna funkcija po z-tu, onda se moe opisati u
sledeoj formi:
( )
( )
( )
s
n
d z
z
z z X
0
1

(7.16)
ako su X(z)z
n-1
polovi u z=d
0
a (z) nema polove u z=d
0
. X(z)z
n-1
rezidijumi, ostatci ako ima
viekratne polove u z=d
0
odreujemo na sledei nain:
( ) | |
( )
( )
0
1
1
0
1
! 1
1
za Res
d z
s
s
n
dz
z d
s
d z z z X
=

= = = (7.17)
Ako ima jedinstvene polove odnosno s=l i z=d
0
onda:
( ) | | ( )
0 0
1
za Res d d z z z X
n
= =

(7.18)
Postoji jedan odreen broj formalnih i neformalnih postupaka za odreivanje inverzne
z-transformacije, i ovi odreeni algebarski izrazi se upotrebljavaju unutar ROC mree. U nastavku
nekoliko ovakvih postupaka.
7.4.1. Tabelarni postupak
Ovaj postupak upotrebljavamo ako moemo prepoznati transformaciju, ili se moe svesti na
tabelarnu formu. Tabelarnu metodu moemo upotrebiti ako nam stoji na raspolaganju jedna vea
z-transformaciona tabela. Sloene X(z) funkcije moemo razloiti na zbir jednostavnijih funkcija i
ovim funkcijama se moe odrediti inverzna transformacija iz tabele. Poto je z-transformacija
linearni operator zbog toga vai konvoluciona teorema i iz zbira parcijalne inverzne transformacije
moe se odrediti inverzna transformacija celog poetnog izraza. O ovoj mogunosti opirnije e biti
rei kod razlaganja na parcijalne razlomke.
Na jednom primeru prikazujemo tabelarnu metodu.
Z transformacija i njena primena
162
Ako je poznat sledei transformacioni par ( ) a z
az
n u a
z n
>




1
1
1
onda na primer
moe se izraunati sledea z transformacija a i inverzna transformacija:
X z
z
z ( ) =

>

1
1
1
2
1
2 1

pozivajui se na transformacioni par zadat u tabeli u sluaju a=1/2. Rezultatni vremenski red
( ) ( ) n u n x
n
|
.
|

\
|
=
2
1
.
7.4.2. Razlaganje na parcijalne razlomke
Ponekad X(z) nije zadat u explicitnom obliku u tabeli ali moe biti dobijen jedan alternativan
izraz za X(z) kao suma od prostih oblika koji su tabelarno dati. Odreivanje inverzne z-
transformacije razvojem funkcije u parcijalne razlomke posebno je efikasno kada je X(z) racionalna
funkcija komplexne promenljive z. Pretpostavimo da je X(z) izraen kao kolinik polinoma po z
1
,
tj.
( )

=
N
k
k
k
M
k
k
k
z a
z b
z X
0
0
(7.19)
Z transformacija u izrazu 7.19. ima uvek isti broj polova i nula u konanim z-ravnima i
nema polova i nula u z=. Da bi dobili parcijalne razlomke X(z) pogodno je izraziti u obliku:
( )
( )
( )

=
N
k
k
M
k
k
z d a
z c b
z X
1
1
0
1
1
0
1
1
(7.20)
gde c
k
nije nula od X(z) i d
k
nije pol od X(z). Ako je M< N svi su polovi prvog reda i X(z)
izraunavamo u obliku:
( )

=

=
N
k
k
k
z d
A
z X
1
1
1
(7.21)
Koeficijent A
k
izraunavamo kao:
( ) ( )
k
d z
k k
z X z d A
=

=
1
1 (7.22)
Ako je M N razvoj u parcijalne razlomke bie oblika:
( )

= =

+ =
N M
r
N
k
k
k r
r
z d
A
z B z X
0 1
1
1
(7.23)
Z-transformacija i njena primena
163
Koeficijent B
r
dobijemo deljenjem brojioca i imenioca, sa procesom deljenja zavravamo
kada je ostatak manjeg stepena od imenioca. Ako X(z) ima viestruke polove i M N jednainu
(7.22.) moramo modifikovati u drugi oblik posebno ako X(z) ima pol reda s.
( )
( )

=

= =

+ =
s
m
m
i
m
N M
r
N
i k k k
k r
r
z d
C
z d
A
z B z X
1
1
0 , 1
1
1
1
(7.24)
C
m
koeficijent odreujemo prema sledeoj jednaini:
( ) ( )
( ) ( ) | |
1
1
1
!
1

)
`


=
i
d w
s
i
m s
m s
m s
i
m
w X w d
dw
d
d m s
C (7.25)
Jednaina (7.24.) po z
1
funkciji daje najoptiji oblik razvoja u parcijalne razlomke od
parcijalnih z-transformacija izraenih funkcija po z
1
, za sluaj M N i za d
i
kao pol reda s. Ako ima
nekoliko viestrukih polova bie izraz kao trea suma u jednaini (7.24.) za svaki viestruki red
polova.
Zadatak 7.2.: Odredite inverznu z-transformaciju sledeih izraza:
( )
( )( )
1 1 1
3 1 2 1
2
1
1
1

|
.
|

\
|
+
=
z z z
z X
Reenje: Kada transformiemo izraz:
( )
( )( )
( )( ) 3 2
2
1
3 2
2
1
2
2
2
2
4

|
.
|

\
|
+
=
|
.
|

\
|
+
=
z z
z
z
z
z z z
z
z X
u ovom izrazu imamo jednostruke i viestruke polove. Za odreivanje inverzne transformacije
najpogodniji postupak u ovom sluaju je reenje prema definiciji.
Inverznu z-transformaciju dobijamo polazei iz sledeeg izraza:
( ) ( )
( )

= =
k
i
mi
n
pi z
z N
z X z z X
1
0
1
) (
u sledeoj formi:
( ) ( ) | |

=
=
= + =
k
i
pi z
z X res n x n x n x
1
0 2 1
) ( ) (
Celo reenje moemo razloiti na traenje dva rezidijuma prvi sluaj kada ima viestruki
pol a drugi je kada ima jednostruki pol. Za deo koji ima viestruki pol reenje odreujemo sa
sledeim izrazom:
Z transformacija i njena primena
164
( ) ( ) | | ( ) ( ) | | z X pi z
dz
d
m
z X res n x
m
m
m
pi z pi z

= =

=
1
1
1
lim
1
1
U sluaju jednostrukih polova upotrebljavamo sledei izraz:
( ) ( )
(

pi z
n
z z X res n x
1
2
( )
( )( )
=
(
(
(
(

|
.
|

\
|
+
= + =

=
3 2
2
1
) ( ) (
2
1 2 2
2 1
z z z
z z z
res n x n x n x
n
pi z
( )( )
(


+
(
(
(
(
(

|
.
|

\
|
+

=
3 2
2
1
1 2
2
1 2
z z
z z
res
z
z z
res
n
pi z
n
pi z
( ) ( ) 3
1 2
2
1 2
2
1 2
2
2
1
2 3
2
1
2
1
lim
=

=



+

+
(
(
(
(

|
.
|

\
|
+
|
.
|

\
|
+ =
z
n
z
n n
z
z
z z
z
z z
z
z z
z
dz
d

1
3
1
3 3
1
2
1
2 2
lim
2 2
1
2
1
n n
n
z
z
dz
d
+

+ =
+
=
( ) ( )
n n n
z
z n 3 3 2 2 1 lim
2
1
+ + + =

sreujui izraz dobijamo sledei izraz:
( ) ( ) ( ) ( ) ( ) n u n u n u n n x
n n
n
1 1
3 2
2
1
1
+ +
+ |
.
|

\
|
+ =
7.4.3. Razvoj u red
Metod odreivanja inverzne z-transformacije odreujemo razvojem u red onda za rezultat
dobijemo x(n) vremenski red polazei od sledee forme:
( ) ( ) ( ) ( ) ( ) ( ) ( )

=

+ + + + + + = =
n
n
z x z x x z x z x z n x z X
2 1 2
2 1 0 1 2 (7.26)
koji konvergira u datoj konvergentnoj oblasti. Prvo trebamo odrediti koeficijente. U tom sluaju ako
je kolinik dva polinoma, onda do rezultata moemo doi sa deljenjem polinoma. Deljenje
polinoma kao metod se esto koristi i sa njime se odreuju nekoliko prvih lanova x(n) i kasnije sa
uoptavanjem odreuju opti funkcionalni oblik niza x(n).
Z-transformacija i njena primena
165
Zadatak 7.3.: X(z) je zadat u sledeem obliku:
( ) ( )( )
1 1 1 2
1 1
2
1
1

+
|
.
|

\
|
= z z z z z X
Odredimo odreeni vremenski red x(n).
Reenje: Jedini pol je u z=0. U ovom sluaju nije preporuljivo razlaganje na parcijalne razlomke.
Ako izmnoimo lanove, onda X(z) dobijemo u sledeem obliku:
( )
1 2
2
1
1
2
1

+ = z z z z X
Ako sad svakom lanu naemo inverznu transformaciju onda:
( )

=
=
=
=
=
inace
n
n
n
n
n x
0
1
2
1
0 1
1
2
1
2 1
ili moe se opisati u zatvorenom obliku:
( ) ( ) ( ) ( ) ( ) 1
2
1
1
2
1
2 + + + = n n n n n x
7.5. Unilateralna z-transformacija
Unilateralna z-transformacija se moe definisati u sledeem obliku:
( ) ( )

=
+
=
0 n
n
z n x z X (7.27)
Unilateralna z-transformacija razlikuje se od bilateralne (dvostrana) u injenici da su
ukljuene samo vrednosti od x(n) za n 0.
Unilateralna z-transformacija je jako efektivno sredstvo za reavanje diferencijalnih
jednaina sa ne nula poetnom vrednou.
Z transformacija i njena primena
166
Zadatak 7.4.: Odredimo unilateralnu transformaciju sledeih linearnih diferencijalnih jednaina:
( ) ( ) ( ) n x n y n y = 1
2
1
n 0-re x(n)=1 n 0 s n= -1-re y(-1)=1.
Reenje: Upotrebei unilateralnu transformaciju za izraavanje zadatka:
( ) ( ) ( ) { } ( )
1
1
1
1
1
2
1

= = +
z
z z Y z y z Y
izrazei Y(z):
( ) ( )
)
`

1
1 1
1
1
2
1
2
1
1
1
z
y
z
z Y
( )
( )
1 1 1
1
2
1
1
1
2
1
1
2 1

|
.
|

\
|

+

=
z z
z
z Y
ako razloimo na parcijalne razlomke:
( )
1
1
2
1
1
2
1
1
2

=
z
z
z Y
inverzna unilateralna transformacija izraza upotrbei tabelarne transformacije:
( ) ( ) ( ) 0
2
1
2
1
2
|
.
|

\
|
= n n u n u n y
n
7.5.1.Linearne diferencijalne jednaine sa konstantnim koeficijentima
Ove diferencijalne jednaine stvaraju vanu ulogu u vremensko invarijantnim sistemima.
Ulazni vremenski redovi x(n) i izlazni vremenski redovi y(n) se povezuju prema sledeoj zavisnosti:
| | | | a y n k b x n k
k
k
N
k
k
M
=
= =

0 0
(5.25)
gde su a
k
i b
k
odreene konstante.
Z-transformacija i njena primena
167
Ako pretpostavimo da je ulazni vremenski red x
p
(n) i neki odreeni izlazni vremenski red
y
p
(n) onda:
| | | | | | y n y n y n
p h
= + (5.26)
gde je y
h
(n) bilo koje reenje jednaine 5.25. za x(n)=0.
Jednainu 5.27. nazivamo homogena jednaina, a y
h
(n) homogeno reenje. U sutini red
y
h
(n) pripada u porodicu sa sledeim reenjima:
| | a y n k
k k
k
N
=
=

0
0 (5.27)
| |
y n A z
h m m
n
m
N
=
=

1
(7.28)
Uvrtavajui jednainu 7.28. u jednainu 7.27. moe se videti da su z
m
komplexni brojevi,
reenja sledeeg polinoma:
a z
k
k
k
N
=

=
0
0 (7.29)
Jednaina 7.29. pretpostavlja da svako reenje jednaine 5.28., se razlikuje.
7.6. Analiza LTI sistema sa upotrebom z-transformacije
U prethodnim poglavljima smo videli da analiza LTI diskretnih sistema se moe izvriti sa
konvolucionim izrazom. Kasnije smo videli da ako je pobuda bila sinusna onda smo analizu
jednostavnije izvravali u spektralnom domenu, odnosno ako smo upotrebljavali DFT. Ako pobuda
nije sinusna onda analizu moramo izvriti sa direktnom upotrebom konvolucije. Poto je z-
transformacija slina Laplace-ovoj transformaciji, moemo pojednostaviti analizu diskretnih
sistema u sluajevima kada proizvoljno pobuujemo diskretni sistem.
Posmatrajmo sledei diskretni LTI sistem iji je odziv funkcije y(n) za pobudu x(n):

+
=
=
k
k n h k x n y ) ( ) ( ) (
gde je h(n) impulsni odziv sistema. Ako upotrebljavamo z-transformaciju lako moemo doi do
sledeeg izraza (slino analognim sistemima):
Y(z)=X(z)H(z)
odakle sa lakim premetanjem moemo izraziti prenosnu funkciju diskretnog sistema:
H(z)=Y(z)/X(z)
Moramo uoiti da je H(z) neprekidna funkcija z promenljive. U 8. poglavlju detaljno emo
se baviti prenosnim funkcijama i realizacijom diskretnih sistema.
Z transformacija i njena primena
168
Naroito je vano pitanje u analizi ovih sistema, dali je sistem stabilan ili ne, u nastavku
emo o ovome razgovarati.
7.7. Stabilnost diskretnih sistema
Kada smo se bavili diskretnim sistemima i signalima opisali smo dovoljan uslov za to da
LTI sitemi budu stabilni, ponoviemo jo jednom:
<

+
= n
n h ) (
sa upotrebom z-transformacije moemo doi do povoljnog izraza, polazei od definicionog izraza
z-transformacije:
n
n n
n
z n h z n h z H

+
=
+
=


= ) ( ) ( ) (
tako na jedininom krugu u z ravni dolazimo do sledee zavisnosti:

+
=

n
n h z H ) ( ) (
ako je diskretni sistem stabilan onda H(z) na jedininom krugu mora da pripada konvergentnoj
oblasti. Poto izraz vai i u suprotnom smeru zbog toga kaemo: diskretni LTI sistem je stabilan
onda i samo onda ako konvergentna oblast u sebi sadri jedinini krug.
Sa druge strane u ovom poglavlju se bavimo sa kauzalnim sistemima, i rekli smo da
konvergentna oblast kauzalnog sistema u z ravni je izvan kruga r< . Pojam kauzalnosti i
stabilnosti jedno od drugog su nezavisne ali za njih je najzanimljiviji sistem koji je odjednom
stabilan i kauzalan. Konvergentna oblast kauzalnog sitema kao to smo rekli nalazi se izvan kruga
poluprenika r, sa druge strane konvergentnoj oblasti stabilnog sistema mora da pripada i jedinini
krug. Odavde sledi da kauzalni i stabilni sitemi moraju da ispunjavaju sledei zahtev r< l. U vezi sa
prethodnim zahtevom slika 7.12. pokazuje zakljuak.
Slika 7.12. Odreivanje ROC stabilnog i kauzalnog sistema
Poto polovi sistema moraju da lee izvan konvergentne oblasti, odavde sledi konani
zakljuak da diskretni, kauzalni i LTI sistem onda i samo onda je stabilan ako svi polovi prenosne
funkcije sistema lee unutar jedininog kruga u z-domenu.
Z-transformacija i njena primena
169
Sada emo se baviti postupcima, sa kojima jednoznano moemo odrediti dali je sistem
stabilan ili ne. Ovo je tzv. Jury test stabilnosti i Schr-Cohn test stabilnosti. Ovi testovi su jako
uticajni jer se lako mogu programirati i samim tim preko ovog programa se moe proveravati
stabilnost sistema.
7.7.1. Jury test stabilnosti
Neka bude imenioc prenosne funkcije D(z) opisan u sledeem obliku:

=
N
i
i N
i
z a z D
0
) ( (7.30)
Od koeficijenata stvaramo jednu tabelu u sledeem obliku: prve dve kolone u tabeli su
originalni koeficijenti sa rastuim ili opadajuim indexima. Treu i etvrtu kolonu stvaramo sa
raunanjem sledeeg izraza:
1 - N 0,1,2,..., i
0
= =

i N
i N
i
a a
a a
c (7.31)
elemente petog i estog reda raunamo iz elemenata treeg i etvrtog reda:
2 - N 0,1,2,..., i
0
= =

i N
i N
i
c c
c c
d (7.32)
ovaj postupak nastavljamo sve dok 2N-3 red se ne stvori u tabeli. U zadnjem redu imamo smo tri
elemenata, pr. r
0
, r
1
i r
2
.
Vano je zapamtiti da u najvie sluajeva ceo test ne treba izvriti do kraja nego je dovoljno
izvriti do prvog uslova koji nije zadovoljen. Jako malo je onih sluajeva kada sistem kod zadnjeg
uslova zapne, najee ve kod prve take se javljaju uslovi koji nisu zadovoljeni.
Tabela 7.3. Oblikovanje za Jury test stabilnosti
Kolona koeficijenti
1 a
0
a
1
a
N-2
a
N-1
a
N
2 a
N
a
N-1
a
2
a
1
a
0
3 c
0
c
1
c
N-2
c
N-1
4 c
N-1
c
N-2
c
1
c
0
5 d
0
d
1
d
N-2
6 d
N-2
d
N-3
d
0

2N-3 r
0
r
1
r
2
Z transformacija i njena primena
170
Kriterijum stabilnosti prema Jury-ju, diskretni sistem je stabilan u tom sluaju ako su
ispunjeni sledei uslovi:
2 0
2 0
1 0
0
...
0 ) 1 ( ) 1 (
0 ) 1 (
r r
d d
c c
a a
D
D
N
N
N
N
>
>
>
>
>
>

(7.33)
7.7.2. Schr-Cohn test stabilnosti
Za upotrebljavanje Schr-Cohn testa stabilnosti prvo polinom D(z) moramo opisati u
sledeem obliku:
1 a , ) (
N0
0
= =

N
i
i
Ni N
z a z D (7.34)
posle toga moramo definisati jedan inverzni polinom N-tog reda, prema sledeem izrazu:

=

= =
N
i
i
N N
N
N
z i N a z D z z R
0
1
) ( ) ( ) ( (7.35)
ije koeficijente odreujemo inverzijom koeficijenata D
N
(z), posle toga odreujemo D
m
(z), m=N, N-
1,....1 red polinoma sa sledeim rekurzivnim izrazom:
2
1
1
) ( ) (
) (
m
m m m
m
K
z R K z D
z D

(7.36)
gde je K
m
refleksioni koeficijent i na sledei nain je definisan:
K
m
=a
m
(m), m=N,N-1,,1 (7.37)
Prema Schr-Cohn testu stabilnosti sistem je onda i samo onda stabilan ako svaki reflexioni
koeficijent ispunjava uslov |K
m
|< 1.
Schr-Cohn test stabilnost se upotrebljava u oblast digitalne obrade signala naroito na
teritoriji adaptivne obrade signala i na teritoriji obrade govornog signala.
Zadatak 7.5. Odrededite sa upotrebom Jury i Schr-Cohn testa, da li je sledei sistem stabilan ili
nestabilan:
2 1
333 . 0 2 1
1
) (


=
z z
z H
Reenje:
Z-transformacija i njena primena
171
Prvo emo upotrebiti Jury test. Nema potrebe za ispunjavanje tabele jer u poetku imamao tri
koeficijenata, a to su:
333 . 0 2 ) (
2
= z z z D
i odavde r
0
=1, r
1
= -2 i r
2
= -0.333, uvrtavajui u konani obrazac dobijamo sledeu vrednost:
2 0
0 ) 1 ( ) 1 (
0 ) 1 (
r r
D
D
N
>
<
<
poto se prva dva uslova ne ispunjavaju, ova prenosna funkcija ne daje daje stabilan sistem.
Sada upotrebljavamo Schr-Cohn test: Polazimo od izraza D
2
(z):
2 1
2
333 . 0 2 1 ) (

= z z z D
odakle je:
K
2
=-0.333
Pa emo odrediti R
2
(z):
2 1
2
2 333 . 0 ) (

+ = z z z R
odreen red polinoma odreujemo sa sledeim izrazom:
1
1
2
2
2 2 2
1
2 . 24 10 ) ( D
1
) ( ) (
) (

= z z
K
z R K z D
z D
odavde je K
1
= -24.2. Poto je |K|> 1 sistem je nestabilan.
Kao to se vidi iz primera, Jury i Schr-Cohn test je mnogo jednostavniji nego da smo direktno
faktorisali prenosnu funkciju, i iz vrednosti polova da odreujemo da li je sistem stabilan ili ne.
7.7.3. Stabilnost funkcije drugog stepena
Funkcija drugog reda kao to emo i videti u sledeem izrazu, ima veliki znaaj kod
odreivanja viih redova diskretnih ili digitalnih sistema. Iz take gledita stabilnosti koeficijente
koji se nalaze u imeniocu moramo podvrgnuti detaljnoj analizi.
Poto nule prenosne funkcije nemaju uticaj na stabilnost, posmatrajmo jedan sistem sa
dvostrukom nulom u centru kordinatnog sistema:
Z transformacija i njena primena
172
2 1
2
2
0
2
2
1
1
0
1
) (
a z a z
z b
z a z a
b
z H
+ +
=
+ +
=

Na osnovu Jury-evog kriterijuma dobijamo uslove za koeficijente a
1
i a
2
:
2
2 1
2 1
1
0 1
0 1
a
a a
a a
>
> +
> + +
mogu se svesti na sledei oblik:
1
1
2
2 1
<
+ <
a
a a
Uslovi stabilnosti definiu jednu oblast (a
1
,a
2
) u kordinatnom sistemu. Ova oblast je
trougaonog oblika. Sistem je onda i samo onda stabilan ako su koeficijenti (a
1
,a
2
) parni i nalaze se u
ovom trouglu. Ovako definisan uslov jo se naziva i trougaona stabilnost.
Slika 7.13. Prikaz uslova stabilnosti lana drugog stepena
Karakter sistema drugog reda u velikoj meri zavisi od toga da li su polovi sistema realni ili
su nerealni. Polovi su komplexni u tom sluaju ako je diskriminanta:
0 4
2
2
1
< a a
parabola a
2
=a
1
2
/4 trougaonu oblast stabilnosti deli na dva dela a to se moe videti sa slike. Polovi
su komplexni ako taka (a
1
,a
2
) se nalazi iznad parabole ako je realan onda se nalazi ispod parabole.
U nastavku emo analizirati uticaj raznih polova na impulsni odziv funkcije.
Realni i razliiti polovi: U ovom sluaju prenosnu funkciju dobijamo u sledeem obliku:
Z-transformacija i njena primena
173
1
2
2
1
1
1
1 1
) (

=
z p
A
z p
A
z H (7.38)
gde se odreeni koeficijenti mogu raunati:

2 1
2 0
2
2 1
1 0
1
p p
p b
A
p p
p b
A

= (7.39)
odreeni impulsni odziv funkcije je:
) ( ) ( ) (
1
2
1
1
2 1
0
n u p p
p p
b
n h
n n + +

= (7.40)
Impulsni odziv funkcije se moe videti na slici 7.14.
Slika 7.14. Impulsni odziv funkcije ako je p
1
=0.8 i p
2
=0.5
Reenje impulsnog odziva je razlika dva opadajua exponencijalna vremenska reda.
Realni i jednaki polovi: U ovom sluaju prenosnu funkciju dobijamo u sledeem obliku:
2 1
) 1 (
) (

=
pz
A
z H (7.41)
impulsni odziv funkcije je:
) ( ) 1 ( ) (
2
n u p n A n h
n
+ = (7.42)
Impulsni odziv funkcije se moe videti na slici 7.15:
Z transformacija i njena primena
174
Slika 7.15. Impulsni odziv funkcije ako je p=0.85
Rezultat impulsnog odziva funkcije je opadajui exponencijalni vremenski red.
Komplexno konjugovani polovi: U ovom sluaju prenosnu funkciju dobijamo u sledeem obliku:
1 1
* 1
*
1
) (

=
z p
A
pz
A
z H (7.43)
impulsni odziv fukcije ako je p=re
j0
i 0<
0
< :
) ( ] ) 1 [sin(
sin
) (
0
0
0
n u n
r b
n h
n

+ = (7.44)
Impulsni odziv funkcije se moe videti na slici 7.16:
Slika 7.16. Impulsni odziv funkcije ako je r=0.85 i = /8
U sluaju ako je r<l impulsni odziv funkcije h(n) ima karakter priguene vibracije. Kruna
frekvencija
0
odreuje frekvenciju oscilacije a vrednost r meru priguenja. Ako je r manje onda je
priguenje vee i obrnuto.
Struktura diskretno vremenskih signala
U ovom poglavlju emo se baviti problematikom realizovanja diskretnih LTI sistema. Svaki
LTI sistem se moe opisati u vremenskom domenu sa sledeom diferencijalnom jednainom:
) ( ) ( ) (
0 1
k n y a k n x b n y
M
k
N
k
k k
=

= =
(8.1)
ili u oblasti transformacije:

+
= =
N
k
k
k
M
k
k
k
z a
z b
z X
z Y
z H
1
0
1
) (
) (
) ( (8.2)
Sa jednainama (8.1) i (8.2) opisan sistem, realizovan je ili digitalnim strujnim krugom ili sa
programom za digitalni raunar. Prvu realizaciju nazivamo hardware-sko a drugu realizaciju
nazivamo software-sko. U oba sluaja sistem se moe prezentovati sa blokovima kao pr.: elementi
mnoenja, sabiranja i elementi za kanjenje. Sistem se moe videti kao graf toka signala slino
analognim sistemima.
Kao kod analognih sistema, tok sinteze strujnog kruga moe dati i vie reenja koja
ispunjavaju date uslove, isto tako i digitalno ostvarenje moe dati vie reenja. Ako uzmemo u obzir
i druge uslove onda pored zadatih uslova moemo izbirati reenja koje manje ili vie odgovara za
reenje praktinog problema. Moramo poznavati mogunosti ekvivalentnih reenja da bi mogli
dobro izabrati odreeno reenje, isto tako i dobre i loe osobine odreenih realizacija. Ako
realizujemo sa digitalnim sistemima onda moramo paziti na potrebe memorije, na uticaj konane
duine rei ili na efikasnost raunanja. Ukratko emo dati definiciju ovih pojmova.
Efektivnost raunanja zavisi od upotrebe diskretnog reenja. Kod hardware-a i software-a
najkritiniji element je mnoenje, ali ima znaaj i sabiranje i vremensko pomeranje. Najtee je
Struktura diskretno vremenskih signala
177
odmeravanje efektivnost ako vrimo operacije sa DSP procesorom, jer kod ovih procesora praktino
svaka operacija ima isti znaaj. Potreba za memorijom se javlja na vie mesta kod hardware-skog
reenja. Ovako oni zahtevaju posebnu memoriju za karakteristine podatke, ulazne podatke, krajnje
rezultate. Kod software-skog reenja kod optih raunarai kod DSP procesora posebno moramo
obratiti panju na smetanje programa. Uticaj konane duine rei se odnosi na kvantizacioni
efekat, ovo je veoma vano kod realizovanja hardware-a i javlja se kao problem realizacije kod
pojedinih DSP procesora zbog irine konane unutranje magistrale. Kod software-skog reenja,
kod viih nivoa jezika, konana duina rei ne znai problem jer uglavnom moemo definisati
proizvoljnu irinu podataka (extended precission) sa odreenim uputstvima. Sada obrada podataka
se deava u ne realnom vremenu, a problem se moe reiti sa komotnim programirajuim
raunarom PC tipa.
Kod problema sa komplexnim DSP-om, moe biti rei i o drugim problemima, ovakvi
procesi su paralelnost, pipeling ostvarenje itd. Ovako kod VLSI ostvarenja cilj moe biti
minimalizacija silicijumske povrine i modularna gradnja digitalnih sistema.
8.1. Oznake
Formalno gledano dijagram grafa toka signala, upravljene otice (grafovi), koje povezuju
vorita. Svako vorite ima svoju oznaku pr. w
k
(n), kao primer moemo pogledati sliku 8.1. Grana
grafa (j,k) oznaava onu granu koja poinje u j voru a zavrava se u voru k.. Pravac ili tok signala
oznaavamo sa strelicom. Izlazna grana je kombinacija ulazne grane. Ako iznad grane nema oznake
onda datu granu smatramo sa jedininom teinskom funkcijom .
Slika 8.1. Jedan primer za oznake mrenih elemenata
Sastavljanjem mrenih elemenata dobijamo ceo sistem, ili moemo opisati tokove. Sledee
diferencijalne jednaine prema izrazu (8.3) opisuju jedan proces. Grafiki prikaz ovog procesa je na
slici 8.2.
) ( ) ( ) (
) ( ) (
) ( ) ( ) ( ) (
2
1 2
2 2 1
n ew n dx n y
n cw n w
n bw n aw n x n w
+ =
=
+ + =
(8.3)
Struktura diskretno vremenskih signala
178
Slika 8.2. Grafiki prikaz sistema jednaina (8.1)
Definisaemo pet osnovnih operacija, kao to su sabiranje, osnovno kanjenje, sistem sa N
elementima kanjenja, mnoenje sa konstantom i mnoenje dva signala (modulacija). Ove operacije
moemo oznaiti i grafiki prema slici 8.3., oznaavanje moe biti i jednostavnija kao to smo
videli na slici 8.2. Sam vor moe biti i elemenat sabiranja, strelica moe biti elemenat mnoenja ili
kao to emo videti moe biti i elemenat kanjenja.
Slika 8.3. Osnovne operacije sa sistemima diskretnog vremena
Na slici 8.4. prezentujemo upotrebu preko filtarskog primera. Polazimo od najednostavnijeg
sistema prvog stepena. Pretpostavimo da sledei sistem se moe opisati sa sledeom prenosnom
funkcijom:
. ,
1
) (
1
1
1 0
a z
az
z b b
z H >

+
=

(8.4)
ovu funkciju na dva naina moemo predstaviti prenosnom funkcijom kao to se moe videti na
slici 8.4. jednostavnije reenje se nalazi pod a) dok pod b) sa upotrebom pomonih oznaka odnosno
sa obeleavanjem pojedinih meu vrednosti.
Operacije Elementi procesa Odreeni izrazi
Struktura diskretno vremenskih signala
179
Slika 8.4. Dva naina za oznaavanje tok-a a) skraeno predstavljanje b) predstavljanje sa
pomonim oznakama
8.2. Osnovne IIR realizacije
Od konkretnih realizacija prvo emo poeti od realizacije sloenijeg IIR sistema. U ovom
podpoglavlju baviemo se tipinim IIR mrenim sklopovima. Za svaku racionalnu prenosnu
funkciju moemo realizovati mnogo ekvivalentnih mrea, diferencijalne strukture. Najvie
upotrebljavane IIR realizacije su tzv.: direktna, kaskadna i paralelna realizacija.
IIR sklopovi imaju polove i nule. Za to da bi smo realizovali IIR sklop, prenosnu funkciju
uglavnom opisujemo kao proizvod dva lana:
) ( ) (
) ( 1
) (
1
) (
) (
) (
2 1
1
0
z H z H
z P
z Z
z a
z b
z X
z Y
z H
N
k
k
k
M
k
k
k
=
+
=
+
= =

(8.5)
sa identifikacijom lanova moemo dobiti razne realizacije i ove realizacije ubrajamo u grupu
direktnih realizacija.
8.2.1. Direktna realizacija
Prvo emo se baviti sa elementima proizvoda izraza (8.5). Ove lanove moemo opisati na
sledei nain:

=
M
k
k
k
z b z H
0
1
) ( (8.6)
slino i H
2
(z):
) ( 1
1
1
1
) (
1
2
z P
z a
z H
N
k
k
k
+
=
+
=

(8.7)
Izraz (8.6) je isto FIR struktura jer sadri nule, dok izraz (8.7) je isto IIR struktura jer sadri samo
polove. Realizaciju lana H
2
(z) moemo zamisliti kao povratnu granu FIR lana prema sledeoj
slici:
w
1
(n)

w
2
(n) w
3
(n)
b
0
Struktura diskretno vremenskih signala
180
Slika 8.5. Realizacija IIR sklopa sa FIR strukturom
Posle ovoga lanove H
1
(z) i H
2
(z) kaskadno povezujemo i preko ovoga realizujemo ceo
filtar.
U tom sluaju ako obrnemo redosled realizacije, odnosno
) ( 1
1
1
1
) (
1
1
z P
z a
z H
N
k
k
k
+
=
+
=

(8.8)

=
M
k
k
k
z b z H
0
2
) ( (8.9)
onda dobijemo tzv. II. Direktnu formu za IIR realizacije. Ova forma realizacije se naziva direktna
kanonika realizacija.
Na slici 8.6. je I. Direktna forma, dok na slici 8.7. je prikazana II. Direktna forma.
Koeficijenti u imeniocu i brojiocu u direktnoj realizacionoj formi (uzimajui u obzir minus
predznak u imeniocu).
Slika 8.6. I. Direktna forma za realizaciju IIR toka signala
Struktura diskretno vremenskih signala
181
Slika 8.7. II.direktna forma za realizaciju IIR toka signala N=M
8.2.2. Transponovana forma
Teorija linearnih grafova daje mogunost da sa raznim transformacijama date grafove
transformiemo u druge oblike tako da se cela prenosna funkcija ne menja. Ovakav jedan proces
nazivamo transpozicija. Kod transpozicije grafa sa promenom pravca, vrednost pojaanja se ne
menja, ali ulaz i izlaz menjaju ulogu. Ovu tvrdnju emo prikazati na jednostavnom primeru.
Rezultat transpozicije je jedan graf koji sadri isti broj elemenata, sa tom razlikom da se
menja redosled realizacije polova i nule, u veini sluaja ovo moe biti od velike vanosti.
Zadatak 8.3.: Na slici 8.9. za prikazan tok signala odredimo transponovanu formu:
Slika 8.9. Prikaz toka signala iz zadatka 8.3.
Reenje: Odreena prenosna funkcija sa slike:
1
1
1
) (

=
az
z H
Struktura diskretno vremenskih signala
182
Slika 8.10. Promena pravca toka signala odnosno promena izvora i potroaa
Slika 8.11. Konani oblik grafa toka signala posle transpozicije
Uporedei slike 8.9. i 8.11. jedina razlika je u tome da na slici 8.5. prethodna vrednost
potroaa y(n-l) prvo se mnoi sa a konstantom i tek posle se pomera u vremenu dobijen proizvod.
Za sloenije grafove rezultat nije toliko uoljiv. Teorija transpozicije se moe upotrebljavati
za svaku mrenu strukturu sa kojima smo se dosad bavili.
Zadatak 8.4. Upotrebimo za II direktnu formu teoriju transpozicije.
Reenje:
Slika 8.12. Transponovani graf II direktne realizacije
Struktura diskretno vremenskih signala
183
Ako uporedimo grafove druge direktne realizacije sa transponovanom formom, uoljivo je
da II direktna forma prvo realizuje polove a zatim i nule. Ove razlike mogu biti veoma vane ako
upotrebljavamo hardware sa aritmikom konanog bita kod realizacije filtra.
8.2.3. Kaskadna forma
Kaskadna forma se moe realizovati tako, da polinom drugog reda imenioca i brojioca
razloimo na lanove drugog stepena
:

= =


+
+ +
= =
s s
N
k
N
k k k
k k k
k
z a z a
z b z b b
z H z H
1 1
2
2
1
1
2
2
1
1 0
1
) ( ) ( (8.10)
gde su polovi i nule grupisani u parove i broj parova je N
s
=[max (M,N)+1] /2. Pojedine lanove
drugog stepena realizujemo u direktnoj formi II tipa, zato biramo ovu formu jer sadri minimalan
broj elemenata za mnoenje i minimalan broj elemenata za kanjenje.
U osnovnom sluaju konstante parcijalnih funkcija u imeniocu i bojiocu su realni brojevi
posledica ovoga je da komplexno-konjugovane parove uvek grupiemo u jedan lan drugog reda.
Realne polove i nule moemo na vie naina grupisati i na ovaj nain dolazimo do reenja na vie
naina.
Ono to se odnosi za realizaciju lanova drugog stepena, lanove realizujemo u direktnoj ili
transponovanoj formi. Na sledeoj slici se moge videti najee upotrebljavane forme kod
kaskadnih reenja:
Slika 8.8. I. direktna forma drugog stepena
Odreeni implementacioni izraz:
) 2 ( ) 1 ( -
) 2 ( ) 1 ( ) ( ) (
2 1
2 1 0

+ + =
n y a n y a
n x b n x b n x b n y
(8.11)
i prenosna funkcija:
2
2
1
1
2
2
1
1 0
1
) (


+ +
+ +
=
z a z a
z b z b b
z H (8.12)
Struktura diskretno vremenskih signala
184
Drugi tip II. direktne forme drugog stepena, ova realizacija se formalno slae sa odreenom
direktnom formom:
Slika 8.9. II. direktna forma realizacije drugog stepena
Odreena implementacioni izraz:
) 2 ( ) 1 ( ) ( ) (
) ( ) 2 ( ) 1 ( - ) (
2 1 0
2 1
+ + =
+ =
n w b n w b n w b n y
n x n w a n w a n w
(8.13)
odnosno prenosna funkcija:
2
2
1
1
2
2
1
1 0
1
) (


+ +
+ +
=
z a z a
z b z b b
z H (8.14)
Trei tip transponovane forme II. direktne realizacije drugog stepena, ova realizacija
formalno se slae sa odreenom direktnom formom.
Slika 8.10. Transponovana forma II. tipa drugog stepena
Odreeni implementacioni izraz:
) ( ) ( ) (
) 1 ( ) ( ) 1 ( ) (
) 1 ( ) ( ) (
2 1 2
2 2 1 1
1 0
n y a n x b n w
n w n y a n x b n w
n w n x b n y
=
+ =
+ =
(8.15)
Struktura diskretno vremenskih signala
185
odnosno prenosna funkcija:
2
2
1
1
2
2
1
1 0
1
) (


+ +
+ +
=
z a z a
z b z b b
z H (8.16)
Zadatak 8.3.: Prikaimo postupak razlaganja na kaskadne lanove. Analizirajmo sledeu prenosnu
funkciju, i njeno razlaganje na kaskadne lanove prvog reda.
2 1
2 1
06 . 0 5 . 0 1
2 1
) (


+
+ +
=
z z
z z
z H
Reenje: Razlaganjem na lanove prvog reda dolazimo do sledeeg izraza:
) 2 . 0 1 )( 3 . 0 1 (
) 1 )( 1 (
) (
1 1
1 1



+ +
=
z z
z z
z H
Poto su svi polovi i nule prenosne funkcije realni, zbog toga su svi koeficijenti kaskadne
realizacije realni. Slike 8.7.a) i b) prikazuje dve ekvivalentne kaskadne realizacije.
Slika 8.11.a) Kaskadna forma sa elementima I. Direktne forme
Slika 8.12. b) Kaskadna forma sa elementima II. Direktne forme
8.2.4. Forme paralelne realizacije
Obezbeuje mogunost alternativne faktorizacije, ako prenosnu funkciju faktorizujemo tako
da to izraavamo kao zbir lanova drugog stepena:

= =


+
+ =
p
s
N
k
N
k
k k
k k k
k
z a z a
z b b
z C z H
0 1
2
2
1
1
1
1 0
1
) ( (8.17)
gde N
p
=M-N i N
s
su isti, kao kod kaskadne realizacije. Ako je N
p
negativan, onda prvi lan nema
ulogu.
Na sledeoj slici mogu se videti oni sklopovi, koje uglavnom koristimo kod paralelne
realizacije.
Struktura diskretno vremenskih signala
186
Slika 8.12. Osnovne forme paralelne realizacije
Zadatak 8.4.: Razmotrimo ponovo zadatak 8.3. ali sada u sluaju paralelne realizacije.
Reenje: Podelimo brojioc sa imeniocom i za rezultat dobijamo sledei izraz:
2 1
1
06 . 0 5 . 0 1
3 . 10 6 . 15
6 . 16 ) (

+
+
+ =
z z
z
z H
& &
&
Slika 8.13. Realizacija filtra u alternativnoj paralenoj formi
Poto je svaki pol realan, dobiemo jednu alternativnu paralelnu realizaciju za IIR sitem,
razlagajui H(z) na racionalne parcijalne razlomke prvog stepena.
Struktura diskretno vremenskih signala
187
1 1
3 . 0 1
3 . 38
2 . 0 1
54
6 . 16 ) (

+ =
z z
z H
&
&
Odreen tok se moe videti na slici 8.13.
8.3. Osnovni sklopovi za realizaciju FIR mrea
IIR strukture u sebi sadre kao specijalni sluaj i FIR strukturu. Prenosna funkcija IIR
strukture sadri nule i polove. FIR strukture imaju i specifine sklopove.
8.3.1. Forma direktne realizacije
Za kauzalne FIR sisteme prenosna funkcija ima samo nule (izuzevi polove u z=0). Poto su
koeficijenti a
k
jednaki sa nulom, diferencijalna jednaina je sledeeg oblika:

=
=
M
k
k
k n x b n y
0
) ( ) ( (8.18)
Ovaj izraz je diskretna konvolucija od signala x(n) i impulsni odziv funkcije je:

=
=
inace 0
M ., 0,1,2,3,.. n ha
) (
n
b
n h (8.19)
Direktna realizacija FIR-a je specijalni sluaj I. i II. direktne realizacije IIR sistema. Ovaj
specijalni sluaj nazivamo transverzalni filtar.
Slika 8.14. Graf toka signala transverzalnog filtra
Kao to se moe videti na slici 8.14. Svaki komponent signala prelazi preko lanova
kanjenja i u odreenoj meri se pomera, i mnoi se sa odreenim koeficijentima i zbir ovih
proizvoda je sam izlazni signal. Ovo je jedna lestviasta mrea i lako se moe realizovati
procesorskom tehnikom ili VHDL tehnologijim. Mnogo je upotrebljavana tehnika u
realizaciji filtra.
Struktura diskretno vremenskih signala
188
8.3.2. Kaskadna realizacija FIR filtra
Kaskadnu formu za realizaciju FIR sistema dobijamo, ako prenosnu funkciju razloimo na
lanove drugog stepena:

=

=

+ + = =
s
N
k
k k k
M
n
z b z b b z n h z H
1
2
2
1
1 0
0
1
) ( ) ( ) ( (8.20)
gde je M
s
najvei ceo broj iz vrednosti (M+1)/2.
Ako je M neparan ceo broj, onda e jedna konstanta b
2k
biti jednaka sa nulom jer H(z) u
ovom sluaju raspolae sa neparnim brojem nula. Posle razlaganja grafa toka signala na kaskadne
lanove, moe se videti na slici 8.14.
Slika 8.14. FIR struktura razloena na kaskadne lanove
8.4. FIR realizacija sa linearnom fazom
U tom sluaju ako realizujemo sistem sa linearnom fazom, onda impulsni odziv funkcije
mora zadovoljavati uslov simetrije, odnosno ako impulsni odziv funkije zadovoljava sledei uslov:
) ( ) ( n M h n h = (8.21)
u ovom sluaju broj mnoenja se smanjuje na polovinu.
Slika 8.15. Impulsni odziv funkcije ako a) paran i b) neparan broj uzoraka
Kod sistema I. tipa (M je paran ceo broj) a impulsni odziv funkcije:
,....,M , , n n h n M h 2 1 0 ] [ ] [ = = (8.22)
Struktura diskretno vremenskih signala
189
i izlazni signal se moe izraunati sa sledeom jednainom:

=
+ + + =
1 2 /
0
] 2 / [ ] 2 / [ ]) [ ] [ ]( [ ] [
M
k
M n x M h k M n x n k x k h n y (8.23)
Slika 8.16. U sluaju parne teinske funkcije
Kod sistema II. tipa (M je neparan ceo broj) i impulsni odziv funkcije:
,....,M , , n n h n M h 2 1 0 ] [ ] [ = = (8.24)
izlazni signal raunamo na sledei nain:

=
+ + =
1 2 /
0
]) [ ] [ ]( [ ] [
M
k
k M n x k n x k h n y (8.25)
Slika 8.17. U sluaju neparne teinske funkcije
Upotreba DFT-a
U drugom poglavlju smo se bavili Fourier-ovim redovima i transformacijama odnosno u
estom poglavlju uveli smo DFT (Diskretnu Fourier-ovu Transformaciju) i preko primera smo
upotrebljavali u analizi determistikih signala.Uveli smo pojam prozorske funkcije. Koristili smo
prozorsku funkciju odnosno analizirali smo delovanje prozorske funkcije na signale konane
duine. Ali u analizi smo pretpostavili da je ceo signal unapred poznat to u praksi nije tako.
Moemo odrediti explicitni DFT. Zbog ove osobine ima jako irok krug upotrebe u obradi signala, u
filtraciji kao i u spektralnoj analizi. Odmah na poetku moramo rei da DFT postupak zahteva jedan
signal konane duine, dok u praksi uglavnom imamo signale neodreene duine.
Ako moramo izvriti analizu signala beskonane duine onda se javljaju razni problemi,
koje moemo delimino eliminisati sa upotrebom prozorskih funkcija. Obradu moemo izvriti po
blokovima ili sa upotrebom vremenski zavisne Fourier transformacije.
Analiziranim signalima jednu vanu grupu ine tranzientni signali. Tranzientni signali nisu
periodini. Tranzientne signale najee analiziramo tako da tranzientni signal napravimo da bude
periodian. Periodino vreme je vee od vremenskog trajanja signala, jer ako bi vreme trajanja
signala bilo vee od periodinog vremena onda bi dolo do vremenskog preklapanja. Na ovaj nain
obraen spektar signala, je linijski spektar. Ovaj nain obrade delimino se slae sa postupkom
prozorisanja.
Naroito je zanimljivo u upotrebama, spektralna analiza sluajnih signala. U analizi
sluajnih signala diskretnu Fourier-ovu transformaciju ne moemo direktno upotrebiti moemo
samo posredno, jer ovakvi signali nemaju konanu energiju. U analizi sluajnih signala
upotrebljavamo statistiki pristup, najee preko autokorelacione analize signala. Fourier-ova
transformacija autokorelacione funkcije je funkcija spektralne gustine. Vana krakteristika
sluajnog signala u spektralnom domenu je funkcija spektralne gustine.
Upotreba DFT-a
191
9.1 Fourier-ova analiza signala sa upotrebom DFT-a
Najvaniji zadatak spektralne analize je odreivanje spektra snage u konanom vremenskom
domenu. Najvei problem u analizi koji moramo reiti je vremenski red konane duine. Zbog toga
se ne moe tano odrediti spektar signala nego se samo moe proceniti. Ako je vremenski red koji
analiziramo stacionaran onda je procena bolja. U tom sluaju kad signal nije stacionaran, procena
spektra i interval posmatranja, zavisi od toga, koliko brzo se menja karakter analiziranog signala.
Zbog toga to signale ili vremenske redove posmatramo u konanom intervalu, spektar e
biti deformisano izraunat i zbog toga moramo odrediti meru, kolika e biti ova deformacija. Ovu
deformaciju lake e biti odrediti kod determistikih signala zbog toga ovu kategoriju analiziramo
prvo i tek posle dobijene rezultate i postupke upotrebljavamo za sluajne siganale ili vremenske
redove. Sluajne signale posmatramo kroz tzv. periodogram.
Osnovni koraci u DFT analizi neprekidnih signala su prikazani na slici 9.1. U sistem je
ukljuen jedan anti-aliasing filtar, da bi izbegli preklapanje spektralnih komponenata kod razlaganja
neprekidnih signala u red. Prozorisanje, tj. mnoenje x(n) sa w(n) prozorskom funkcijom posledica
je da DFT oekuje signal konane duine. Duinu uzoraka odreuje duina prozorske funkcije.
Glavna polje upotrebe DFT-a je u analizi signala u frekventnom domenu.
Slika 9.1. ematski prikaz tipinog DFT sistema
Ovakvi primeri upotrebe su analiza i obrada govornog signala to je naroito korisno pri
identifikaciji i modeliranju govornog signala. Sledei primer je Dopler-ov radarski sistem, gde je
brzina pronalaenja cilja, predstavljena sa veliinom frekventnog pomeraja izmeu poslanog i
primljenog signala.
Slike 9.2. i 9.3. ilustruju promenu spektra signala u toku raunanja DFT-a. Slika 9.2.a)
prikazuje spektar S(j) originalnog signala s(t). U ovom signalu visokofrekventne spektralne
komponente tee nuli ali im vrednost nije nula. Prema primeru postoje u spektru, uski otri delovi.
Frekventni odziv funkcije antialiasing filtra se moe videti na slici 9.2.b). Ono to se moe videti sa
slike 9.2.c) je spektar rezultantnog signala X(e
j
), iza antialiasing filtra, malo korisne informacije
daje o spektru S(e
j
), naroito na frekvenciji odsecanja antialiasing filtra. Svaka spektralna
komponenta koja prolazi kroz filtar bie promenjena na datoj frekvenciji, sa vrednou prenosne
karakteristike filtra, kako amplituda tako i faza prema izrazu (9.1):
( )

=
|
.
|

\
|
+ =
r
j
T
r
j
T
j S
T
e X

2 1
(9.1)
Upotreba DFT-a
192
Slika 9.2. Uticaj antialiasing filtra na spektar poetnog signala
Slika 9.3. prikazuje uticaj diskretizacije i prozorske funkcije za signal na slici 9.2. U toku
uzorkovanja spektar signala bie periodian, kao to se moe videti sa slike 9.3.a).
Slika 9.3. Prikaz uticaja diskretizacije i prozorisanja na spektar signala
Upotreba DFT-a
193
Na slici 9.3. b) se moe videti Fourier-ova transformacija tipine prozorke funkcije. Uticaj
prozorske funkcije na vremenski red opisujemo konvolucijom u spektralnom domenu, sa sledeim
izrazom:
( ) ( )
( )
( )
V e x e w e d
j j j

1
2

(9.2)
Glavni snop spektra prozorske funkcije je koncentrisan oko =0 to se moe videti na slici
9.3.b). Kod V(e
j
), konvolucija W(e
j
) i X(e
j
) utie na peglanje vrhova. Peglanje vrhova prikazuje
slika 9.2.c).
Zadnji korak je izraunavanje DFT-a. DFT raunamo zajedno sa uticajem prozorske
funkcije, pretpostavimo da je duina prozora L N. Na slici 9.3.c) mogu se videti vrednosti V(k), ovi
odgovaraju V(e
j
) uzorcima spektra.
| | | |
( )
V k v n e k N
j N kn
n
N
= =

2
0
1
01 1
/
, , ,..., (9.3)
Mnogi analizatori spektra koji rade u realnom vremenu su bazirani na principima opisanim
na slici 9.1. Slike 9.2. i 9.3. objanjavaju raunski tok.
9.2. Spektar determistikih signala
Pretpostavimo da x(n) diskretni signal uzorkujemo sa jednim neprekidnim signalom x
a
(t), sa
F
s
uzorkovanom brzinom. Neka bude neprekidni signal konane energije E, i njena transformacija
X
a
(j). Uzimajui u obzir teoreme uzorkovananja, pretpostavimo da nema spektralnog preklapanja
(aliasing). Spektar dobijenog vremenskog reda:
( )
s
F F j
n
n j j
e X e n x e X
/ 2
) ( ) (

= =

+
=

(9.4)
moe se izraziti i sa spektrom neprekidnog signala:
( ) )] ( [
1
) (
/ 2
s
k
a
F F j j
k j X
T
e X e X
s
= =

+
=

(9.5)
vrednost k=0 , jer nema spektralnog preklapanja i vai sledei izraz:
( )
2
F ), ( ) (
1
) (
/ 2 s
a s a
F F j j
F
j X F j X
T
e X e X
s
= = =

(9.6)
na osnovu ovoga funkcija gustina energije diskretnog signala se moe opisati na sledei nain:
( )
2
2
2
2 ) ( =
|
|
.
|

\
|
= j X F
F
F
X S
a s
s
xx
(9.7)
Upotreba DFT-a
194
Dolazimo do istog reenja ako izraunamo energetsku funkciju gustinu pomou
autokorelacije u spektralnom domenu. Autokorelaciona funkcija diskretnog signala:

+
=
+ =
n
xx
k n x n x k r ) ( ) ( * ) ( (9.8)
transformacioni par je:

+
=

=
n
k j
xx xx
e k r S

) ( ) ( (9.9)
U praktinim upotrebama raunanja koristimo samo vremenske redove konane duine.
Uticajem prozorske funkcije, u frekventnom domenu se javlja konvolucija izmeu spektra
prozorske funkcije i spektra signala. Uticajem prozorske funkcije dobijamo sledei vremenski red:


= =
drugde 0,
1 - N n 0 ), (
) ( ) ( ) (
~
n x
n w n x n x (9.10)
transformacija ovako dobijenog vremenskog reda:


d e W e X e W e X e X
j j j j j
) ( ) (
2
1
) ( * ) ( ) (
~
) (

= = (9.11)
funkcija gustine spektra prozorske funkcije:
2
1
0
2
~ ~ ) (
~
) (
~
) (

= =
N
n
n j j
x x
e n x e X S

(9.12)
9.3. DFT analiza sinusnih signala
U ovom poglavlju emo se baviti prozorisanjem i uzorkovanjem u spektralnom domenu.
Biramo sloeni sinusni signal kao specifinu grupu signala, ali moemo uopteno koristiti mnoge
zaljuke .
Prozorisanje smanjuje rezoluciju, spektralno uzorkovanje ne daje tanu sliku o spektru
stvarnog signala. U nastavku emo koristiti teoriju koju smo koristili u 6. poglavlju, analizirajui
konkretno vie sinusnih komponenta. Posebno emo analizirati uticaje raznih prozorskih funkcija na
detekciju spektralnih komponenata.
Spektralno uzorkovanje moe dovesti do netane spektralne analize, ako se ne izvri tano.
Mnogo vanih detalja u analiziranom spektru moe ostati nevidljivo. Moe se desiti da spektralne
vorove dobijemo na loim lokacijama ili sutinski spektralni sadraj signala ostaje preklopjen.
Prvenstveno do ovoga dolazi jer je mala gustina uzorkovanja u spektralnom domenu. Zbog toga
ostvarujemo nula dodavanje (zerro adding) tako da spektar ponovo uzorkujemo, i mogu se videti
vani momenti u spektru.
Na slici 9.4.a) u DFT-u imamo dve jake spektralne komponente, i nemamo sadraj spektra
na drugim DFT vrednostima. Ako uporedimo slike 9.4.a) i 9.4.b), moe se videti da je veliko
Upotreba DFT-a
195
spektralno curenje, ovo se deava jer smo uzorkovali signal. Ako produimo v(n) u jednaini 9.11.
na 128 lanova, 64 mesta uzoraka, moemo videti pozitivnu promenu na slici 9.4.c).
Slika 7.4. Uticaj vee brzine uzorkovanja na spektar
9.3.1. Uticaj prozorisanja
Posmatrajmo neprekidnii signal, koji je zbir dva sinusna signala:
( ) ( ) ( )
0 1 1 0 0 0
cos cos + + + = t A t A t s < < t (9.13)
u sluaju idealnog uzorkovanja dobijamo sledeu formu za vremenski red, gde je
0
=
0
T i

1
=
1
T, red x|n| je sledeeg oblika:
| | ( ) ( )
1 1 1 0 0 0
cos cos + + + = n A n A n x < < t (9.14)
Upotreba DFT-a
196
Moemo odrediti prozorisani signal v|n| u sledeoj formi:
| | | | ( ) | | ( ) v n A n n A n n = + + +
0 0 0 1 1 1
cos cos (9.15)
prozorisani signal moemo odrediti i u exponencijalnoj formi:
| | | | | |
| | | |
v n
A
n e e
A
n e e
A
n e e
A
n e e
j j n j j n
j j n j j n
= + +
+ +

0 0
1 1
2 2
2 2
0 0 0 0
1 0 1 1






(9.16)
Odavde upotrebei poznate jednaine za Fourier-ovu transformaciju dobijamo sledei izraz:
| |
( )
( )
( )
( )
( )
( )
( )
( )
V e
A
e W e
A
e W e
A
e W e
A
e W e
j j j j j
j j j j


= + +
+ +
+
+
0 0
1 1
2 2
2 2
0 0 0 0
1 1 1 1


(9.17)
Ove izraze moemo i uoptiti za signale koji sadre M sinusne komponente.
Zadatak 9.1.: Sa sistemom na slici 9.1., brzinom 1/T=10 kuzoraka/s uzorkujemo sledei signal:
( ) ( ) ( )
0 1 1 0 0 0
cos cos + + + = t A t A t s
gde je A
0
=1V i A
1
=0.5V, odnosno:
a) F
0
=1x10
3
/s odnosno F
1
uzima dve vrednosti 1.5x10
3
/s i 2x10
3
/s.
b) Analizirajmo mogunosti, da bi poveavali mogunost razlaganja spektralnih komponenata.
Duina prozora neka bude prvo duine 64 uzoraka, ali u toku dalje analize proizvoljno se moe
birati ova duina. U toku reenja moemo upotrebiti proizvoljnu prozorsku funkciju.
Reenja: a) Prvo uzimamo 64 uzoraka ali kod raunanja spektra koristimo FFT, reda 1024 . Prema
jednaini 9.8. uzorak
0
je visine veliine 32A
0
, a
1
je veliine 32A
1
. Na slikama smo prikazali
jednostrane spktre u normiranoj formi.
Upotreba DFT-a
197
Slika 9.4. Oblik spektra ako je f
0
=0.1 i f
1
=0.2
Na slici 9.4. smo prikazali sluaj kad je F
0
=1x10
3
/s i F
1
=2x10
3
/s odnosno normirane
frekvencije f
0
=0.1 i f
1
=0.2. Kao to se moe videti u ovom sluaju, u spektru su komponente
veoma dobro su razdvojeni.
Sa slike 9.5. moe se videti, kako se vrednost
1
pribliava vrednosti
2
tako se ulivaju jedan u
drugi dve spektralne komponente (engleski lakage). Dok na slici 9.5.a) mogu se razlikovati dve
komponente ve na slici 9.5.b) se ne moge razlikovati, samo jedna relativno dobro vidljiva
deformacija je na izdizanom delu za vee vrednosti frekvencije. Ovu spektralnu smetnju stvara sam
prozor. Na zadnjoj slici se vide dve spektralne komponente koje su se prelile jedna u drugu to
znai da kod pravougaonog prozora ne mogu se razdvojiti spektralne komponente.
Slika 9.5. Normirane vrednosti frekvencije sinusnih komponenata a) f
0
=0..1 i f
1
=0.12 b) f
0
=0.1 i
f
1
=0.11
Upotreba DFT-a
198
b) Ako poveamo broj uzoraka na dvostruku vrednost i u tom sluaju mogu se razdvojiti frekvencije
sinusnih komponenata, ali ako u ovom sluaju poveamo broj uzoraka na 256, onda ve dobijamo
dobro razdvojene komponente kao to se i moe videti sa slike 9.6.
Slika 9.6. Broj uzoraka 256 i f
0
=0.1 i f
1
=0.11
Za bliske spektralne komponente moemo koristiti bilo koju prozorsku funkciju zbog irenja
glavnog snopa, ako ne poveamo broj uzoraka onda ne moemo razdvojiti bliske spektralne
komponenta.
Za razdvajanje bliskih komponenata najefektivnija prozorska funkcija je pravougaoni
prozor, jer je irina glavnog snopa najmanja u odnosu na ostale prozorske funkcije.
U sledeem zadatku analiziraemo sluaj kada je vrednost amplitude jedne spektralne
komponente mnogo manja od druge, i sada na kakav nain moemo detektovati odreene
spektralne komponente.
Zadatak 9.2. Analizirajmo sledei signal sa sistemom koji se vidi na slici 9.1. i brzinom
1/T=10 kuzorak/s:
( ) ( ) ( )
0 1 1 0 0 0
cos cos + + + = t A t A t s
gde se amplitude u velikoj meri razlikuju jedan od drugog A
0
=1V i A
1
=0.01V, odnosno:
a) F
0
=1x10
3
/s odnosno F
1
=1.8x10
3
/s.
b) Analizirajmo mogunosti, da bi poveavali mogunost razdvajanja spektralnih
komponenata.
Duina prozora prvo neka bude 64 uzoraka, ali tokom kasnije analize neka se proizvoljno
menja ova duina. U toku reenja proizvoljno birajmo prozorsku funkciju.
Reenje:
Upotreba DFT-a
199
Na slici 9.7.a) se moe videti spektar sa pravougaonom prozorskom funkcijom. Kao to se
moe videti sa slike spektralna komponenta F
1
se ne moe odvojiti jer se duboko nalazi u
sporednim listovima pravougaone prozorske funkcije. Ova pretpostavka se moe dokazati i
raunanjem. Na vrednosti normirane frekvencije f
1
visinu sporednih listova spektralne
komponente koja se nalazi na frekvenciji f
0
raunamo na sledei nain: esti sporedni list
komponente f
0
=0.1 preklapa komponentu f
1
=0.18. Visina f
0
na mestu f
1
u absolutnoj vrednosti
a
1
:
023 . 0
12 . 5
) 12 . 5 sin(
1
= =

a
gde posmatrajui mesto sporednih listova, mesto f
1
moe se izraunati prema (0.18-0.1)*64=5.12.
Kao to se moe videti sa slike 9.7. i iz vrednosti a
1
, vea je vrednost sporednih listova nego
maximalna vrednost glavnog snopa komponente na frekvenciji f
1
. Na slici 9.7. smo prikazali f
1
komponent uvelian deset puta, u prvom redu da bi prikazali srazmernu poziciju.
Ako sada izvrimo raunanje za sluaju N=128 onda (0.18-0.1)*128=10.24, odnosno
maximum glavnog snopa na f
1
:
021 . 0
24 . 10
) 24 . 10 sin(
1
= =

a
kao to se vidi broj uzoraka smo poveali na dvostruku vrednost, a vrednost sporednih listova se
nije znaajno promenila na zanimljivim mestima i ovako na mestu f
1
, spektralna komponenta teko
se moe detektovti u oba sluaja kao to se i vidi na slikama 9.8.a) i 9.8.b).
Slika 9.7. Relativni poloaj komponenata na frekvencijama f
0
i f
1
u sluaju duine prozora N=64
Upotreba DFT-a
200
Slika 9.8. Prikaz uticaja duine prozora u sluaju prisustva komponente na frekvenciji f
1
a) N=64 i
b) N=128
U nastavku emo ispitivati uticaje raznih prozorskih funkcija na tok analize. Prvo na slici
9.9.a) pravougaona prozorska funkcija, u tom sluaju ako je duina uzorka i duina FFT sekcije
N=L=1024. Kao to se moe videti sa slike komponenta f
1
u ovom sluaju se izdie iz sporednih
listova koji potie od f
0
, poto sporedni listovi f
0
na mestu f
1
ide mnogo ispod 40dB.
Na slici 9.9.b) moe se videti uticaj Hann-ove prozorske funkcije na amplitudski spektar
analiziranog signala. Kao to se moe videti sa slike trei i etvrti sporedni list se u velikoj meri
deformie jer komponent f
1
pada izmeu ova dva sporedna lista, odnosno visina ovih sporednih
listovaje je manja od amplitude komponenta f
1
, jer je amplituda spektra sporednog lista Hann-ove
prozorske funkcije sa velikom brzinom se smanjuje.

a) b)
Slika 9.9. a) Pravougaona prozorska funkcija L=N=1024 b) Hann-ova prozorska funkcija u sluaju
N=64 i L=1024
Tanu lokalizaciju spektralne komponente na ovoj spektralnoj razdaljini ne moe se
jednoznno odrediti zbog uticaja sporednih listova. U ovom sluaju analiza bi se mogla izvriti
pored poznavanja visine sporednih listova i vrednosti faznog ugla. Ako je relativno rastojanje
Upotreba DFT-a
201
spektralnih komponenata vea nego u ovom sluaju, zbog smanjujue brzine gradijenta velikog
sporednog lista i ova analiza moe biti veoma efektivna sa Hann-ovom prozorskom funkcijom jer je
relativno uzan sam glavni snop.

c) d)
Slika 9.9. Uticaj c) Hamming prozorske funkcije i d) Blackman-ove prozorske funkcije na spektar
signala
Na slici 9.9.c) se moe videti uticaj Hamming prozorske funkcije na spektar analiziranog
signala. Kao to se moe videti sa slike, poto je prozorskoj funkciji brzina smanjenja sporednog
lista mala i relativno su visoki sporedni listovi zbog toga nije od znaaja izdizanje komponente f
1
odnosno zbog karakteristine visine sporednog lista tana vrednost frekvencije klizi, ova injenica
se dobro vidi sa slike. Ako uporedimo karakter sa Hann-ovom prozorskom funkcijom onda moemo
videti da u blizini glavnog snopa mnogo je delotvornije nego kod Hamming prozorske funkcije.
Na slici 9.9.d) moe se videti uticaj Blackman prozorske funkcije na spektar analiziranog
signala. Relativno brzo se stiaju sporedni listovi Blackman-ove prozorske funkcije ali irina
glavnog snopa je iroka u odnosu na prethodno opisanu. Komponent f
1
na ovom relativnom
rastojanju se lako moge selektovati sa relativno tanim vrednostima amplitude. Ako je relativno
rastojanje izmeu spektralnih komponenata manja nego u ovom sluaju, onda sa veim brojem
uzoraka se moe smanjiti uticaj irine glavnog snopa na anlizu.
Na slici 9.9.e) se moe videti uticaj Csebisev prozorske funkcije. Ova prozorska funkcija je
jedna parametarska prozorska funkcija. Sa Csebisev prozorskom funkcijom se moe postii
potiskivanje eljenog sporednog lista sa biranjem parametra , ali sa potiskom sporednog lista irina
glavnog snopa se znatno poveava. Na ovoj slici za prozorsku funkciju smo odabrali potisak od
60dB. Sa slike se moe videti da komponent f
1
znatno se izdie iz sporednog lista f
0
.
Na sledeoj slici 9.9.f) ispitivamo uticaj Kaiser prozorske funkcije kada je =9. Pored ove
vrednost glavni snop prozorske funkcije relativno je irok, ali zbog smanjenja brzine velikog
sporednog lista komponenta f
1
jako dobro se izdie i tano se moe odrediti njeno mesto i njena
vrednost.
Upotreba DFT-a
202
e) f)
Slika 9.9. Uticaj e) Csebisev prozorske funkcije a=60dB pored potiskivanja sporednog lista i f)
Kaiser prozorske funkcije =9 na spektar signala
Jedna od najvie upotrebljavanih prozorskih funkcija je Kaiser prozorska funkcija. Kod ove
prozorske funkcije na optimalan nain se ostvaruje kompromis potrebne duine vremenskog reda,
izmeu rezolucije frekventne oblasti i curenje u frekventnoj oblasti. Kaiser prozorska funkcija je
jedna parametarska funkcija. Sa odreivanjem parametara moe se pretpostaviti potiskivanje
sporednih listova i irina glavnog snopa, ali nezavisno jedan od drugog. Kako raste parametar
tako paralelno raste potiskivanje sporednih listova a i irina glavnog snopa. Ali imamo mogunost
da datom problemu naemo najpogodniju vrednost parametra.
Primarne posledice prozorisanja:
-smanjena rezolucija
-curenje u frekventnom domenu ( razmazivanje).
Meru rezolucije odreuje irina glavnog snopa, dok meru spektralnog curenja odreuje
odnos amplitude glavnog i sporednog snopa. Zbog toga kod odabiranja prozora vanu ulogu igra
oblik i duina prozora.
9.4. Vremenski zavisna Fourier-ova transformacija
Nestacionarni signali su oni signali, kod kojih se u vremenu menjaju statistike osobine
signala, pr. zbir sinusnih komponenata sa amplitudom koja se menja u vremenu, frekvencijom ili
fazom. Vremenski promenljiva Fourier-ova transformacija ( time dependent Fourier transformation)
je najvie upotrebljano sredstvo u spektralnoj analizi nestacionarnih signala. Na polju analize
nestacionarnih signala, najvie se istraiva polje govornog signala.
Vremenski zavisnu Fourier-ova transformacija opisujemo sa sledeim izrazom:
| ) | | | |
X n x n m w m e
j m
m
,

= +

=

(9.18)
Upotreba DFT-a
203
gde oznaava frekvenciju. U vremensko zavisnoj Fourier-ovoj transformaciji jednodimenzionalni
niz x|n|, funkcija od jedne diskretne promenljive, je konvertovan u dvodimenzionalnu funkciju
vremenske promenljive n (vreme), koja je diskretna, i frekventne promenljive (frekvencija), koja
je kontinualna. U izrazu 9.18 w|m| je prozorska funkcija. Prozorska funkcija je fix-na i kroz nju
vidimo pojedine redove promenljivog signala x|n+m| . Za svaku vrednost n preko prozora uvek
vidimo drugi deo vremenskog reda x|m|, tako da za svaku n vrednost dobijmo drugaiju
karakteristiku u frekventnom domenu.
Inverzna transformacija:
| | | | | |
x n m w m X n e d
j m
+ =

1
2
0
2

, < < m (9.19)


odnosno ako je m=0:
| |
| |
| ) x n
w
X n d =

1
2 0
0
2

, (9.20)
Ako upotrebljavamo sledeu zamenu m=m+n u jednainu (9.18) i dolazimo do jako
vanog izraza, do same vremenski zavisne Fourier-ove transformacije
| ) | | ( )
| |
( )
X n x m w n m e
j n m
m
, ` `
`
`


=

=

(9.21)
Ovu jednainu moemo interpretirati kao konvoluciju:
| ) | | | |
X n x n h n , *

= (9.22.a)
gde je:
| | | |
h n w n e
j n


= (9.22.b)
Iz jednaine 9.22. vidimo da vremenski zavisna Fourier-ova transformacija moe predstavljati izlaz
iz linearno vremenski nezavisnog filtra, ija je ulazni signal x(n), impulsni odziv funkcije h

(n).
Frekventna karakteristika je:
( )
( )
( )
H e W e
j j


=

(9.23)
Ako upotrebljavamo Fourier-ovu analizu konani izraz se malo razlikuje od izraza 9.18.,
njegova forma je:
| ) | | | |
X n x m w m n e
j m
m
,

=

=

(9.24)
Upotreba DFT-a
204
9.4.1. Uticaj prozorske funkcije
Prva uloga prozorskih funkcija u raunanju vremenski zavisne Fourier-ove transformacije je
da odredi duinu vremenskog reda, odnosno da tako transformie vremenski red da spektralne
karakteristike budu stacionarne u vremenskom domenu prozorske funkcije. Ukoliko signal ima
nagle i brze promene onda moramo koristiti krau duinu prozora, ali sa ovim se rezolucija
(mogunost razlaganja) smanjuje u spektralnom domenu. Sa druge strane sa kraim prozorima bri
su prelazi i bolje se mogu videti u vremenskom domenu. Prema ovome duina prozorske funkcije je
kompromis izmeu rezolucije u frekventnom domenu i rezolucije u vremenskom domenu.
Neka bude signal x(n), ija je Fourier-ova transformacija X(e
j
). Prema izrazu 9.18. i
upotrebom pravougaonog prozora beskonane duine (odnosno nema prozorske funkcije) ne
trebamo koristiti prozorisanje:
| ) ( )
X n X e e
j j n
,

= (9.25)
u upotrebama trebamo koristiti dobro poznate prozorske funkcije. Naravno sa upotrebom spektralne
analize trebamo koristiti takvu prozorsku funkciju ije pojaanje se smanjuje prema nula vrednosti
idui prema krajevima prozora. Za to da spektralno uzorkovanje bude odreeno zo to mora biti
mnogo manja irina spektra prozorske funkcije nego spektar analiziranog signala. Polazei od izraza
9.18. za konstantnu vrednost n dobijemo sledei izraz:
| ) ( )
( )
( )
X n e X e W e d
j n j j
,

1
2
0
2

(9.26)
Vremenski zavisna Fourier-ova transformacija (9.18) za jednu konstantnu vrednost n nije
nita drugo nego konvolucija izmeu Fourier-ove transformacije x(n+m) i prozorske funkcije w(m).
Poto je spektar prozorske funkcije W(e
j
) nisko frekventnog karaktera slika (9.10) i iz izraza
(9.23) proizilazi H(e
j
) filtar propusnik opsega, kome je propusni opseg koncentrisan oko vrednosti
=.
Slika 9.10 Prozorska funkcija i spektar prenosne funkcije
Odavde sledi, da je poeljno koristiti prozorsku funkciju iji su sporedni listovi veeg
priguenja, i glavni snop je iri jer moemo ostvariti veu rezoluciju u spektralnom domenu.
Upotreba DFT-a
205
9.4.2. Analiza govornog signala
Govor je proizvod pobude vokalnog trakta, koji je ogranien s jedne strane usnama, a sa
druge strane glasnim icama. Treba primetiti da sa konstantnim oblikom vokalnog trakta, govor
moe biti modelovan kao odziv linearnog vremenski nepromenljivog sistema (vokalni trakt) na
kvazi-periodini impulsni niz za glasove zvuka ili na irokopojasni um za neglasovne zvuke. Pri
normalnom govoru vokalni trakt menja svoj oblik relativno sporo u vremenu, kako usne i jezik
izvode pokrete govora, i tako moe biti modelovan kao spori vremenski promenljiv filtar. Iz ovoga
vidimo da je govor nestacionaran signal. Meutim, moe se uzeti da karakteristike signala ostaju
konstantne u vremenskim intervalima od 30-40ms. Frekventni sadraj govornog signala je u opsegu
od 15KHz, ali je govor visoko razumljiv i kada je ogranien do 3KHz to je sluaj kod
komercijalnih telefonskih sistema. Standardna brzina uzorkovanja za digitalne telefonske
komunikacije je 8000 uzoraka/s to znai da je interval od 40 ms pokriven sa 320 uzoraka.
Ukoliko prozor nije suvie dugaak, pri analizi, osobine signala se nee znatno menjati u
prozoriranom segmentu. To znai, ako je duina prozora takva da su harmonici razdvojeni, DFT e
pokazati seriju vrhova na celobrojnim umnocima osnovne frekvencije signala. Ako je prozor
suvie kratak onda harmonici nee biti razdvojeni, ali e spektralni oblik jo uvek biti evidentan.
Znai sa kratkim prozorom naruiemo rezoluciju blizkih komponenti, a sa suvie dugakim
prozorom neemo imati priblino stacionaran signal u prozoriranom segmentu. Dakle, opet se mora
traiti kompromisno reenje.
Vremenski zavisna Fourier-ova analiza govora se koristi kao osnova za razno procesiranje
kao to je digitalno kodiranje govora, prepoznavanje govora, identifikacija govornika i slino.
9.5 Blok konvolucija sa vremenski zavisnom Fourier-ovom
transformacijom
Signal x(n) moemo rekonstruirati sa vremensko zavisnom Fourier-ovom transformacijom,
uzorkovanjem u vremenu i u spektralnom domenu. Uzorkovanu vremensku zavisnu Fourier-ovu
transformaciju moemo opisati u sledeoj formi:
| | | | | | | |
( )
X rR k X rR k N x rR m w m e
j N km
m
L
, , /
/
= = +

=

2
2
0
1


(9.27)
Ovo pokazuje da uzorkovana vremenski zavisna Fourier-ova transformacija je vemenski red
sa N lananom, prozorskoj funkciji:
| | | | | |
X k X rR k X rR
r k
= = , , (9.28)

| | | | | |
x m x rR m w m
r
= + , < < r i 0 1 m L (9.29)
U jednaini (9.27) integer parametri: L je duina prozora, N je duina DFT-a, odnosno broj
uzoraka u spektralnom domenu, R je rastojanje izmeu uzoraka u vremenskom domenu.
Upotreba DFT-a
206
Pretpostavimo da je x(n)=0, i n< 0. Da bi se mogla izraunati vremenski zavisna Fourier-ova
transformacija za R=L i pravougaoni prozor. Sa drugim reima, uzorkovana vremenski zavisna
Fourier-ova transformacija X
r
(k), je DFT red od N uzoraka.
| | | |
x m x rL m
r
= + 0 1 m L (9.30)
Poto se prozori ne poklapaju, pa je:
| | | |
x n x n rL
r
r
=
=

0
(9.31)
Sada definiimo novu vremenski zavisnu Fourier-ovu transformaciju:
| | | | | |
Y k H k X k
r r
= , 0 1 k N (7.32)
| | | |
( )
| | ( ) ( )
| |
y m
N
Y k e X l h m l
r r
j N km
k
N
r
N
l
N
= =
=


1
2
0
1
0
1
/
(9.33)
ovako dobijamo da je y
r
(m) cirkularna konvolucija od h(m) i x
r
(m). Ako je duina h(m) P uzorak i
duina x
r
(m) je L odavde sledi da ako je N L+P-1, u tom sluaju je y
r
(m) linearna konvolucija
izmeu vremenskih redova h(m) i x
r
(m) u intervalu 0 m L+P-2, a drugde je nula.
| | | |
y n y n rL
r
r
=
=

0
(9.34)
Ovako projektovan sistem, impulsni odziv funkcije h|n| je linearan i vremenski invarijantna ili LTI
i svi zakoni se odnose na nju. Poto sa LTI sistemom moemo modelirati, ovako u velikoj meri se
pojednostavljuje dalji tok modeliranje. Uglavnom, frekventni domen obezbeuje veliku flexibilnost
za raunanje.
9.6. Parametri vremensko diskretnih sluajnih procesa
Slino 4. poglavlju gde smo odreivali parametre neprekidnih sluajnih signala i procesa,
sada u nastavku emo odreivati parametre sluajnih diskretnih signala ili vremenskih redova. Za
vremenske diskretne procese x(nT), moemo izraunati prosene vrednosti i vrednost autokorelacije
na sledei nain:
( ) { } ( )


= dx nT x xp nT X E ; (9.35)
( ) { } ( )


= dx nT x p x nT X E ;
2 2
(9.36)
( ) ( ) ( ) { } kT nT X nT X E kT r
X
+ = (9.37)
U frekventnom domenu vremenske diskretne procese moemo sprovesti sa dvostranom
z-transformacijim:
Upotreba DFT-a
207
( ) ( ) ( ) z R Z kT r kT Zr
X
k
k
X
= =

(9.38)
( ) ( ) ( )
* *
X X
T j
X
S r e R = = F (9.39)
gde je:
( ) ( ) ( ) { } + = t X t X E r
X
* * *
i
( ) ( ) ( )

=
=
n
nT t nT X t X
*
(9.40)
= nT
prema ovome i na osnovu izraza 4.37.:
( )
( )

=

0
2
*
2
lim
0
0 T
j X
E e R
T
T
T j
X

(9.41)
gde je ( ) ( ) t x j X
T T
* *
0 0
F = i
( )
( )


=
inace
T t t x
t x
T
0
0
*
0
Autokorelacija uzorkovanog sluajnog procesa x
*
(t) jednak je inverznom z-transformacijom
funkcije gustine sa istim spektrom signala ( u nastavku PDS), odnosno:
( ) ( )


=
r
k
X X
dz Z z S
j
kT r
1
2
1

(9.42)
9.7. Spektar stacionarnih signala: Periodogram
Na osnovu procene spektra sluajnih signala razlikujemo dva postupka, prvi je tzv. ne
parametarski (klasian) i drugi parametarski (moderan). Klasini postupci jednostavniji su za
procenu spektra nego moderni postupci, poto upotrebljavaju DFT algoritam. U ovoj knjizi emo
upotrebljavati samo klasine postupke jer za moderne postupke potrebni su matematiki aparati koji
nisu dostupni za studente viih kola.
Sluajni signali nemaju konanu energiju, zbog toga ne moemo izvriti Fourier-ovu analizu
diskretnih sluajnih signala. Ali ovi signali imaju konanu prosenu snagu i zbog toga se moe
raunati funkcija gustine spektra snage.
Za procenu autokorelacione funkcije moe se koristiti sledei izaraz:
1 ,..., 1 , 0 m ), ( ) ( *
1
) (
1
0
= + =


=
N m n x n x
N
m r
m N
n
xx
(9.43)
prosena vrednost:
) ( 1 )] ( ) ( * [
1
)] ( [
1
0
m r
N
m
m n x n x E
N
m r E
m N
n
xx xx

=
|
|
.
|

\
|
= + = (9.44)
Upotreba DFT-a
208
veliina procenjene greke |m| r
xx
(m)/N i prosena vrednost autokorelacione funkcije traje do:
) ( )] ( [ lim m r m r E
xx xx
N
=

(9.45)
veliina varijanse:


=
+ +
1
0
2
)] ( ) ( * ) ( [
1
)] ( var[
m N
n
xx xx
m n r m n r n r
N
m r (9.46)
Rezultat procene autokorelacione funkcije se moe koristiti za procenu funkcije gustine
sluajnih tokova spektra:

=
1
) 1 (
) (
1
) (
N
N m
m j
xx xx
e m r
N
P

(9.47)
posle uvrtavanja:
2
2
1
0
) (
1
) (
1
) (

j
N
n
n j
xx
e X
N
e n x
N
P = =

(9.48)
ovaj zadnji izraz nazivamo periodogram.
Prosek periodograma se rauna na sledei nain:

|
|
.
|

\
|
=
1
) 1 (
) ( 1 )] ( [
N
N m
m j
xx xx
e m r
N
m
P E

(9.49)
ovo moemo shvatiti kao Fourier-ovu transformaciju proizvoda autokorelacione trougaone
prozorske funkcije:
) ( 1 ) (
~
m r
N
m
r
xx xx
|
|
.
|

\
|
= (9.50)
to znai da je prosena vrednost periodograma:

= =

d e W S e m r P E
j
T xx
N
N m
m j
xx xx
) ( ) (
2
1
) (
~
)] ( [
) (
1
) 1 (
(9.51)
gde je W
T
(e
j
) transformacija trougaone prozorske funkcije. Kao to se moe videti iz izraza
prosena vrednost periodograma je konvolucija spektruma trougaone prozorske funkcije i funkcije
spektralne gustine. Kod odreivanja periodograma se javlja spektralno curenje kao posledica
vremenskog reda konane duine u vremenskom domenu.
Granina vrednost periodograma ako se vrednost uzoraka poveava:


=


= = =
m
xx
m j
xx
N
N m
m j
xx
N
xx
N
S e m r e m r P E ) ( ) ( ) (
~
lim )] ( [ lim
1
) 1 (


(9.52)
Upotreba DFT-a
209
na osnovu ovoga funkciji spektralne gustine periodogram znai asimptotsko pribliavanje.
Varijansa periodograma se ne smanjuje na nulu ako N tei beskonanosti, odnosno:
0 ) ( )] ( var[ lim
2
=


xx xx
N
S P (9.53)
Za primer ako uzmemo u obzir Gauss proces onda lako moemo odrediti vrednost varianse
periodograma:
(
(

|
.
|

\
|
+ =
2
2
sin
sin
1 ) ( )] ( var[


N
N
S P
xx xx
(9.54)
Zbog raunskih nedostataka razvijeno je mnogo postupaka sa kojima se tano moe odrediti spektar
kod stacionarnih sluajnih procesa.
U sledeem pod poglavlju emo se baviti Welch postupkom sa najvie korienim
postupkom, koji se koristi za procenu spektra sluajnih procesa. Welch postupak je optiji nego
Bartlet postupak, jer ako nema preklapanja i koristimo pravougaonu prozorsku funkciju onda za
rezultat dobijemo Bartlet postupak.
9.7.1. Welch postupak: Usrednjavanje modifikovanog periodograma
U ovom postupku je dozvoljeno preklapanje segmenata vremenskog reda. Podelu
vremenskih redova na sekcije vrimo prema sledeem izrazu:
1 - M 0,1,..., n 1; - L 0,1,..., i ), ( ) ( = = + = iD n x n x
i
(9.55)
kada je D=M onda se segmanti ne poklapaju. Ako je D=M/2 onda se segmanti 50% poklapaju i broj
segmenata je L=2K.
Kod ovog postupka upotrebljavamo proizvoljnu prozorsku funkciju i preko ovoga dobijemo
modifikovani periodogram:
1 2 1 0 , ) ( ) (
1
) (
~
2
1
0
,...,L- , , i e n w n x
MU
P
N
n
n j
i
i
xx
= =

=

(9.56)
gde je U normirana snaga prozora, koju raunamo na sledei nain:

=
=
1
0
2
) (
1
N
n
n w
M
U (9.57)
Vrednost procene spektra je prosena vrednost modifikovanog periodograma:

=
=
1
0
) (
~ 1
) (
L
i
i
xx
iW
xx
P
L
P (9.58)
gde je procena Welch postupka:
)] (
~
[ )] (
~
[
1
)] ( [
1
0

i
xx
L
i
i
xx
iW
xx
P E P E
L
P E

=
= = (9.59)
gde je:
Upotreba DFT-a
210

=

= =

d e W S e m n r m w n w
MU
P E
j
xx
M
m
N
n
m n j
xx
i
xx
) ( ) (
2
1
) ( ) ( ) (
1
)] (
~
[
) (
1
0
1
0
) (
(9.60)
i W(e
j
) raunamo prema sledeem izrazu:
2
1
0
) (
) (
1
) (

=
N
n
n j j
e n w
MU
e W

(9.61)
normirani koeficijent obezbeuje sledei uslov:

1 ) (
2
1
d e W
j
(9.62)
Varijansa Welch postupka:
{ }
2
1
1
1
1
) ( ) (
2
)] ( [ ] ) (
~
) (
~
[
1
)] ( var[
W
xx
L
i
L
j
j
xx
i
xx
W
xx
P E P P E
L
P =

=
(9.63)
u tom sluaju ako nema preklapanja odnosno L=K procenjena varijansa:
) (
1
)] (
~
var[
1
)] ( var[
2 ) (

xx
i
xx
W
xx
S
L
P
L
P = (9.64)
pored trougaone prozorske funkcije moemo koristiti druge prozorske funkcije koji daju druge
rezultate, preklapanje ne treba da bude 50% i ovaj isto modifikuje karakter raunaja.
Zadatak 9.3.: Za sledei signal:
) 150 2 sin( 2 . 0 ) 100 2 sin( 2 ) 50 2 sin( 5 . 0 ) ( t t t t x + + =
utie jedan aditivni normalni um sa raspodelom, sa nula srednjom vrednou i sa jednom
variansom. Upotrebei Welch postupak, odredimo modifikovani periodogram signala sa um-om.
Reenje: Prvo na slici 9.11.a) isti signal odnosno na slici 9.11.b) smo nacrtali jednog
predstavnika jako prljavog signala, da bi smo mogli prikazati uticaj postupka.
Upotreba DFT-a
211
Slika 9.11. Jedan sekund zadatog signala u zadatku a) bez um-a i b) sa um-om
Kao to se moe videti sa slike um velikom merom utie na signal, nemogue je prepoznati
osnovni signal.
Analizu prvo poinjemo sa periodogramom signala sa um-om. Periodogram se moe videti
na slici 9.12. Raunanje za vremenski red duine jedne sekunde smo vrili sa uzorkovanom brzinom
1kHz. Periodogram sadri mnogo lanih spektralnih komponenata, ali vano je istai da spektralna
komponenta na 150Hz se izgubi u um-u, i moemo konstatovati da ovaj postupak nije pogodan za
prepoznavanje frekventne komponente signala.
Upotreba DFT-a
212
Slika 9.12. Jedan periodogram zadatka
U nastavku prvo emo prikazati uticaj preklapanja kod raunanja. Hann prozorsku funkciju
upotrebljavamo kod Welch analize. U oba sluaja na slici 9.13. uzorkovana brzina je 1kHz, duina
uzorka 20s, duina prozora 2000 uzoraka i raunska duina korienog FFT-a je 4096 uzoraka. Na
slici 9.13.b) nema preklapanja. Na slici 9.13.b) ima 1000 uzoraka preklapanja. Na slici je dobro
prikazano da uticajem preklapanja iz modifikovanog periodograma komponente prisutnih
periodinih lanova odluno se izdvajaju iz prisutnog uma
Slika 9.13. Uticaj preklapanja na modifikovani periodogram a) bez preklapanja i b) sa preklapanjem
Sledea slika prikazuje uticaj duine uzorka kod raunanja. Hann prozorsku funkciju
upotrebljavamo kod Welch analize. U oba sluaja na slici 9.14. uzorkovana brzina je 1kHz, duina
prozora 2000 uzoraka i raunska duina korienog FFT-a je 4096 uzoraka odnosno duina
preklapanja je 1000 uzoraka. Na slici 9.14.a) duina uzorka je 3s. Na slici 9.14.b) duina uzorka je
30s. Na slici je dobro prikazano da uticajem poveanja duine uzorka iz modifikovanog
periodograma komponente prisutnih periodinih lanova uoljivije se izdvajaju iz prisutnog um-a.
Upotreba DFT-a
213
Slika 9.14. Uticaj duine analiziranog uzorka a) duina uzorka 3s i b) duina uzorka 30s
9.8. Filtriranje vremensko diskretnih sluajnih signala
Ako diskretni signal provedemo kroz digitalni filtar, onda moemo izraunati vrednost PDS
izlaznog signala.
U nastavku prenosna funkcija digitalnog filtra je H(z) ulazni signal x(n) i izlazni signal y(n).
Rezultat konvolucije:
( ) ( ) ( ) ( ) ( ) ( )


=

=
= =
q p
q j X q h j p i X p h i y y s (9.65)
odavde:
( ) ( ) { } ( ) ( ) ( ) ( )
)
`

= q p
q j x p i x q h p h E j y i y E (9.66)
zamenjivanjem j=i+k i q=p+n:
( ) ( ) ( ) ( ) ( ) { }

=
+ + =
n p
Y
n k p i X p i X E n p h p h k r (9.67)
( ) ( ) ( )

=
=
n
X Y
n k r n g k r (9.68)
gde je g(n) pomona funkcija:
( ) ( ) ( )

=
+ =
p
n p h p h n g (9.69)
Upotreba DFT-a
214
Upotrebei konvolucionu teoremu za rezultat dobijemo sledei izraz:
( ) ( ) ( ) ( ) ( ) ( ) z S z G k Zr k Zg k Zr z S
X X Y Y
= = = = (9.70)
gde je:
( ) ( ) ( ) ( ) ( )


=

=
+ = + =
n p
n
p
z n p h p h n p h p h Z z G
zamenom n=k-p:
( ) ( ) ( )( ) ( ) ( )
1 1

= =

z H z H z p h z k h z G
p
p
k
k
(9.71)
na osnovu izraza (9.70) i (9.71):
( ) ( ) ( ) ( ) z S z H z H z S
X Y
= =
1
( ) ( ) ( )
T j
X
T j T j
Y
e S e H e S

2
= (9.72)
odnosno vrednost PSD-a izlaznog signala se slae sa proizvodom PSD-a ulaznog signala i
kvadratom prenosne funkcije.
Zadatak 9.4. Izlazni signal jednog digitalnog filtra se moe karakterisati sa sledeim izrazom:
( ) ( ) ( ) 1 2 + = n y n x n y
na ulazu je jedan sluajni signal sa oekivanom vrednou nula i kvadratnim rasipanjem
2
x
i
jedan za drugim sledeim X(n) vrednostima koji su nezavisni.
a) Odredimo vrednost PSD-a na izlazu filtra.
b) Odredimo oekivanu snagu izlaznog signala.
Reenje: a) Autokorelaciona vrednost ulaznog signala:
( ) ( ) ( ) { } k n X n X E k r
X
+ =
autokorelacija za vrednost k=0 sa sledeim izrazom se moe opisati:
( ) ( ) { }
2 2
X X
n X E k r = =
ako k0 upotrebei izraz (4.35) dobijamo sledee:
( ) ( ) { } ( ) { } 0 = + = k n X E n X E k r
X
Odavde:
( ) ( ) ( )
2 2
i
X X X X
z S k k r = =
na osnovu izraza (9.72):
( ) ( ) ( ) z H z H z S
X Y
*
2
=
Upotreba DFT-a
215
gde je ( )
2
=
z
z
z H
b) Oekivana snaga izlaznog signala:
( ) { } ( ) 0
2
Y
r n Y E = ( ) ( )


=
G
dz z z H z H
j
X
1 1 2
2
1

ako G konturu uzmemo na krugu |z| =1, odnosno:


z e
j T
=

u ovom sluaju oekivana snaga na izlazu prenosnog sistema:
( ) ( )


s
d e H e H
T j T j
X
s

0
2
1
Projektovanje FIR filtara
Uglavnom projektovanje i realizacija svakog diskretnog sistema se sastoji iz sledeih faza:
- U fazi specifikacije, na osnovu analize problema zadaje se karakter amplitude
i faze projektujueg sistema, to se mora ostvariti u granicama tolerancije i u
toku projektovanja.
- U drugoj fazi u toku sinteze se odreuju koeficijenti ili polovi i nule, uz
njihovu pomo moe se realizovati eljeni sistem.
- U treoj fazi se biraju odreeni sklopovi sa kojima se realizuje sistem. U ovoj
fazi se gleda i na cenu realizacije ondosno robusnost sistema i gleda se na
svaki inioc koji utie na rad sistema.
- Na kraju se deava realizaija sistema, koje smo rekli u drugoj fazi i sa treom
fazom gde smo uzeli sklopove.
U nastavku emo se baviti pitanjima za realizaciju FIR filtra.
Kao to znamo u analognim sistemima, ne moe se realizovati takva prenosna funkcija u
kojima se nalaze samo nule, zbog ovoga prenosnu funkciju direktnom sintezom FIR funkcije, na
osnovu iskustva iz ne analognih filtara se realizuje u z-domenu, najee uz pomo procesora.
U ovom poglavlju emo obraivati razne realizacione forme FIR filtara. Analiziraemo
prednosti i nedostatke date realizacije. Sa FIR strukturama mogu se realizovati takve prenosne
funkcije, koje sa drugim sredstvima napr. IIR se ne mogu realizovati. Ovakve karakteristike su:
linearna faza, linearna amplitudna karakteristika, konstantno fazno pomeranje za 90
o
. Na osnovu
ovoga lako moemo realizovati takve filtre koji su zadati sa ne standarnim nainom.
Intresovanje za FIR filtre u velikoj meri je poraslo sa jednostavnim FFT postupcima, i ovako
je poraslo i raunanje efektivnosti sistema koji se osnivaju na FIR strukturama. Sa pojavom
specijalnih tzv. konvolucionih procesora i DSP procesora, konvoluciono raunanje u velikoj meri se
pojednostavilo i ovako se povealo raunanje FIR sistema u realnom vremenu.
Projektovanje FIR filtara
217
10.1. Osobine FIR sistema
FIR filtri su takvi specijalni linearni, kauzalni vremenski invarijantni sistemi, i prenosna
funkcija je sledea:

=
M
m
m
m
z b z H
0
) ( (10.1)
Slika 10.1. Teorija direktne realizacije FIR filtra
Najvie korien graf, sa kojima se opisuju sistemi je tzv. transverzalna filtarska struktura,
ovakva realizacija se moe videti na slici 10.1. Na slici 10.1 prikazana FIR filtarska struktura ima
broj stepeni N=M+1. Iz prenosne funkcije i topologije moemo zakljuiti da ne sadri povratnu
granu, prema tome ne sadri polove ali sadri nule. Impulsni odziv funkcije je konana (Finite
Impulse Responense FIR). Vrednosti b
m
koeficijenata odreujemo iz impulsnog odziva funkcije,
odnosno:
b
m
=h(m) (10.2)
FIR filtri imaju mnogo pogodnih osobina u odnosu na ostale filtre, neke od ovih osobina:
- sigurno je stabilan jer prenosna funkcija nema polove,
- fazna karakteristika je linearna,
- jedino sa FIR strukturom moemo realizovati NOTCH filtar.
Klasini postupak projektovanja filtra ne moemo upotrebljvati kod projektovanja FIR filtra,
jer pored nule uslovljavaju se polova prilikom realizacije. U nastavku emo opisati dva postupka za
projektovanje FIR filtra, to su:
- postupak prozorisanja i
- uzorkovanje u spektralnom domenu.
U toku projektovanja filtra traimo odgovore na sledea pitanja:
- filtrom kog reda moemo realizivati, i
- koje konstante odgovaraju ovoj realizaciji.
U toku projektovanja pretpostavimo iz linearne fazne karakteristike, ovo se u maloj meri
deformie, koje emo u nastavku posebno obrazloiti. Kod projektovanja polazimo od
niskopropusnog filtra, i razraen postupak kasnije emo proiriti i za ostale tipove filtra.
Projektovanje FIR filtara
218
Slika 10.2. Prikaz tolerancione eme ekvivalentnog niskopropusnog filtra
Na slici 10.2. se moge videti granice tolerancije amplitudskog odziva funkcije
niskopropusnog diskretnog filtra. Na ovoj slici zadata karakteristika tolerancije se odnosi na FIR i
IIR filtre. Pojedine parametre smo obrazloili u treem poglavlju kod analognih filtra kad smo se
bavili sa analognim filtrima.
Prenosna funkcija na jedininom krugu se moe pisati na osnovu izraza (10.1):
) (
0
) ( ) (

j
M
m
jn
m
j
e M e b e H = =

=

(10.3)
gde M() opisuje amplitudsku karakteristiku filtra, dok () opisuje faznu karakteristiku. Umesto
fazne karakteristike kao kod analognih sistema se koristi fazno kanjenje prilikom sinteze digitalnih
sistema:

) (
=
p
(10.4)
ili grupno kanjenje:

d
d
cs
) (
= (10.5)
raunanje ovih koliina, merenje i razumevanje mnogo je lake na ininjerskoj aplikaciji i posle
razumevanja, lake se moe opisati.
10.2. Osobine impulsnog odziva funkcije sistema sa linearnom fazom
Analizirajmo jedan FIR sistem sa h(n) realnim impulsnim odzivom funkcije, ija je faza i
vreme grupnog kanjenja konstantno:
p cs
= = (10.6)
fazna karakteristika ovakvog sistema je linearna:
Projektovanje FIR filtara
219

=
=

= =
M
m
M
m
n n h
n n h
0
0
cos ) (
sin ) (
arctan ) (

(10.7)
sa odreivanjem tangensne vrednosti:

=
=
= =
M
m
M
m
n n h
n n h
0
0
cos ) (
sin ) (
cos
sin
tan

(10.8)
Fazno kanjenje FIR filtra je konstanta odnosno linearna fazna karakteristika. Sa
sreivanjem izraza dolazimo do sledee trigonometrijske jednaine:

= =
= =
M
m
M
m
n n h n n n h
0 0
0 ) sin( ) ( ) cos * sin sin * )(cos ( (10.9)
Ova trigonometrijska jednaina ima mnogo reenja, od ovih emo obraditi samo dva jer
imaju lako reenje.
Prvi: Ovakva prenosna funkcija moe raspolagati sa linearnom fazom ako ima simetrini
impulsni odziv funkcije.
1 ,..., 1 , 0 ), 1 ( ) (
2
1
= =

= M n n M h n h
M
(10.10)
U ovom sluaju moe se ostvariti konstantno fazno kanjenje na celom spektralnom domenu
ako je impulsni odziv funkcije simetrian. Ovakav sluaj se moe videti na slici 10.3. Vidimo dva
sluaja kada je M paran i kada je M neparan.
Slika 10.3. Impulsni odziv funkcije a) I. Tip M paran i b) II.Tip M neparan
Drugi: Antisimetrian sluaj, sloeniji je od prvog:

=
=

= + =
M
m
M
m
n n h
n n h
0
0
0
cos ) (
sin ) (
arctan ) (

(10.11)
Projektovanje FIR filtara
220
Sa sreivanjem izraza dobijamo sledei izraz:

=
= +
M
m
n n h
0
0
0 ) sin( ) ( (10.12)
na slian nain kao prethodno, reenje jednaine ima sledei oblik:
1 ,..., 1 , 0 ), 1 ( ) (
2
s
2
1
0
= = =

= M n n M h n h
M
(10.13)
Ovakva prenosna funkcija, ako je impulsni odziv funkcije antisimetrian ima konstantno
grupno kanjenje na celom prenosnom domenu. Ovakvi sluajevi se mogu videti na slici 10.4.
Slika 10.4. FIR impulsni odziv funkcije a) III. Tip M paran b) IV. Tip M neparan
10.3. Poloaj nule u z-ravni FIR sistema sa linearnom fazom
Uticajem simetrije i antisimetrije, nule prenosne karakteristike FIR sistema su konjugovano
komplexni parovi i moraju ispunjavati mnoge osobine:
1. Nule prenosne funkcije koje ne lee na jedininom krugu one se javljaju u etiri oblika
(konjugovano komplexni i reciprone nule) na sledeoj lokaciji:
1 * 1 *
) ( s , ,

i i i i
z z z z (10.14)
2. Realne nule koje ne lee na jedininom krugu se javljaju u recipronim parovima:
s
1
i i
z z (10.15)
3. Proizvoljan broj parova konjugovano komplexne nule mogu leati na jedininom krugu.
4. Proizvoljan broj nula moe leati na z
i
= 1, jer onda je ispunjen sledei uslov z
i
-1
= 1.
Projektovanje FIR filtara
221
10.4. Sinteza FIR filtra sa prozorskom funkcijom
Najednostavniji postupak FIR projektovanja filtra je postupak sa prozorskom funkcijom. U
toku projektovanju se polazi od idealnog niskopropusnog filtra:
Slika 10.5. Prenosna funkcija idealnog niskopropusnog filtra
Impulsni odziv funkcije idealnog niskopropusnog filtra:
( )

d e e H
T
n h
T j T j
i i
s
s

=
2 /
2 /
2
) ( (10.16)
Vrednost H
i
jednak jedan u prenosnom domenu | -
1
,
1
| a izvan je nula. Reenje integrala:
( )
2
1
2
1
;
sin
1
2
) (

= =

N
n
N
n
nT
d e
T
n h
i T j
i
i
i

(10.17)
Impulsni odziv funkcije ispunjava zahtev kauzalnosti, ako je originalni impulsni odziv
funkcije h
i
(n)-t, i pomerimo za (N-1)/2 udesno, odnosno:
1 0 ;
2
1
2
1
sin
) (
|
.
|

\
|

|
|
.
|

\
|
(

= N n
N
n
N
n T
n h
i
i

(10.18)
Ova jednaina opisuje moguu realizaciju niskopropusnog filtra sa konanim stepenom.
Ako je N neparan onda moramo upotrebiti LHospital postupak za odreivanje srednjeg lana
impulsnog odziva funkcije. Vrednost srednjeg lana impulsnog odziva funkcije:
s
i i
f
f T N
h
2
2
1
= = |
.
|

\
|

(10.19)
Projektovanje FIR filtara
222
10.4.1. Visokopropusni filtar
Prilikom izvoenja polazimo od impulsnog odziva idealnog visokopropusnog filtra:
( )
(
(

+ = =

d e d e
T
d e e H
T
n h
T j T j T j T j
i i
si
i
i
s
s
s
2 /
2 /
2 /
2 /
1 1
2 2
) ( (10.20)
Idealni visokopropusni filtar ima vrednost impulsnog odziva nula u domenu |-
1
,
1
| a izvan
tog domena ima vrednost jedan (suprotno idealnom niskopropusnom filtru vidi sliku 10.5.).
Posle sreivanja izraza dolazimo do sledeeg izraza koji opisuje kauzalni impulsni odziv
funkcije:
1 0 ;
2
1
2
1
sin
) (
|
.
|

\
|

|
|
.
|

\
|
(



= N n
N
n
N
n T
n h
i
i

(10.21)
Amplitudska karakteristika idealnog visokopropusnog filtra se moe videti na slici 10.6.
Idealni visokopropusni filtar do frekvencije
i
ima vrednost pojaanja nula a na veoj frekvenciji je
jedinino.
Slika 10.6. Amplitudska karakteristika idealnog visokopropusnog filtra
Visokopropusni filtar na krunoj frekvenciji =(
s
/2) ima jednino pojaanje. Poto
raspolae linearnom fazom mora imati simetrine koeficijente pa zbog toga je stepen
visokopropusnog filtra N treba da je neparan. Jedino kod raunanja srednjeg lana moramo
upotrebiti LHospital pravilo:
( )
) 5 . 0 ( 2
2 / 2 2
2
1
rel
i
s
i s
s
T
i
T
i
f
f
f f
f
f
T
N
h =

= = = |
.
|

\
|

(10.22)
10.4.2. Filtar propusnik opsega
Na slici 10.7. se moe videti amplitudska karakteristika idealnog filtra propusnika opsega.
Kod filtra propusnika opsega moramo posebno definisati dve krune frekvencije
i1
i
i2
. Na
osnovu ove frekvencije unutar definisane oblasti vrednost prenosne karakteristike je jedan, a izvan
je nuli kao to se moe videti na slici.
Projektovanje FIR filtara
223
Slika 10.7. Amplitudska karakteristika idealnog filtra propusnika opsega
Impulsni odziv funkcije slino niskopropusnim i visokopropusnim filtrima na sledei nain
raunamo:
( )
(
(
(

+ = =



d e d e
T
d e e H
T
n h
T j T j T j T j
i i
i
i
i
s
s
s
2
1
1
2
1 1
2 2
) (
2 /
2 /
(10.23)
kauzalni impulsni odziv funkcije:
1 0 ;
2
1
2
1
sin
2
1
sin
) (
1 2

|
.
|

\
|

|
|
.
|

\
|
(



|
|
.
|

\
|
(

= N n
N
n
N
n T
N
n T
n h
i i
i


(10.24)
Na osnovu gore navedenih jednaina lako moemo zakljuiti da filtar propusnik opsega
matematiki moemo ostvariti kao razliku dva niskopropusna filtra. U brojiocu izraza (10.24) prvi
deo sa imeniocom predstavlja niskopropusni filtar sa graninom frekvencijom
i2
, isto tako drugi
izraz sa imeniocom i graninom frekvencijom
i1
predstavlja niskopropusni filtar. Ovu
matematiku injenicu prikazujemo grafiki na slici 10.8.
Slika 10.8. Realizacija filtra propusnika opsega kao razlika dva niskopropusna filtra
Projektovanje FIR filtara
224
10.4.3. Filtar nepropusnik opsega
I za filtre nepropusnika opsega trebamo definisati dve frekvencije, kao kod filtra propusnika
opsega. Na slici 10.9. se moe videti prenosna karaktristika digitalnog idealnog filtra nepropusnika
opsega.
Slika 10.9. Amplitudska karakteristika idealnog filtra nepropusnika opsega
Slino kao kod filtra propusnika opsega moe se izraunati impulsni odziv funkcije
nepropusnika:
( )
(
(

+ + =
= =

d e d e d e
T
d e e H
T
n h
T j T j T j
T j T j
i i
s
i
i
s
i
s
s
s
2 /
2 /
2 /
2 /
1
1
2
2
1 1 1
2

2
) (
(10.25)
kauzalni impulsni odziv funkije posle sreivanja prethodnog izraza:
1 0 ;
2
1
2
1
sin
2
1
sin
) (
2 1

|
.
|

\
|

|
|
.
|

\
|
(



|
|
.
|

\
|
(

= N n
N
n
N
n T
N
n T
n h
i i
i


(10.26)
Idealni filtar nepropusnik opsega moemo shvatiti kao zbir prenosnih funkija
niskopropusnih i visokopropusnih filtra. Iz osobine visokopropusnog filtra rezultantni filtar moe
biti samo neparnog stepena. Na slici 10.10.grafiki moemo prikazati sastav filtra nepropusnika
opsega.
Projektovanje FIR filtara
225
Slika 10.10. Realizacija filtra nepropusnika opsega iz zbira prenosnih funkcija niskopropusnog i
visokopropusnog filtra
U tom sluaju ako elimo realizovati FIR filtar koji sadri vie od dva propusna domena ili
sadri nepropusni domen, odnosno tzv. eljasti filtar i onda je slian postupak kao to smo
prethodno opisali (grafiki i raunski).
10.4.4. Uloga prozorskih funkcija u projektovanju filtara
FIR filtar moemo shvatiti kao sistem za sabiranje (sumator) u koji je ugraena teinska
tabla. U teinskoj tabli, teinske vrednosti odmicanjem desno od centralnog lana ili levo, njihove
vrednosti se postepeno smanjuju. Vrednosti teinskih inilaca su simetrini u odnosu na centralni
lan.
Sa konanim brojem uzoraka ne moemo projektovati idealni filtar, odnosno filtar bez
prelaznog opsega, ili bez oscilacije u oblasti nepropusnog opsega ili u oblati propusnog opsega. Sa
upotrebom prozorskih funkcija fiziki se moe ostvariti mrea, dobijemo filtar. Kod ovog
projektovanja u oblasti nepropusnog opsega i u oblasti propusnog opega uslovi pojaanja signala
moraju biti jednaki. Ne moemo ih birati za razne vrednosti, dozvoljena promena pojaanja u
oblasti propusnog opsega i nepropusnog opsega odnosno mera potiskivanja mora biti
1
=
2
.
Ne teinska (pravougaona) prozorska funkcija izaziva tzv. Gibbs oscilacije. U ovom sluaju
nezavisno od N vrednosti na kraju oblasti propusnog opsega i na poetku oblasti nepropusnog
opsega (pretpostavei ekvivalentni niskopropusnik) ima 9% skoka. Uticaj promene N vrednosti
prikazuje slika 10.12.
Slika 10.12. Prikaz Gibbs oscilacije za razne N vrednosti
Sa poveavanjem N vrednosti kao to vidimo samo se smanjuje oblast irine oscilacije. Kod
ove prozporske funkcije
1
=0.09 i A= -20.91dB. irina propusnog opsega je 0.9
s
/(N-1). Gibbs-ova
oscilacija je posledica spore konvergencije Fourier-ovog reda, to proizilazi iz diskontinuiteta
izmeu oblasti nepropusnog opsega i propusnog opega.. Poto svaki filtar karakterie karakteristika
diskontinuiteta zbog toga je nije dobro reenje, brzi prekidi impulsnog odziva funkcije.
Projektovanje FIR filtara
226
Najefektivniji postupak za potiskivanje Gibbs oscilacije je upotreba prozorskih funkcija u toku
projektovanja filtra. Prozorske funkcije zaokruuju otre prelaze na manje ili vee mere i na osnovu
ovoga smanjuju otre prelaze.
Posle ovoga sa prozorskom funkcijom modifikovan impulsni odziv funkcije:

=
inace 0,
1 - M 0,1,..., n ),
2
1
( ) (
) (
M
n h n w
n h
i
w
(10.27)
ako jednostavno prekinemo impulsni odziv funkcije h
i
(n) onda kao to smo rekli nastupaju Gibbs
oscilacije, pa je prozorska funkcija sledeeg oblika:

=
=
inace 0,
1 - M 0,1,..., n , 1
) (n w (10.28)
ovo je pravougaona prozorska funkcija. Na osnovu ovoga dolazimo do lakog zakljuka da sa
ozbiljnim zahtevima ne moemo projektovati filtar sa pravougaonom prozorskom funkcijom.
I na drugi nain se moe objasniti pojava Gibbs oscilacije. Gibbs oscilacija je posledica
konvolucije eljene prenosne funkcije i prozorske funkcije u frekventnom domenu. Razlika je
posledica dve karakteristike prozorske funkcije: irina glavnog snopa spektra prozorske funkcije
utie na irinu prelazne oblasti dok sporedni list veliine amplitude utie na amplitudu Gibbs
oscilacije. Na osnovu ovoga dobre prozorske funkcije moraju imati to ui glavni snop i to vee
potiskivanje sporednih listova, a ovo je suprotan zahtev.
Vie prozorskih funkcija smo razvili kao to smo videli u estom poglavlju. U devetom
poglavlju smo koristili prozorske funkcije za analizu spektra signala. U nastavku emo se detaljno
baviti Kaiser prozorskom funkcijom za projektovanje filtra. Sa upotrebom Kaiser prozorske
funkcije dosta elestino se mogu ostvariti eljeni uslovi. Kaiser prozorska funkcija blizu je idealnog
kao to smo videli u estom poglavlju jer pored maximalno zadatog nivoa sporednih listova
maximalno koncentrie energiju ispod glavnog snopa. Pomou Kaiser prozorske funkcije moemo
aproksimirati skoro sve prozorske funkcije sa odreenim odabiranjem vrednosti.
Ako poveavamo stepen prozorskih funkcija poveavamo i irinu prelazne oblasti, ali
vrednosti A i se ne menjaju kod neparametarskih prozorskih funkcija. Ovaj problem moe reiti
Kaiser prozorska funkcija.
10.4.5. Sinteza FIR filtra uz pomo Kaiser prozorske funkcije
Kod projektovanja filtra je obiaj da se filtar zadaje sa amplitudnom karakteristikom i to sa
tolerencionom emom kao to se vidi na slici 10.2.
Kaiser prozorsku funkciju opisuje sledea zavisnost:
( ) | | { }
1 0 ,
) (
1 / 2 1 1
) (
0
2
0


= N n
I
n n I
n w
K

(10.29)
gde je I
0
{} Bessel modifikovana funkcija nultog reda:
Projektovanje FIR filtara
227
{ }
( )

=
(

+ =
1
2
0
!
2 /
1
m
m
m
x
x I (10.30)
na osnovu iskustva sabiranje je dovoljno izvriti do m=15. Kod upotrebe parametra kod Kaiser
prozorske funkcije oznaava kompromis izmeu irine glavnog snopa i maximalnog nivoa
sporednih listova. Vrednost se nalazi izmeu 2 i 9. Sa ovako odabranim parametrom osobine
ostalih prozorskih funkcija moemo simulirati sa jako dobrim pribliavanjem.
Od Kaisera potiu izrazi za odreivanje potrebanog broja stepena i vrednosti za
projektovanje datog filtra:

< < +

=
+

= +

50 A 21 ), 21 ( 07886 . 0 ) 21 ( 5842 . 0
50 A ), 7 . 8 ( 1102 . 0
1
36 . 14
95 . 7
1
36 . 14
95 . 7 ) log( 20
4 . 0
A A
A
A
N

(10.31)
gde je:
s
a z


= (10.32)
Ove izraze ako filtar ima vie opsega, na svakom prelazu, moramo posebno izraunati u
nepropusnom i propusnom domenu. Od ovih rezultata najstroi rezultat moramo upotrebljavati kod
raunanja konanog filtra.
Na kraju emo opisati postupak koji moemo upotrebljavati:
1. korak: zadavanje uslova
2. korak: odreivanje N i
3. korak: odreujemo W
k
(n) za svaku vrednost 0< n< N-1
4. korak: izraunavanje vrednosti h
i
(n) u domenu 0< n< N-1
5. korak: oblikujemo impulsni odziv funkcije FIR filtra h(n)=h
i
(n)w
k
(n) odnosno prenosnu
funkciju
Ovaj postupak nije optimalan, jer deluje samo jedinstveno na propusne oblasti, prelazne
oblasti i nepropusni opseg oblasti, u pojedinim sluajevima kod projektovanja mnogo su stroi
uslovi nego uslovi koji su zadati u polaznoj taki (1. korak).
Zadatak 10.1.:Projektujmo jedan niskopropusni filtar primenom metode Kaiserovog prozorisanja.
Zahtevi su sledei:
1. uzorkovana brzina je 10ksampl/s,
2. irina propusnog opsega je 1.5kHz,
3. nepropusni opseg poinje od 2.5kHz,
4. maximalno odstupanje amplitude u propusnom domenu
1
=0.01 (A
a
=0.15dB),
5. minimalo potiskivanje u nepropusnom opsegu je
2
=0.01 (A
z
= -40dB)
Reenje: Optimalna vrednost je 3.4 dok je N
min
=23.
Projektovanje FIR filtara
228
Slika 10.13. Amplitudska karakteristike projektovanog filtra
Slika 10.13. prikazuje amplitudnu karakteristike. Sa amplitudne karakteristike se moe
videti kako se ispunjavaju zadati uslovi u zadatku. Ako bi posebno uveali okolinu oko 1.5kHz
moglo bi se videti da u propusnom opsegu uslovi pojaanja su ispunjeni. Isto na 2kHz-noj
frekvenciji posle uveanja bi se moglo videti da na granici nepropusnog opsega obezbeeno je
40dB-no nepropusno potiskivanje, kao to je propisano u zadatku.
U sledeem zadatku raunanje Kaiser prozorske funkcije emo upotrebiti za filtar propusnik
opsega. Parametre filtra na osnovu prethodno reenih lako se mogu raunati a i u nastavku veoma
lako se mogu upotrebiti kod projektovanja za bilo koji sloeni filtar.
Zadatak 10.2.:Projektujmo jedan filtar propusnik opsega, sa Kaiser prozorskom funkcijom. Filtar
moramo projektovati pored sledeih uslova:
1. uzorkovana brzina je 10 ksampl/s,
2. granice propusnog opsega su 1.5kHz i 2kHz,
3. granice nepropusnog opsega 1.2kHz i 2.2kHz
4. maximalno odstupanje amplitude u propusnom domenu
1
=0.01 (A
a
=0.15dB),
5. minimalno potiskivanje u nepropusnom opsegu je
2
=0.01 (A
z
= -40dB)
Reenje: Optimalna vrednost je 3.4 dok je N
min
=112.
Amplitudne karakteristike u celoj meri zadovoljava propise koji se odnose na filtar, izraeno
je potiskivanje koji pripada nulama. Posebno smo istakli prelazni domen na slici 10.15. gde se
dobro mogu videti oekivanja za prelazni domen.
Projektovanje FIR filtara
229
Fazni odziv filtra je linearan u propusnom opsegu koji je zadat u projektovanom uslovu, dok
u nepropusnom opsegu izrazito je nelinearan koji ne predstavlja nepovoljnu priliku, jer nije ni vano
kakva je fazna karakteristika u nepropusnom opsegu.
Slika 10.14. a) amplitudna karakteristika i b) fazna karakteristika filtra
Kao to se dobro moe videti sa uveane slike, na manjim frekvencijama od 1.2kHz
nezavisno da li je sporedni list maximalan ili je priguen sigurno ispunjava oekivane uslove.
Slika 10.15. Deo amplitudske karakteristike koja je na prethodnoj slici obeleena
Na slici 10.16. se moe videti impulsni odziv funkcije. Sa slike se dobro moe videti da
elementi oscilacije dobro su potisnuti.
Projektovanje FIR filtara
230
Slika 10.16. Impulsni odziv funkcije filtra
Najvei nedostatak projektovanje sa prozorskim funkcijama je da rezultantni filtar nikad nije
optimalni. Ovaj iskaz je dokazan u upotrebama jer uvek moemo projektovati bolji filtar nego to
projektujemo sa prozorskim funkcijama, ovo je i onda istinito ako je prozorska funkcija tipa Kaiser
ili Csebisev. Ovo je zato, jer uticaj prozorske funkcije na rezultat je posredan preko uzajamne
povezanosti konvolucije. Zato ovaj nain se upotrebljava kao polazna taka kod sloenijih zahteva.
10.5.Projektovanje FIR filtra na bazi frekventnog uzorkovanja
Drugi jednostavan postupak koji se upotrebljava za raunanje parametara FIR filtra, koji
moemo koristiti i bez upotrebe raunara je postupak frekventnog uzorkovanja. Nain prozorisanja
u projektovanju filtra nije jako efektivan jer je teko izraunati ovaj integral:


d e e H n h
j j
D D

= ) (
2
1
) ( (10.33)
u ovakvom sluaju odgovarajui postupak je sinteza frekventnog uzorkovanja, to je mnogo puta
jako efektivan postupak u realizaciji FIR filtra.
Slika 10.17. Amplitudna karakteristika eljenog filtra
Projektovanje FIR filtara
231
Na slici 10.17. se moe videti polazna amplitudna karakteristika. Kao prvo emo
projektovati niskopropusni filtar. U osnovnom sluaju vrednosti krune frekvencije
k
, gde
zadajemo prenosnu funkciju proizvoljno moemo uzeti, ali najee uzorke biramo na jednakim
rastojanjima. Rastojanje izmeu vrednosti dve krune frekvencije odnosno k-ta vrednost krune
frekvencije:

= = k
N
s
k
, (10.34)
Sa operacijom DFT lako moemo odrediti koeficijente filtra:
( ) | |
1 - N n 0 ,
) 5 . 0 ( 2
cos 2 ) (
2 / 1 - N
1 k
0

(

+
+ = =

=
N
n k
A A n h b
k n

(10.35)
Svaka vrednost A
k
je realna i na osnovu ovoga moemo izraunati u sledeem obliku:
( ) | | ( ) | |
1 - N n 0 , ) (
1 - N
2 /
0
1 - N
2 /
0
/ 2 /
= =

=
N k
k
k
N k
k
N nk N jnk
k
h e e A n h

(10.36)
Ako iskoristimo da je A
k
=A
N k
i upotrebimo da je z-transformacija linearna transformacija,
onda:

=
=
1
2 /
0
) ( ) (
N
N k
k
k
z H z H (10.37)
Posle sreivanja izraza dobijamo sledeu konanu formu:
) 2 / / sin(
) 2 / sin(
) (
T N k
TN
A e H
k
T j
k

= (10.38)
Cela amplitudna karakteristika ima sledei oblik:

=
=
1
2 /
0
) ( ) (
N
N k
k
T j
k
T j
e H e H

(10.39)
Moramo odrediti stepan filtra. Stepen N, trebamo tako odabrati da obuhvati svaku vrednost

k
, koje se moe videti na slici 10.14. Drugi vaan parametar je definicija prelaznog domena. Ako
nemamo definisane vrednosti u prelaznom domenu, onda slino pravougaonoj prozorskoj funkciji
dolazimo do loeg rezultata, greka je u tome da elimo ostvariti jako uzanu prelaznu oblast. Ako
poveamo irinu prelaznog domena, onda emo poveati i potiskivanje u nepropusnom domenu.
Direktna posledica ovoga je da se vrednost N poveava a poveava se i efektivna uzorkovana
brzina. U tabeli 10.1. se mogu videti tipine vrednosti maximalnih potiskivanja za razne brojeve
uzoraka u nepropusnom domenu.
Projektovanje FIR filtara
232
Tabela 10.1. Uticaj definisanih taaka u prelaznom domenu na dubinu nepropusnog opsega
Broj uzoraka u
prelaznom domenu
Prelazni domen

Maximalno
potiskivanje u
nepropusnom domenu
[dB]
0 1 <20
1 2 45-55
2 3 65-75
3 4 85-95
Upotrebei teoremu frekventnog uzorkovanja, moramo odrediti sledee korake da bi bio
uspean tok projektovanja filtra:
1. korak: zadavanje uslova
2. korak: odreivanje N vrednosti
3. korak: izraunavanje vrednosti A
k
i
4. korak: raunanje vrednosti h(n).
Na osnovu ovog postupka lako je napisati raunarski program za projektovanje filtra.
Zadatak 10.3.: Isto kao u zadatku 10.1. samo treba reiti sa frekventnim uzorkovanjem.
Reenje: kod odabiranja stepena filtra dosta bi bilo primeniti za N=20, jer sada f=0.5kHz i sa ovim
koracima krune frekvencije moemo opisati svaku vanu vrednost krune frekvencije. U ovom
sluaju:
f k kHz
N
f
f
s
= = = 2 , 5 . 0
k
posle ovoga amplitudska funkcija, koji odgovara zahtevima na vrednostima
k
diskretnoj krunoj
frekvenciji:



=
1 - N k 4 , 0
3 k 0 , 1
) (
T j
k
e H

Odavde dobijamo vrednosti A
k
:
( )



=
1 - N k 4 , 0
3 k 0 , / 1 N
A
k
k
jo moramo odrediti koeficijente filtra b
k
.
Projektovanje FIR filtara
233
Slika 10.17. Amplitudska karakteristika filtra ako nemamo uzoraka u prelaznom domenu
Kao to se moe videti sa slike u propusnoj oblasti imamo varijaciju amplitude (otprilike
1dB-na) a u nepropusnom opsegu jedva dostiemo 20dB-ni potisak. Rezultat moemo popraviti ako
definiemo jednu taku u prelaznom domenu i neka vrednost amplitude bude 0.5 pa se promeni
zahtev. Na osnovu ovoga dobijemo:
( )
( )


=

=
1 - N k 5 , 0
4 k , / 1 5 . 0
3 k 0 , / 1
N
N
A
k
k
k
Kao to se moe videti sa slike 10.18. u velikoj meri se promenilo stanje, jer u nepropusnom
domenu potiskivanje je 37dB.
Slika 10.18. Amplitudska funkcija ako u prelaznom opsegu imamo jednu taku sa intenzitetom 0.5
Projektovanje FIR filtara
234
Prenosnu karakteristiku jo vie moemo popraviti ako optimiziramo meru pojaanja u
prelaznom domenu. Moemo krojiti razne optimizacione uslove. Ako definiemo tako da u
nepropusnom opsegu maximalno potisnemo sporedne listove, onda sa jednostavnom iteracijom
dobijemo da je eljena vrednost pojaanja 0.475, u ovom sluaju amplitudni odziv funkcije je
sledeeg oblika:


=

=
1 - N k 5 , 0
4 k 0.475
3 k 0 , 1
) (
T j
k
e H

Sa ovim filtrom u prenosnoj oblasti se poveala varijacija, ali u nepropusnoj oblasti
potiskivanje je 40dB-a koji ve zadovoljava uslove koji su zadati u zadatku.
( )
( )


=

=
1 - N k 5 , 0
4 k , / 1 475 . 0
3 k 0 , / 1
N
N
A
k
k
k
Za dalja poboljanja moemo raunati, ako proirivamo prelazni domen, odnosno u
prelaznoj oblasti definiemo vie vrednosti taaka, ali se poveava i uzorkovana brzina.
Poveanje broja stepena filtra u prelaznom domenu ne bi vodilo do rezultata ako ne bi
poveavali i broj taaka. Poveanje broja stepena filtra bi vodilo do smanjenja irine sporednih
listova.
Slika 10.19. Amplitudska funkcija ako u prelaznom opsegu imamo jednu taku sa intenzitetom
0.475
U nastavku emo jo obraditi sluaj kada u prelaznom domenu imamo tri uzorka. Ako u
celoj oblasti rastojanje izmeu uzoraka hoemo da odrimo konstantnim onda moramo dvostruko
Projektovanje FIR filtara
235
poveati broj uzoraka. Obradiemo dve razliite amplitudske funkcije, 1 sluaj kada u prelaznom
domenu vrednost amplitude jednostavno odreujemo sa decimacijom i 2. sluaj kada delimino
optimiziramo amplitudsku funkciju u prelaznom domenu bez promene vrednosti srednjeg uzorka.
1.sluaj


=
=
=

=
1 - N k 10 , 0
9 k 0.25
8 k 0.5
7 k 0.75
6 k 0 , 1
) (
T j
k
e H

2.sluaj


=
=
=

=
1 - N k 10 , 0
9 k 0.111
8 k 0.5
7 k 0.889
6 k 0 , 1
) (
T j
k
e H

Sa slike dobro se vidi da amplitudski odziv funkcije optimiziranog filtra obezbeuje vee
potiskivanje u nepropusnom domenu nego u ne optimiziranom sluaju. Moramo uoiti da u ne
optimiziranoj promeni da u 1.sluaju nije bolja prenosna kriva nego u sluaja na slici 10.19. kada
imamo samo jedan uzorak u prelaznom opsegu. Na osnovu ovoga ako projektujemo FIR filtar,
uzorkovanjem u frekventnoj domenu onda je preporuljivo izvesti optimizacioni postupak filtarskih
parametara, jer onda kod upotrebljavanja u realnom vremenu isti problem moemo izvesti sa filtrom
manjeg reda (pogledaj ovaj raunski primer).
Slika 10.20. Amplitudska funkcija ako u prelaznom opsegu imamo tri uzorka 1.sluaj i 2.sluaj
Projektovanje FIR filtara
236
Na slici 10.21. se moe videti 2.sluaj faznog odziva funkcije. Fazni odziv funkcije je
linearan u prenosnom domenu a izvan prenosnog domena je nelinearan, ali ovo nije problem.
Prelazni domen se moe izvaditi iz fazne karakteristike, tri take u prelaznom domenu.
Slika 10.21. Fazni odziv funkcije ako u prelaznom domenu imamo tri uzorka 2.sluaj
I na druge naine moemo projektovati FIR filtre ali u ovoj knjizi se neemo baviti njima.
U nastavku emo se baviti diskretnim sistemima ija je struktura FIR strukture ali
snabdevaju druge strujne zadatke.
10.6. Sinteza diskretnog diferencijatora
Na izlazu iz idealnog diskretnog diferencijatora dobijemo diferencijal ulaznog
diskretizovanog analognog signala. Diferencijator u neprekidnom vremenskom domenu opisujemo
sa sledeom diferencijalnom jednainom:
dt
t dx
t y
) (
) ( = (10.40)
kome u domenu odgovara sledei izraz:
=

= j
j X
j Y
j H
) (
) (
) ( (10.41)
ako preosposobimo u diskretni domen onda:
T
j e H
j
d

= ) ( (10.42)
Projektovanje FIR filtara
237
sada ve moemo definisati FIR sistem sa kojim moemo ostvariti idealni diskretni diferencijator.
Frekventni odziv funkcije:


< < =

- , ) (
2 / ) 1 (M j j
d
e
T
j e H (10.43)
izraunajui inverznu Fourier-ovu transformaciju dobijemo eljeni impulsni odziv funkcije:
2
1
2
1

0
] 2 / ) 1 ( [
] 2 / ) 1 ( [ cos
] [



=
M
n
M
n
M n T
M n
n h
d

(10.44)
Na osnovu izraza (10.44.) impulsni odziv funkcije diferencijatora je antisimetrina funkcija:
) ( ) ( ) 1 ( ) ( ) (
1 ) 1 (
0
1
) 1 (
1
0
1
0

=


= = = = z H z z z i h z n M h z n h z H
d
M
M i
M k
d
M
n
n
d
M
n
n
d d
(10.45)
Na celom domenu opsega samo za diferencijator parnog reda je korektno definisana
prenosna funkcija.
Zadatak 10.4.: Projektujmo diferencijator ija je duina M=12. Nacrtajmo amplitudnu
karakteristiku. Kasnije na ulaz diferencijatora dovedimo razne signale i odredimo izlazne signale.
Reenje: Prvo projektujemo diferencijator koji ispunjava celi spektralni domen, sa uslovima koji su
zadati u zadatku. U domenu f=(0.1) elimo projektovati filtar sa linearno rastuim amplitudnim
odzivom funkcije, odnosno eljenu prenosnu funkciju zadajemo sa sledeim izrazom:
{ 5 , 4 , 3 , 2 , 1 , 0 s 4 . 0 ahol ) ( = = = k jk e H
k k
j
d

posle projektovanja filtra, rezultantni filtar koji raspolae prenosnom funkcijom H(e
j
). eljeni
filtar je rezultantni filtar odnosno amplitudna karaktristika diferencijatora koji se moe videti na
slici 10.22.
Ovi diferencijatori koji prihvataju ceo opseg frekvencije naroiti su zanimljivi u obradi
signala koji sadre komponente viih frekvencija. Ovakvi signali su pr. pravougaoni- trougaoni- ili
signali impulsnog karaktera. Amplitudska karakteristika realizovanog filtra kao to se vidi na niim
frekvencijama je sa malom grekom, dok sa poveavanjem frekvencije raste i greka realizacije.
Projektovanje FIR filtara
238
Slika 10.22. Amplitudni odzivi funkcije diferencijatora reda M=12
Na slici 10.23. za malo pre karakterisan diferencijator na ulaz dovodimo periodinu
pravougaonu stepenicu i trougaonu funkciju i ocrtavamo signal koji se javlja na izlazu iz
diferencijatora.
Slika 10.23. a) ulazni signal i b) izlazni signal diferencijatora
Projektovanje FIR filtara
239
Slino u sluaju trougaonog signala slika 10.24.
Slika 10.24. a) ulazni signal i b) izlazni signal diferencijatora
Signali na izlazu iz diferencijatora jednoznano su diferencirani ovo se vidi sa obe slike.
Diferencijal trougaonog signala na rastuoj strani ugla nagiba je konstantan kao to vidimo sa slike
10.24.b) konstantna je vrednost diferencijala.
Slika 10.25. Diferencijator sa konanom irinom opsega
Projektovanje FIR filtara
240
Slika 10.26. Signali na diferencijatoru sa konanom irinom opsega a) stepenast periodini ulazni
signal b) signal odziva za signal pod a) c) ulazni signal kosinusnog karaktera d) odziv za signal
pod c)
Na slici 10.26.b) jednoznano se vidi uticaj konane irine opsega u poreenju sa slikom
10.22. b) gde je irina opsega diferencijatora beskonana. Ali konana irina opsega nema negativan
uticaj ako je irina opsega ulaznog signala konana pr. signal je kosinusan kao na slici 10.26.c)
odziv posle prelaznog dogaaja jednoznano je korektan kao to se moe videti sa slike 10.25.d).
Projektovanje FIR filtara
241
10.7. Hilbertov transformator
Hilbertov transformator je sistem ije je zadatak da stvori fazni skok od 90
0
izmeu ulaznog
i izlaznog signala. Na osnovu ovogu frekventni impulsni odziv:
0
0
,
,
) (
2 / ) 1 (
2 / ) 1 (
< <
< <

M j
M j
j
je
je
e H (10.46)
impulsni odziv funkcije Hilbertovog transformatora:
2
1
2
1

0
] 2 / ) 1 ( [
2
] 2 / ) 1 ( [
2
sin 2
] [
2



=
M
n
M
n
M n T
M n
n h

(10.47)
Impulsni odziv funkcije je antisimetrina i moe biti samo III. ili IV. tipa (slika 10.4.). Sa IV.
tipom imamo mnogo realizacionih problema, pa ovako ostaje samo III. tip.
Moramo definisati sledee parametre pre poetka sinteze:
- M duina impulsnog odziva funkcije,
-
p1
donja granina frekvencija propusnog opsega,
- greka aproksimacije.
Ove specifikacije nisu nezavisne jedna od druge, povezane su sa sledeim izrazom:
log 83 . 3
1

p
M (10.48)
ovaj izraz koristimo ako poznajemo dve vrednosti a treu moemo proceniti. Da bi dali
najefektivniju realizaciju, Hilbertov transformator mora koristiti filtarski sklop III. tipa i moramo
smanjiti aproksimacionu oblast na minimum. U tabeli 10.2. moe se videti nekoliko zavisnosti za
projektovanje Hilbert-ovog transformatora u sluaju filtarskog sklopa III. tipa. Tabela 10.2. pored
M, i
p1
sadri potreban broj mnoenja (oznakom mno).
Tabela 10.2. Odnos izmeu potrebnih relevantnih podataka za projektovanje Hilbertovog
transformatora.
,
p1
0.02 0.04 0.1 0.2
M Mno. M Mno.. M Mno. M Mno..
>127 - 95 24 39 10 19 5
0.1%
1%
119 30 59 15 27 7 11 3
U zadatku 10.5. preko jednog zadatka rasvetliemo problematiku projektovanja Hilbertovog
transformatora.
Projektovanje FIR filtara
242
Zadatak 10.5.: Projektujmo simetrian Hilbertov transformator u domenu 0.05 0.95.
Nactajmo amplitudsku karakteristiku ovog sistema. Nacrtajmo izlazni signal na izlazu iz
Hilbertovog transformatora ako je na ulazu kosinusni signal.
Reenje: Na slici 10.27. nacrtali smo amplitudsku karakteristike za dva sluaja. U oba sluaja M=95
samo smo donju graninu frekvenciju modifikovali, u prvom sluaju a)
p1
=0.02 a u drugom
sluaju b)
p1
=0.04 . Odlino se vidi na a) slici uticaj brzog slamanja jer prenosni domen oscilira.
Slika 10.27. Amplitudska karakteristika Hilbertovog transformatora
Na slici 10.28. se moe videti uticaj Hilbert-ovog transformatora na kosinusni signal.
Slika 10.28. Uticaj Hilbert-ovog transformatora na kosinusni signal
Projektovanje IIR filtra
U ovom poglavlju emo se baviti filtrima koji raspolau beskonanim impulsnim odzivom
funkcije tzv.IIR (Infinite Impulse Responense). Realizujua prenosna funkcija uglavnom je
filtarskog karaktera (odnosno filtar pojaava signal u jednom spektralnom domenu a u drugom
priguava). Projektovanje sistema (pogledaj uvod 10. oblasti) najee nazivamo sinteza funkcije
filtra ili aproksimaciji. Cilj realizacija IIR filtra je da u vremenskom domenu moemo izvriti
operacije sa filtrima, jer ovako moemo graditi real-time sisteme.
IIR filtri su specijalni linearni, vremenski invarijantni i kauzalne operacije ija prenosna
funkcija ima sledei oblik:

=
N
k
k
k
M
m
m
m
z a
z b
z H
1
0
1
) ( (11.1)
Postupak projektovanja IIR filtra moemo podeliti na posredne i neposredne naine.
Najee kod projektovanja IIR filtra polazimo od neprekidnog projektovanja filtra (2.poglavlje) i
ovi postupci spadaju pod posredne postupke. Posredni postupci iz diskretne oblasti u neprekidnu
oblast i obrnuto temelje se na transformacijama. Teorijski moemo ostvariti vie razliitih
realizacija iz neprekidne oblasti u diskretnu sa uslovom da ostaju osobine neprekidnih filtara.
Najvie koriena realizacija kod projektovanja IIR filtara je tzv. bilinearna realizacija.
Najednostavniji postupak projektovanja IIR filtra je tzv. pribliavanje sa derivacijama,
takoe jednostavan postupak za projektovanje IIR filtra je tzv. impulsni invarijantan postupak.
Pribliavanje sa derivacijom obraujemo kao uvodni karakter, da bi smo lake shvatili
preslikavanje. Postupak koji zahteva vie raunanja je tzv. bilinearno preslikavanje. Bilinearno
preslikavanje, kordinatnu osu preslikava za jedinini krug z oblasti. U toku preslikavanja leva
poluravan s domena preslikava se u unutranji domen jedininog kruga u z domenu.
Projektovanje IIR filtara
244
U neprekidnom domenu uvek polazimo od ekvivalentnog niskopropusnog filtra jer za ove
filtre u celoj meri je izraen raunski postupak.
Ako ne elimo realizovati niskopropusni filtar onda uvodimo pojam preslikavanja slino
projektovanju neprekidnih filtara. Sa preslikavanjima preslikavamo ekvivalentni niskopropusni
filtar u visokopropusni filtar ili u filtar propusnog opsega ili u filtar nepropusnika opsega zavisno od
toga kakva je data primena. Ovu transformaciju moemo izvriti u diskretnom i neprekidnom
domenu ali je preporuljivo izvriti preslikavanje u neprekidnom domenu jer ovaj je jedan izraen
postupak. U toku transformacije veoma je vano da stepen prenosne funkcije ne raste. Vano je
sauvanja stabilnosnih uslova, da bi polovi analognih funkcija koji se nalaze u levoj polovini s
domena, da bi se obrazovali unutar jedininog kruga u z domenu.
Kod projektovanja IIR filtara moramo izvriti sledee koraka:
Zadavanje uslova
Devijacija frekvencije sa odreenom tipskom transformacijom
Klasino projektovanje filtra
Preslikavanje polova i nula, i inverzno preslikavanje i transformacija tipa u
jednom koraku
Realizacija digitalnog filtra
11.1. Projektovanje IIR filtra sa pribliavanjem derivacije
Jedan od najednostavnijih postupaka za preslikavanje analognih filtara u digitalni, se temelji
na diskretnom pribliavanju diferencijalnih jednaina, diferencijalnim jednainama. Ovaj postupak
mnogo upotrebljavamo kod diferencijalnih jednaina u raunarskim reenjima.
Izvod dy(t)/dt u vremenu t=nT zamenjujemo:
T
n y n y
T
T nT y nT y
dt
t dy ) 1 ( ) ( ) ( ) ( ) (
=

= (11.2)
gde T oznaava uzorkovanu periodu i y(n)=y(nT). Prenosna funkcija analognog diferencijatora
H(s)=s, odreena prenosna funkcija diskretnog sistema H(z)=(1-z
1
)/T, na osnovu sad reenih:
T
z
s
1
1

= (11.3)
Drugi izvod zamenjujemo sa drugom difencijalom:
2 2
2
) 2 ( ) 1 ( 2 ) ( ) ( ) (
T
n y n y n y
dt
t dy
dt
d
dt
t y d
nT t
nT t
+
=
(

=
=
=
(11.4)
u frekventnom domenu:
2
1
2
1
|
|
.
|

\
|
=

T
z
s (11.5)
Projektovanje IIR filtara
245
k-ti izvod od y(t) daje sledei rezultat u frekventnom domenu:
k
k
T
z
s
|
|
.
|

\
|
=
1
1
(11.6)
Posle ovoga iz date analogne prenosne funkcije H
a
(s) odreujemo digitalnu prenosnu
funkciju pomou sledeeg izraza:
T z z
a
s H z H
/ ) 1 (
1
) ( ) (

=
= (11.7)
pretransformiui izraz je:
sT
z

=
1
1
(11.8)
ako uvrstimo u izraz s=j:

2 2 2 2
1 1
1
1
1
T
T
j
T T j
z
+

+
+
=

= (11.9)
vrednost se menja izmeu - i +, dotle u z domenu unutar kruga prenika 0.5 i centra 0.5 se
menja z promenljiva, kao to se moe videti sa slike 11.1. Posledica ove transformacije je da
stabilan filtar iz analognog domena transformie se u stabilan filtar u diskretnom domenu. Ali
mogue lokacije polova digitalnog filtra mogu biti samo na niskim frekvencijama. Posledica je da
niskopropusni filtar i filtar propusnik opsega relativna rezonantna frekvencija moe biti samo
niska, odnosno teorija uzorkovane frekvencije mora biti vea nego granina frekvencija
niskopropusnog filtra ili rezonantna frekvencija filtra propusnika opsega. Ovaj postupak nije
pogodan pr. za preslikavanje analognog visokopropusnog filtara u digitalni visokopropusni filtar ili
transformaciju filtra nepropusnika opsega.
Slika 11.1 Odnos s i z domena za uticaj upotrebljenog preslikavanja
Izvod dy(t)/dt u vremenu t=nT zamenjujemo:
T
n y n y
T
nT y T nT y
dt
t dy ) ( ) 1 ( ) ( ) ( ) ( +
=
+
= (11.10)
Rezultat pribliavanja je sledee preslikavanje:
Projektovanje IIR filtara
246
sT z
T
z
s + =

= 1 par njegov ili


1
(11.11)
Rezultat ovog preslikavanja je loije od prethodnog preslikavanja, jer pr. s=j se preslikava
u jednu vertikalnu liniju u z domenu, kao to se moe videti sa slike 11.2. Ova transformacija za
rezultat ne daje jednoznano stabilan digitalni filtar.
Slika 11.2. Uticaj preslikavanja u s domenu za imaginarnu osu u diskretnom domenu
Opisane su razne promene ovog preslikavanja ali oni su ve sloeniji izrazi. Drugi naini su
jednostavniji za upotrebu.
11.2. Projektovanje IIR filtra upotrebei impulsnu invarijanciju
Osnovna zamisao za upotrebu impulsne invarijancije potie od toga da implusni odziv
funkcije h(n) diskretnog sistema moe se shvatiti kao diskretizaciju analognog filtra, odnosno
h(n)=h
a
(n) n=0,1,2,... U frekventnom domenu impulsni odziv funkcije diskretnog sistema moemo
izraziti kao funkciju analogne impulsne funkcije u sledeoj formi:
| |

+
=
+ =
k
s a
j
k j H
T
e H ) (
1
(
)
(11.12)
kao to smo ve izrazili u ovoj knjizi frekventni odziv diskretnog sistema je, periodino
ponavljajui odziv funkcije analognog sistema u tom sluaju se moe koristiti, ako je ispunjena
uzorkovana teorema, odnosno ne dolazi do pojave alliasing efekta.
Ako su ispunjeni uslovi i polovi su jednostavni onda:

=

=
N
i
i
i
a
p s
A
s H
1
) ( (11.13)
onda odreen impulsni odziv funkcije dobijamo inverznom Laplace-ovom transformacijom:

=
=
N
i
t p
i a
i
e A t h
1
) ( (11.14)
Projektovanje IIR filtara
247
posle uzorkovanja:

=
= =
N
i
nT p
i a
i
e A nT h n h
1
) ( ) ( (11.15)
na kraju upotrebei z transformaciju:

=
=

=
N
i
T p
N
i
T p
i
i
i
e z
z N
e z
z A
z H
1
1
) (
) (
) ( (11.16)
iz ovog izraza se vidi, da polovi analognog sistema na sledei nain se preslikavaju u polove
digitalnog sistema:
T j T p
i
i i i
e e z
) ( +
= =
(11.17)
Moramo naglasiti da ova transformacija nije jednoznana u oba smera. Iz analogne s oblasti
jednoznano je preslikavanje u diskretnu z oblast. Ovako osa j se preslikava u jedinini krug dok
suprotno ovo ne vai. Jedna taka iz z oblasti beskonano se preslikava u s+2 /T koja lei na
jednoj pravoj, koja je paralelna sa imaginarnom osom kao to se vidi sa slike 11.3.
Slika 11.3. Prikaz preslikavanja
Preslikavanje zadovoljava potrebne uslove za realizaciju digitalnog filtra. Konjugovano
komplexni par polova iz s domena daje konjugovan par polova u z domenu, konstante diskretne
funkcije su realni pa je tako i transformacija racionalna. Stabilan je rezultat transformacije, jer su
stabilni polovi analognog filtra, kod kojih
i
< 0 preslikavaju se kod diskretnih sistema u
unutranjost jedininog kruga i tree ne poveava se stepen diskretno prenosne funkcije u odnosu na
analogne sisteme. Sa impulsno invarijantnom transformacijom odnos i je linearan ako su
obezbeeni uslovi uzorkovanja (ne javlja se alliasing efekat) onda amplitudni i frekventni odzivi
funkcije analognog sistema zadravaju svoj oblik.
Zadatak 11.1.: Projektujmo jedan IIR filtar polazei od analognog filtra koji je dobijen Csebisev
aproksimacijom, koristei postupak impulsne invariancije. Filtar neka bude treeg reda talasnosti
0.3dB i graninom frekvencijom 0.3Hz.
Reenje: Koeficijenti analognog filtra koji su zadati u zadatku, a(i) i b(i) mogu se nai u tabeli 11.1.
Vrednosti koeficijenata digitalnog filtra smo izraunali za dva sluaja u prvom sluaju F
s
=1Hz i u
drugom sluaju F
s
=0.25Hz.
Projektovanje IIR filtara
248
Tabela 11.1. Vrednosti koeficijenata filtra
i 1 2 3 4
b(i)
0 0 0 0.0252
a(i)
1.0000 0.4376 0.1632 0.0252
bz(i)
-0.0000 0.0108 0.0094 0
az(i)
1.0000 -2.5044 2.1701 -0.6456
bz
1
(i)
-0.0000 0.3906 0.2218 0
az
1
(i)
1.0000 -0.7793 0.5679 -0.1737
Slika 11.5. Frekventni odziv funkcije za digitalni filtar u zadatku
Za to da bi smo odredili prenosnu funkciju IIR filtra prvo moramo odrediti impulsnim
invarijantnim postupkom impulsni odziv funkcije analognog filtra. Posle toga jednostavno uvrstei
transformacioni izraz dobijamo prenosnu funkciju digitalnog filtra. Posle uvrtavanja za obe brzine
uzorkovanja izraunamo koeficijente u prvom sluaju bz(i) i az(i) i u drugom sluaju bz
1
(i) i az
1
(i).
Ovaj primer prikazuje da je vano birati relativnu malu vrednost za T odnosno veu
uzorkovanu frekvenciju, da bi bi smanjili uticaj alliasing efekta. Poto se javlja alliasing efekat kod
komponenata viih frekvencija, impulsni invarijantni postupak se moe upotrebiti samo za
projektovanje niskopropusnog filtra i filtra propusnika opsega.
Projektovanje IIR filtara
249
11.2.1. Poboljana impulsno invarijantna transformacija
Ako je prenosna funkcija racionalna onda jako je teko obezbediti ogranienje frekventnog
odziva funkcije. Cilj ove modifikacije je da proiri onu oblast gde se ova transformacija koristi, a to
su filtarske funkcije sa konanim nulama.
Prenosna funkcija analognog sistema neka bude sledeeg oblika:

=
=

= =
N
j
j
M
i
i
a
p s
s s
H
s D
s N
H s H
1
1
0 0
) (
) (
) (
) (
) ( (11.18)
gde je M N. Analognu prenosnu funkciju moemo opisati u sledeoj formi:
) (
) (
) (
2
1
0
s H
s H
H s H
a
a
a
= (11.19)
pomone funkcije moemo opisati u sledeoj formi:
) (
1
s
) (
1
) (
2 1
s N
H
s D
s H
a a
= = (11.20)
poto prenosne funkcije sadre samo imenioce zato sigurno moemo birati uzorkovanu frekvenciju
tako da ogranienje frekventnog domena bude osigurano. Posle preslikavanja dobiemo sledee
diskretne funkcije:

) (
) (
) (
1
1
1
1
z D
z N
e z
z A
z H
N
i
T p
i
i
=

=

=
) (
) (
) (
2
2
1
2
z D
z N
e z
z B
z H
N
i
T s
i
i
=

=

=
(11.21)
konana prenosna funkcija:

=
=

= = =
N
j
T p
M
i
T s
j
i
e z
e z
z N
z N
H
z D z N
z D z N
H
z H
z H
H z H
1
1
2
1
0
1 2
2 1
0
2
1
0
) (
) (
) (
) (
) ( ) (
) ( ) (
) (
) (
) ( (11.22)
Opisana transformacija reava preslikavanje racionalnih funkcija ali ima i anomalije:
- dobijena funkcija moe biti nestabilna jer funkciju N
2
(z) ne moemo
proveravati i ovako jedan deo polova moe leati izvan jedininog kruga ali
moemo zamenuti ove polove sa njenim recipronim vrednostima i preko
ovoga se ne modifikuje amplitudna karakteristike samo fazna karakteristika
- broj stepeni diskretne funkcije poveala se za dvostruku vrednost u poreenju
sa anlognim filtrima.
Projektovanje IIR filtara
250
Druga mogunost modifikacije je upotreba tzv.usklaene z-transformacije. U prethodno
modifikovanom izrazu uticaj izraza N
1
(z)/N
2
(z) relativno je mali i relativno jednostavno moemo
opisati ceo izraz na sledei nain:

=
=

+ =
N
j
T p
M
i
T s
L
j
i
e z
e z
z H z H
1
1
) (
) (
) 1 ( ) ( (11.23)
gde je L=N-M. Ova transformacija daje dobre rezultate kod projektovanja visokopropusnih filtara i
filtara nepropusnika opsega. Kod projektovanja niskopropusnih filtara i filtara propusnika opsega
upotrebljavamo filtre prema prvoj modifikaciji.
11.3. Upotreba Bilinearnog preslikavanja u projektovanju IIR filtara
Bilinearna transformacija se danas najvie koristi kod projektovanja IIR filtara. Osnova
ovog postupka je da analogni i diskretni sistem za istu pobudu daje isti odziv u svakom trenutku
diskretnog vremena.
Kod izvoenja bilinearne transformacije polazimo od prenosne funkcije analognog filtra:
a s
b
s H
+
= ) ( (11.24)
ovaj sistem moemo opisati sa sledeom diferencijalnom jednainom:
) ( ) (
) (
t bx t ay
dt
t dy
= + (11.25)
ako integriemo ovaj izraz:

+ =
t
t
t y d y t y
0
) ( ) ( ' ) (
0
(11.26)
pribliavanje integrala sa trapezoidalnim izrazom u trenucima t=nT i t
0
=nT-T:
| | ) ( ) ( ' ) ( '
2
) ( T nT y T nT y nT y
T
nT y + + = (11.27)
samu diferencijalnu jednainu u trenutku t=nT na sledei nain opisujemo:
) ( ) ( ) ( ' nT bx nT ay nT y + = (11.28)
koristei trapezoidalno pribliavanje dobijemo za diferencijalnu jednainu, pa izvrei
z-transformaciju dobijemo sledei izraz:
Projektovanje IIR filtara
251
) ( ) 1 (
2
) (
2
1 ) (
2
1
1 1
z X z
bT
z Y z
aT
z Y
aT

+ = |
.
|

\
|
|
.
|

\
|
+ (11.29)
diskretna prenosna funkcija:
a
z
z
T
b
z X
z Y
z H
+
+

= =

1
1
1
1 2 ) (
) (
) ( (11.30)
odavde transformacioni izraz:
1
1
1
1 2

=
z
z
T
s (11.31)
ovu transformaciju nazivamo bilinearna transformacija. To je inverzna z-transformacija:

s
T
s
T
T
z
2
1
2
1
2

+
= (11.32)
Uz pomo bilinearne transformacije, koristei prethodna dva izraza imamo mogunost da
preslikamo parametre neprekidnog domena u diskretni domen i obrnuto.
Zadatak 11.2.: Projektujmo jedan jednopolni niskopropusni digitalni filtar sa upotrebom bilinearne
transformacije, ija je granina frekvencija 3dB 0.1 . Bilinearnu transformaciju trebamo koristiti
za sledei filtar:
c
c
s
s H
+

= ) (
gde je
c
granina frekvencija analognog filtra 3dB.
Reenje: Digitalni filtar tako je definisan da 3dB -ska granina frekvencija
c
=0.1. Frekventnom
domenu
c
=0.1 odgovara
c
=(2/T)tg0.05=0.317/T. Na osnovu ovoga analogni filtri raspolau
sledeim prenosnim funkcijama:
T s
T
s H
/ 317 . 0
/ 317 . 0
) (
+
=
izvrimo zamenu i dobijemo prenosnu funkciju sledeeg digitalnog filtra:
1
1
864 . 0 1
) 1 ( 136 . 0
) (

+
=
z
z
z H
frekventni odziv funkcije digitalnog filtra:

j
j
j
e
e
e H

+
=
864 . 0 1
) 1 ( 136 . 0
) (
Relativno jednostavno je raunanje digitalnog filtra pomou bilinearne transformacije.
Projektovanje IIR filtara
252
11.3.1. Izoblienja bilinearne transformacije
U neprekidnom domenu frekvenciju oznaava , a u diskretnom domenu . Preslikavanje
opisujemo sa sledeom funkcijom:
|
.
|

\
|
=
2
tan
2
T
(11.33)
Slika 11.6. prikazuje preslikavanje. Preslikavanje nije jednolino z=e
j
, moe pripadati
beskonanoj vrednosti ista z vrednost. Ovo je prirodno jer prenosne funkcije sistema sa
diskretnim vremenom opisuje periodinost prema uzorkovanoj frekvenciji. Viestruko preslikavanje
lako moemo zaobii, ako u toku preslikavanja celu s ravan tokom transformacije
pretransformiemo u oblast glavnog periodinog lana odnosno u oblast |- /T, T| kao to se i
moe videti na slici.
Slika 11.6. Uticaj funkcije preslikavanja na oblast preslikanog domena
Inverzna veza izmeu imaginarnih komponenata:
T
|
.
|

\
|
=

2
tan 2
1
(11.34)
Slika 11.7. Veza izmeu imaginarnih osa
Projektovanje IIR filtara
253
Veza sa slike 11.7. nije realna ali u centru kordinatnog poetka i okolo je = . Kako se
udaljavamo od centra kordinatnog sistema jednakost sve vie postaje nejednakost.
U prvoj taki projektovanja zadate vrednosti u diskretnom domenu moramo konvertovati
u neprekidni domen vrednosti frekvencije , i ovaj proces nazivamo frekventna izoblienja, njegov
cilj je smanjenje uticaja nelinearnih dejstava. Na slici 11.8. prikazujemo uticaj transformacione
kompresije.
Slika 11.8. Uticaj bilinearne transformacije na imaginarnu osu
Sa slike se dobro moe videti da uticajem bilinearne transformacije oblasti izmeu raznih
vrednosti frekvencije stvaraju se sa razliitim brojem mnoioca. Ovako u neprekidnom domenu
oblast od 1 do 4 smo podelili na tri jednaka dela. To se moe videti sa slike kako se pribliavamo
vrednostima vee frekvencije pojedini odseci iz neprekidnog domena u diskretni domen sve vie se
smanjuju (ovo je posledica tangensne funkcije), odnosno dokle je 12 odseaka jednako 23 jednako
34 odseaka dotle u preslikanoj oblasti 12>23>34. Ova osobina na maloj frekvenciji nije toliko
uoljiva.
Amplitudna i fazna karakteristika je deformisana. Amplitudna karakteristike je zadata sa
tolerancionom emom i ako posle deformacije karakteristike stane u tolerancionu emu onda
uzmemo da nije dolo do deformacije u toku bilinearne transformacije. Deformacija fazne
karakteristike nije od znaaja jer u neprekidnom domenu ne obraaju panju kod upotrebljavanja
raznih pribliavanja (Butterworth itd.), pa tako ovaj problem nije od znaaja ni kod sinteze digitalih
filtara. U tom sluaju ako je od znaaja za neku deformaciju onda moramo koristiti druge postupke
kod reenja.
Projektovanje IIR filtara
254
11.4. Predistorzija i tipska transformacija
Bilinearna transformacija je osnovno sredstvo u projektovanju IIR filtra. Projektovanje IIR
filtra sa bilinearnom transformacijom moemo podeliti na est faze, i od ovih faza dve su
alternativne:
1. Zadavanje specifikacije filtra i izvravanje deformacije karakteristinih
frekvencija
2. Odreivanje N na osnovu sinteze normiranog niskopropusnog filtra
a
,
z
,
a
i
z
.
Moe se izvriti preslikavanje kao alternativa normiranog niskopropusnog filtra u
odreen tip filtra kao niskopropusni, visokopropusni, filtar propusnik opsega ili
filtar nepropusnika opsega.
3. Preslikavanje polova i nula iz analognog filtra u digitalni filtar sa izrazom (11.34)
4. Izraunavanje H
D
multiplikacionog faktora digitalnog filtra
5. Odreivanje prenosne funkcije H(z) digitalnog filtra
6. Ako u drugoj taki nismo izvrili tipsku transformaciju onda moramo sada izvriti
u digitalnom domenu
7. Realizacija digitalnog filtra
Zavisno od problema alternativno moemo da odaberemo izmeu dva postupka, da li emo
tipsku transformaciju izvriti u analognom ili u diskretnom domenu.
11.4.1. Zadavanje specifikacije i frekventna izoblienja
Specifikaciju filtra moemo zadati na osnovu reenih u 3.2. podpoglavlju. Frekventnom
predistorzijom vrednosti iz oblasti (diskretan) realizujemo u (neprekidnu) oblast. Cilj upotrbe
ove transformacije je da eliminie uticaj kasnije korienog inverznog preslikavanja. Konkretna
matematika forma preslikavanja se zasniva na bilinearnoj transformaciji:
T
|
.
|

\
|
=
2
tan
2
(11.35)
U ovoj smeni umesto vrednosti moramo upisati sve vane vrednosti frekvencije koji su
zadati u specifikaciji pr.
a
,
b
,itd. pa ovako kod niskopropusnog filtra:
|
.
|

\
|
= |
.
|

\
|
=
2
tan
2
,
2
tan
2
z
z
a
a
T T

(11.36)
Veza nekoloko tipinih vrednosti u neprekidnom i diskretnomn domenu:
1
, 1 0 0
= =
= = =
z
z

(11.37)
Predistorzija nije linearna operacija i za rezultat dobijemo sledeu zavisnost:
a
z
a
z

<

(11.38)
Projektovanje IIR filtara
255
Ovo se delimino kompenzuje kod nelinearne pretransformacije, odnosno pored manje
vrednosti nejednaina sve vie prelazi u jednainu. Bilinearno preslikavanje ne utie na talasnosti
u domenu propusnog opsega i nepropusnog opsega.
11.4.2. Tipska transformacija u analognom domenu
Ovaj tip transformacije upotrebljavamo, ako kod projektovanja tipsku transformaciju
izvravamo u analognom domenu. Sa ovom transformacijom detaljno smo se bavili u podpoglavlju
3.3.2.
11.4.3. Preslikavanje u digitalnom domenu
Tabela 11.2. Frekventna transformacija digitalnog filtra
Tip preslikavanja Preslikavanje Parametri
Niskopropusni
1
1
1
1
) (


=
z
z
z g


frekvencij granicna nova '
] 2 / ) ' sin[(
] 2 / ) ' sin[(
=
+

=
c
c c
c c
a



Visokopropusni
1
1
1
1
) (

+
+
=
z
z
z g


frekvencij granicna nova '
] 2 / ) ' cos[(
] 2 / ) ' cos[(
=
+

=
c
c c
c c
a



Propusnik opsega
2
2
1
1
2
1
1
2
1
1
) (

+
+
=
z z
z z
z g


) 1 /( ) 1 (
) 1 /( 2
2
tan
2
cot
] 2 / ) ' cos[(
] 2 / ) ' cos[(
a frekvencij granicna gornja
a frekvencij granicna donja
2
1
+ =
+ =

=
+

=
K K
K K
K
c
f a
c c
c c
f
a

Nepropusnik
opsega
2
2
1
1
2
1
1
2
1
1
) (

+
+
=
z z
z z
z g


) 1 /( ) 1 (
) 1 /( 2
2
tan
2
cot
] 2 / ) ' cos[(
] 2 / ) ' cos[(
a frekvencij granicna gornja
a frekvencij granicna donja
2
1
+ =
+ =

=
+

=
K K
K K
K
c
f a
c c
c c
f
a

Projektovanje IIR filtara


256
Slino kao kod preslikavanja u analognom domenu, preslikavanje u frekventnom domenu
moemo izvriti i sa digitalnim niskopropusnim filtrom. Digitalni niskopropusni filtar moemo
preslikati u visokopropusni, propusnik opsega ili u filtar nepropusnik opsega.
Preslikavanje uslovljava uvrtavanje z
1
sa jednom racionalnom funkcijom g(z
1
). Ova
racionalna funkcija mora zadovoljavati sledee uslove:
- preslikavanje untranjosti jedininog kruga mora preslikati u unutranjost
jedininog kruga,
- jedinini krug mora preslikati u jedinini krug.
- Mora da raspolae sa sledeim optim oblikom:


=
n
k k
k
z
z
z g
1
1
1
1
1
) (


(11.39)
gde pored zadovoljavanja uslova |
k
|< 1, mora da se preslika u stabilan filtar. Razne transformacije
filtarskog tipa se mogu nai u tabeli 11.2.
11.4.3. Realizacija digitalnog filtra
U ovom poglavlju emo se baviti razlaganjem na lanove drugog stepena. Realizacija
projektovane funkcije H(z) u najvie sluaja se deava sa lanovima drugog stepena. Za realizaciju
lanova drugog stepena potrebno je konjugovani komplexni par polova, odnosno ako ima nule onda
pripada jedan par nula. Za dati par polova ne moemo proizvoljno dodati jedan par nula nego
moramo birati tako da datom paru polova geometrijski bude najblii par nula, tako da daju
opadajui faktor dobrote. Vano je da ovako odabrane lanove drugog stepena u red poveemo
prema rastuem faktoru dobrote. Rastojenje pola od jedininog kruga, odreuje faktor dobrote
drugog stepena osnovnog lana. Ovakvo odabiranje daje najravniju prenosnu karakteristiku.
Sada ve raspolaemo u diskretnom domenu sa aktualnim parametrima filtra, odnosno sa N
brojem polova P| i| i nulama Z| i|. Za jedan tip sklopa emo izvriti promeravanje za dati lan
drugog stepena.
Slika 11.9. Kaskadna realizacija osnovnog lana drugog stepena
Projektovanje IIR filtara
257
Sada nam je cilj da realizujemo filtar. Prenosna funkcija i lanovi drugog stepena sa kojima
moemo realizovati filtar mogu se opisati u sledeoj formi:

=
=
L
i
i D
z H H z H
1
) ( ) ( (11.40)
gde je L=ceo|(N+1)/2|. lanove drugog reda moemo opisati u sledeoj formi:
2
2
1
1
2
2
1
1
1
1
) (



+ +
=
z a z a
z b z b
z H
i i
i i
i
(11.41)
Pretpostavimo da kod date realizacije i-tog lana drugog stepena, za par polova P| i
1
|,P| i
2
|
smo odredili par nula Z| i
1
|,Z| i
2
|. Kod ove realizacije drugog stepena koeficijente a i b dobijamo
izjednaavanjem koeficijenata pored eksponenta imenioca i brojioca:
2
2 1
1
2 1
2
2 1
1
2 1
1
2
1
1
1
2
1
1
2
2
1
1
2
2
1
1
) ( ) ( )) ( ) ( ( 1
) ( ) ( )) ( ) ( ( 1

) ) ( 1 )( ) ( 1 (
) ) ( 1 )( ) ( 1 (
1
1
) (






+ +
+ +
=


=

+ +
=
z i P i P z i P i P
z i Z i Z z i Z i Z
z i P z i P
z i Z z i Z
z a z a
z b z b
z H
i i
i i
i
(11.42)
koeficijente odreujemo sa sledeim izrazima:
] [ ] [ ]), [ ] [ (
] [ ] [ ], [ ] [
2 1 i2 2 1 1
2 1 i2 2 1 1
i Z i Z b i Z i Z b
i P i P a i P i P a
i
i
= + =
= + =
(11.43)
Ako nemamo par polova ili par nula, u tom sluaju za lan koji fali uzimamo nulu.
Slika 11.10. Prikaz normiranih uslova a) niskopropusni filtar i b) filtar nepropusnik opsega
Za komplexno konjugovane parove prethodni izraz se moe pisati u obliku:
Projektovanje IIR filtara
258
]. [ ] [ ], [ 2
]), [ ] [ ( a ], [ 2
1
2
1
2
i2 1 1
1
2
1
2
i2 1 1
i Z i Z b i Z b
i P i P i P a
i r r i
i r r i
+ = =
+ = =
(11.44)
Jo moramo odrediti vrednosti koeficijenata H
D
. Ovaj koeficijent zavisno od filtarskog tipa
normira celu prenosnu funkciju.
U sluaju niskopropusnog filtra i filtra nepropusnika opsega na krunoj frekvenciji nula,
moramo realizovati jedinino pojaanje (pogledaj sliku 11.10), koristei:

= = =
=
=

+ +
= =
L
i i i
i i
D
z
L
i
i D
z
a a
b b
H z H H z H
1 2 1
2 1
1 1
1
1
1
1
) ( ) ( (11.45)
Ako poznajemo koeficijente u pojedinim lanovima drugog stepena, onda iz datog uslova
smenom lako se moe izraunati H
D
.
U sluaju visokopropusnog filtra (vidi sliku 11.11.a.) odgovarajui izraz je sledeeg oblika:
1
1
1
) ( ) (
1 2 1
2 1
1 1
1
=
+
+
= =

= = =
=
L
i i i
i i
D
z
L
i
i D
z
a a
b b
H z H H z H (11.46)
Kod raunanja filtra propusnika opsega (vidi sliku 11.11.b.) jednainu moramo reiti na
sredini prenosne karakteristike, jer tamo je vrednost pojaanja jednak jedan:
1
1
1
) ( ) (
1
2
2
1
1
2
2
1
1
1
=
+
+ +
= =

=


= =
=
L
i k i k i
k i k i
D
z z
L
i
i D
z z
z a z a
z b z b
H z H H z H
k
k
(11.47)
gde je
T j
a a k
k
e

= =
k 2 1
z s .
Slika 11.11. Prikaz normiranih uslova a) visokopropusni filtar i b) filtar propusnik opsega
Projektovanje IIR filtara
259
U nastavku preko primera emo prikazati tok projektovanja IIR digitalnog filtra sa
bilinearnom transformacijom. Prvo preko jednog primera emo prikazati tok uvrtavanja a u
nastavku emo pretpostaviti da poznajemo tok raunanja i analiziramo rezultate.
Zadatak 11.3.: Konvertujmo jednopolnu filtarsku funkciju iz zadatka 11.2. sa sledeom sistemskom
funkcijom:
1
1
864 . 0 1
) 1 ( 136 . 0
) (

+
=
z
z
z H
u filtar nepropusnik opsega graninom frekvencijom
1
i
2
. Granina frekvencija niskopropusnog
filtra
c
=0.1.
Reenje: eljena transformacija:
2
2
1
1
2
1
1
2
1
1
) (

+
+
=
z z
z z
z g


gde su
1
i
2
definisani u tabeli 11.2.
2
2
1
1
2
1
1
2
2
2
1
1
2
1
1
2
1
864 . 0 1
1
1 136 . 0
) (




+
+

|
|
.
|

\
|
+
+
+
=
z z
z z
z z
z z
z H




sredei izraz:
( )
( )
( ) | |
2
2
1
1 2
2
2
1
1 2
2
1
1
2 2
2
1
1
2
1
1
2 2
2
1
1
) 864 . 0 ( 136 . 0 ) 864 . 0 1 (
) 1 ( 2 1 136 . 0

864 . 0 1
1 136 . 0
) (




+
+ + +
=
=
+ +
+ + +
=
z z
z z
z z z z
z z z z
z H




pa sada moemo izraunati nule i polove:
( )
( )
( )
) 864 . 0 ( 2
) 864 . 0 )( 864 . 0 1 ( 4 136 . 0 136 . 0
1
1
2
2 2
2
1 1
2 / 1
2
2
2 1 1
2 / 1


=
+
+
=


p
z
Na osnovu tabele 11.2. ako poznajemo konkretne vrednosti granine frekvencije moemo
izraunati vrednosti
1
i
2
odnosno ceo filtar.
Zadatak 11.4.:Projektujmo niskopropusni filtar sa sledeim karakteristikama:
- granina frekvencija propusnog opsega F
a
=1kHz,
- granina frekvencija nepropusnog opsega F
z
=2.1kHz,
Projektovanje IIR filtara
260
- maximalno priguenje u propusnom opsegu je 1dB,
- minimalno priguenjeu nepropusnom opsegu je 45dB i
- uzorkovana brzina 10kHz.
Reenje: Prvo emo zadati tabelu pol-nula pored raznih aproksimacionih postupaka.
Tabela 11.3. Nule i polovi digitalnog filtra sa upotrebom raznih pribliavanja
N Butterworth Csebisev I. Csebisev II. Eliptian
1
0.6631 +
0.5543j
0.7705+
0.5535j
0.6497+
0.5378j
0.7601+
0.2358j
2
0.6631 -
0.5543j
0.7705-
0.5535j
0.6497-
0.5378j
0.7601-
0.2358j
3
0.4785 +
0.1780j
0.7972+
0.3321j
0.4671+
0.3034j
0.7592+
0.5466j
4
0.4785 -
0.1780j
0.7972-
0.3321j
0.4671-
0.3034j
0.7592-
0.5466j
5
0.5400 +
0.3620j
0.8280 0.3878
6
0.5400-
0.3620j
polovi
7 0.4598
1
0.3687+
0.9295j
0.4872+
0.8733j
2
0.3687-
0.9295j
0.4872-
0.8733j
3 -1.0000
-0.2685+
0.9633j
4
-0.0940+
0.9956j
-0.2685-
0.9633j
nule
5
-0.0940-
0.9956j
Na slici 11.12. zadajemo amplitudnu karakteristiku Butterwoth i Csebisev aproksimacije a
na slici 11.13. zadajemo impulsni odziv funkcije Butterworth aproksimacije za datu specifikaciju
filtra.
Projektovanje IIR filtara
261
Slika 11.12. a) i b) Amplitudska karakteristike filtra definisanog u zadatku sa Butterworth i
Csebisev aproksimacijom
Na slici 11.12. izdvojili smo samo dva karakteristina prelazna domena a) propusni opseg b)
nepropusni opseg. Sa slike se dobro mogu videti obe aproksimacije koji zadovoljavaju uslov
propusnog opsega. Uslov za nepropusni opseg Csebisev filtar ve zadovoljava na malim
frekvencijama dok Butterworth filtar ispunjava samo kao granina mogunost.
Slika 11.13. Impulsni odziv funkcije za Butterworth aproksimaciju
Projektovanje IIR filtara
262
Zadatak 11.5. Projektujmo eliptini filtar nepropusnog opsega sa sledeim karakteristikama:
- granine frekvencije propusnog opsega F
a1
=1kHz i F
a2
=1.3kHz
- granine frekvencije nepropusnog opsega F
z1
=0.9kHz i F
z2=
1.45kHz
- maximalno priguenje u propusnom opsegu je 1dB,
- minimalno priguenje u nepropusnom opsegu je 45dB i
- uzorkovana brzina 20kHz.
Reenje: Na slici 11.14. prikazujemo amplitudni odziv funkcije pored zadatih uslova. Realizacija se
izvrila pored N=4 stepena. Uslov za nepropusni opseg filtar ve zadovoljava na maloj frekvenciji,
da bi tano bilo projektovanje ako sluajno nas ne zadovoljava realizaciona karakteristika, moramo
uzeti prilikom realizacije filtar sa veim brojem stepena.
Slika 11.14. a) i b) Amplitudna karakteristika filtra definisanog u zadatku sa eliptinom
aproksimacijom
Na slici 11.14. izdvojili smo samo dva karakteristina prelazna domena a) propusni opseg b)
nepropusni opseg. Sa slike se dobro mogu videti aproksimacije koji dobro zadovoljavaju uslov
nepropusnog opsega.
Literatura
`
Literatura
1. A. Antoniou: Digital Filters, Analysis and Design, McGraw-Hill 1979
2. R. Chassaing, D.W. Horning: Digital Signal Processing with the TMS320C25,
John Wiley&Sons 1990
3. Herpy Mikls, B. J. Claude: Actv RC szrk, Mszaki Knyvkiad Budapest
1981
4. A.V. Oppenheim, R.W. Schafer: Discrete-Time Signal Processing, Prentice Hall
1989
5. M. Popovi: Digitalna obrada signala, Nauka Beograd 1994
6. M. Popovi, A. Mojsilovi: Digitalna obrada signala, Nauka Beograd 1996
7. J.G. Proakis, D.G. Manolakis: Introduction to Digital Signal Processing,
Macmillan Publishing Company 1989
8. F.M. Reza: Bevezets az informcielmletbe, Mszaki Knyvkiad Budapest
1966
9. Dr. Simn Istvn: Digitlis jelfeldolgozs, KKMF-1170 Budapest, 1994

Das könnte Ihnen auch gefallen