Beruflich Dokumente
Kultur Dokumente
Pregunta 1.......................................................................................................... 2
Parte A............................................................................................................. 2
Especificacin en VHDL................................................................................ 2
Simulacin.................................................................................................... 3
Parte B............................................................................................................. 3
Especificacin en VHDL................................................................................ 4
Simulacin.................................................................................................... 5
Pregunta 1
Parte A
Mux 4 a 1 con habilitacin activo en 0
1
Especificacin en VHDL
La especificacin en VHDL es la siguiente:
library ieee;
use ieee.std_logic_1164.all;
ENTITY mux4a1
PORT(
En :
S
:
I
:
Z
:
);
END mux4a1;
IS
IN
IN
IN
OUT
STD_LOGIC;
STD_LOGIC_VECTOR(1 DOWNTO 0);
STD_LOGIC_VECTOR(3 DOWNTO 0);
STD_LOGIC
Simulacin
Los resultados de la simulacin son los siguientes:
Parte B
74138
Especificacin en VHDL
La especificacin en VHDL es la siguiente:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity deco138 is
port(
entrada
g1,g2A,g2B
Y
);
end deco138;
architecture ar of deco138 is
signal g2: std_logic := '0';
begin
g2 <= g2A or g2B;
Y <=
when
when
when
when
when
when
when
when
when
g2 = '1' or g1 = '0'
entrada = "000"
entrada = "001"
entrada = "010"
entrada = "011"
entrada = "100"
entrada = "101"
entrada = "110"
entrada = "111"
end ar;
else
else
else
else
else
else
else
else
else
Simulacin
Los resultados de la simulacin son los siguientes: