Sie sind auf Seite 1von 26

Answers to Problems

For Fundamentals of Logic Design


second edition (corrected version)

223

Answers to Chapter 2 Problems

1.

(a) 151.875
(d) 1574.75

(b) 238.125
(e) 142.453125

2.

(a) 100010 = 13319


(c) 27810 = 21035

(b) 20210 = CA16


(d) 346710 = 130527

3.

(a) .368

(c) .315

4.

(a) 100016 = 409610 = 305446


(c) 2145 = 5910 = 1356

5.

R=8

6.

(a)
(b)
(c)
(d)

10010 = 6416 = (0110 0100)2 = 1448


21710 = D916 = (1101 1001)2 = 3318
472.62510 = 1D8.A16 = (0001 1101 1000 . 1010) 2 = 730.58
256.0312510 = 100.0816 = (1 0000 0000. 0000 1000)2 = 400. 028

7.

(a)
(b)
(c)
(d)

204710 = (211 1)10 = (100 000 000 000 1)2 = 11 111 111 1112
1 0000 0000 0000 0000 2
111 111 111 1112
111 11110 = (1 1011 0010 0000 0111)2

8.

(a) 215

9.

(a) 11000011111
(c) 10000000001100

10.

(a)
(b)
(c)
(d)

21 32 . 12 4 = 9 E . 6 16
212 . 32 4 = (10 01 10 . 11 10) 2
132.024 = 30.12510
1234 = 367

11.

(a)
(b)
(c)
(d)

(10 11 01) 2 = 2 3 14
(101101) 16 = (1 00 01 01 00 01) 4
(101 101) 8 = (10 00 00 10 01 00 00 01) 2 = (2 0 0 2 1 0 0 1) 4
745 . 12510 = 23221. 02 4

12.

(a)
(b)
(c)
(d)

( 2508 )10 = ( 0010 0101 0000 1000 )BCD


( 11111 )2 = ( 31 )10 = ( 0011 0001 )BCD
( 1001 0011 0101 0111 )BCD = ( 9357 )10
( 0001 0001 0001 )BCD = ( 111 )10 = ( 1101111 )2

(b) .7816

(b) 150

(c) 53.5
(f) 4274.25

(d) .00112

(b) 2003 = 1810 = 306


(d) 34678 = 184710 = 123156

(c) 706

(d) 947

(b) 110110100001
(d) 10011100001111

224

13.

(a)
(b)
(c)
(d)

( 1078 )10 = ( 0100 0011 1010 1011 )Excess-3


( 0101 1001 1011 )Excess-3 = ( 268 )10 = ( 0010 0110 1000 )BCD
( 10000000 )2 = ( 128 )10 = ( 0100 0101 1011 )Excess-3
( 12CF )16 = ( 4815 )10 = ( 0100 1000 0001 0101 )BCD

14.

(a) (0000, 0010, 0111, 0100, 0110, 1001, 1011, 1000, 1101, 1111) or
(0000, 0101, 0111, 0100, 0110, 1001, 1011, 1000, 1010, 1111)
(b) (00000, 00101, 01111, 01001, 01100, 10010, 10111, 10001, 11011, 11110) or
(00000, 01010, 01111, 01001, 01100, 10010, 10111, 10001, 10100, 11110)

15.

(a) Because it is impossible to encode decimal digit 1.


(b) Yes. For example : (6, 4, 3, 2) weighted code.
How about (6, 4, 3, 2), (6, 4, 3, 2), and (6, 4, 3, 2)?

225

Answers to Chapter 3 Problems

1.
ABCD
0000
0001
0010
0011
0100
0101
0110
0111
1000
1001
1010
1011
1100
1101
1110
1111

f(A, B, C, D)
(a)
0
0
1
0
0
1
1
1
1
1
1
0
1
1
1
1

(b)
1
0
1
1
0
1
1
1
1
1
0
0
1
1
1
1

(c)
0
0
1
0
0
0
1
0
1
0
0
0
1
1
1
1

2.

(a) C

(b) B

(c) 1

(d) A

3.

(a) AB + C

(b) AB + CD

(c) A + B + C

(d) B + CD

4.

(a) x + y

(b) x + y

(c) 1

(d) x + y

5.

(a) a (b + c + d)
(c) bd

(b) ab (c + d)
(d) ab + ab

6.

(a) y + wxz

(b) b (a + c)

7.

(a) ab + ad + cd
(c) abd + bcd

8.

(c) LHS = AB + AC + BC = AB + AC + BC + BC + AC + AB
= BC + AC + AB = RHS

9.

(a) ac + abd + abc

(c) ad + c(b + d)

(b) ab + bd + cd + ad
(d) ac + ab + acd

(b) acd + abd

10. (a) (a + c)(a + b)(a + d)


(b) d( a + c)(b + c)(a + b)
(c) a(b + c + d)
226

(c) ad + bd

11. (a) (a + b + c)[(e + d'c') a']


(b) (a + b + d)[c' + (a' + b')1d']
(c) [(w' + x)0 + (y + z) u] (u' + w' + xy)
12. (a) (a + b + c') (b + c + d) (a' + c' + d')
(b) (a + b'd) (a' + c' + be)
(c) (d' + a'c + b'c') (a + d)
13. (a) c + abd + abd
(b) ab' + ad' + b'c'
(c) y' + u' x' w z + w' x z
14. (a) (a' +b')(a' + d)(a + c')
(b) (b' + d')(a + b + d)(b + c+ d)
(c) (a + b + d)(b + c +d)(a + b + c)(b + c +d)

227

Answers to Chapter 4 Problems

xyz +xyz + xyz + xyz + xyz + xyz


wxyz + wxyz + wxyz + wxyz + wxyz + wxyz + wxyz + wxyz
ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD
ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD
+ ABCD + ABCD + ABCD + ABCD + ABCD

1.

(a)
(b)
(c)
(d)

2.

(a) (x + y + z)(x + y + z)(x +y + z)(x +y + z)


(b) (w+x+y+z)(w+x+y+z)(w+x+y+z)(w+x+y+z)(w+x+y+z)(w+x+y+z)

3.

(a)
(b)
(c)
(d)
(e)
(f)

m (3,5,7,11,12,13,14,15) = M (0,1,2,4,6,8,9,10 )
m (0,1,4,5,6,13,15) = M (2,3,7,8,9,10,11,12,14)
M (0,1,2,3,4,6,10,12,14) = m(5,7,8,9,11,13,15)
M (1,2,3,5,6,7,9,11,13,14,15) = m(0,4,8,10,12)
M(5,7,8,13,15,16-24,29,31) = m(0-4,6,9-12,14,25-28,30)
m(8,9,11,12.13,16,17,20.21,24,25,27,28,29)
= M(0-7,10,14,15,18,19,22,23,26,30,31)

4.

(a)
(b)
(c)
(d)
(e)
(f)

m (0,1,2,4,6,8,9,10) = M(3,5,7,11,12,13,14,15)
m (2,3,7,8,9,10,11,12,14) = M(0,1,4,5,6,13,15)
m (0,1,2,3,4,6,10,12,14) = M(5,7,8,9,11,13,15)
m (1,2,3,5,6,7,9,11,13,14,15) = M(0,4,8,10,12)
m (5,7,8,13,15,16-24,29,31) = M(0-4,6,9-12,14,25-28,30)
M(8,9,11,12.13,16,17,20.21,24,25,27,28,29)
= m (0-7,10,14,15,18,19,22,23,26,30,31)

5.

(a) wxyz+wxyz+wxyz+wxyz+wxyz+wxyz+wxyz+wxyz+wxyz
(b) (w+x+y+z)(w+x+y+z)(w+x+y+z)(w+x+y+z)(w+x+y+z)(w+x+y+z)
(w+x+y+z)
(c) wxy + z
(d) (w + z) (x + z) (y + z)

6.

F(A,D,B,C) = m(0,4,5,6,7,9,11,12,14)

7.

m (0,1,2,4,5,6,7,9,11) + d(12,13,14,15) = M(3,8,10) D(12,13,14,15)

8.

(a)
(b)
(c)
(d)
(e)
(f)

f = m(0,3,6,8 ,9,10,13,14)
g = m(3,4,5,6,10,11,13)
fg = m(1,2,7,12,15)
f + g = m(0,1,2,4,5,7,8,9,11,12,14,15)
fg = m (0,8,9,14)
fg = m(4,5,11)
228

(g) f + g = (fg) = m (0,3,4,5,6,8,9,10,11,13,14)


(h) f g = fg + fg = m(0,8,9,14) + m(4,5,11) = m(0,4,5,8,9,11,14)
9.

(a) a' b c' + a b' c' + a' b' c + a b c


(b) a' c' + a b' c
(c) a + b + d e

10. (a) m(2,3,4,5,7,10,11,14)


(b) m(1,3,5,6,8,13,14,15)
(c) m(0,1,2,3,4,5,6,8,9,11,12,13)
11. FAD=00 = BC,

FAD=01 = B + C,

FAD=10 = B,

FAD=11 = B + C

12. F = BD(A + E) +BD(AE) + BD(0) + BDA(C + E) = ABD + BDE + ADE +


ABCD
13. FAC=00 = BE + BD
14. The correct given sub-functions are as follows: (corrections in red)
FAB = 00 = DE + CD
FAB = 01 = (C + D)E
FAB = 10 = C + DE
FABD = 110 = C
FABD = 111 = C + E
F = ABDE + ABCD + ABCE + ABDE + ABC + ABDE + ABCD + ABCD + ABDE
= ABDE + ABCD + ABCE + ABDE + ABC + ABDE + ABC + ABDE
= ABDE + ABCD + ABCE + ABDE + AC + ABDE + ABC + ABDE
= AE (BD + BC + BD) + ABCD + AC + ABDE + ABC + ABDE
= AE (BD + BC + CD+ BD) + ABCD + AC + ABDE + ABC + ABDE (Consensus Th.)
= AE (BD + CD + BD) + ABCD + AC + ABDE + ABC + ABDE
(Consensus Th.)
= ABDE + ACDE + ABDE + ABCD + AC + ABDE + ABC + ABDE
= ABDE + CDE + ABDE + ABCD + AC + ABDE + ABC + ABDE
15. f = M(0,3,4,6) = ( A + B + C )( B + C ) ( A + C )
16. Circuit (a)
Circuit (b)
Circuit (c)
17.
18.

x = ab + ab
x = ab
x = ab

y = abc
y = ab + c
y = ab + c + d

F = (A + B + C) (A + D) (B + D) (C + D)
= AD + BD + CD + ABC
F = AD + BD + CD + ABC

229

z = bc + bc
z=1
z = c + d

A
B
C
F

D
D
B
C

19. Let the inputs of the (6,3,1,1) weighted code be A,B,C,D.


Simplest SOP
/V = CD +ABC
Simplest POS
/V = (A + C)(B + C)(C + D)
20. V(a,b,c,d) = m (0,1,2,3,6,8,9,10,11,14) = M (4,5,7,12,13,15)
21. W(a,b,c,d) = m (8,9,10,11,12) + d(0,1,2,13,14,15) = M (3,4,5,6,7) D(0,1,2,13,14,15)
X(a,b,c,d) = m (7,8) + d(0,1,2,13,14,15) = M (3,4,5,6,9,10,11,12) D(0,1,2,13,14,15)
Y(a,b,c,d) = m (5,6,7,8,9,10) + d(0,1,2,13,14,15) = M (3,4,11,12) D(0,1,2,13,14,15)
Z(a,b,c,d) = m (4,5,10,11) + d(0,1,2,13,14,15) = M (3,6,7,8,9,12) D(0,1,2,13,14,15)

230

Answers to Chapter 5 Problems

m (0,1,4,5,6)
m (2-7,10,12,13,14)
m (0-5,7-11)
m (1,2,3,6,7,12,14,16-20,22,24,26,28,30)

1.

(a)
(b)
(c)
(d)

2.

(a) M (0,4,5,7,8,10-13,15)
(b) M (2,3,6-15,17,19,21,23,24,25,27,28,29)

3.

(a) Distinguished 1-cell: 3,5,8,14


Prime implicant: ACD (3,7), ACD (8,12), BD (5,7,13,15), AB (12,13,14,15)
(b) Distinguished 1-cell: 4,6,11,13
Prime implicant: (1,3,5,7), (4,5,6,7), (1,5,9,13), (1,3,9,11)
(c) Distinguished 1-cell: 2,9,10,15
Prime implicant: (0,2,8,10), (0,1,8,9), (1,5), (5,7), (7,15)
(d) Distinguished 1-cell: 5,8,9,14
Prime implicant: (5,7), (2,6,10,14), (8,9,10,11), (2,3,6,7), (2,3,10,11)

4.

(a) Distinguished 0-cell: 4,6


Prime implicate: (0,2,4,6), (0,1), (1,9), (9,11), (10,11), (2,10)
(b) Distinguished 0-cell: 0,2,12,15
Prime implicate: (0,2,8,10), (8,10,12,14), (14,15)
(c) Distinguished 0-cell: 3,4,6,11,13,14
Prime implicate: (3,11), (4,6,12,14), (12,13)
(d) Distinguished 0-cell: 1,15
Prime implicate: (0,1), (13,15), (0,4), (4,12), (12,13)

5.

(a)
(b)
(c)
(d)

ACD + ACD + BD + AB
AB + CD + BD
BD + BC + BCD + ABD
ABD + CD + AB + BC

6.

(a)
(b)
(c)
(d)

(A+D)(B+C+D)(A+B+C)
(B+D)(A+D)(A+B+C)
(B+C+D)(B+D)(A+B+C)
(A+B+C)(A+B+D)(B+C+D)

7.

(a) Distinguished 1-cell: 3,5,8,14,21,23,26


Prime implicant: (1,3,5,7,17,19,21,23), (6,7), (6,14), (8,9,24,25), (1,9,17,25),
(17,19,25,27), (24,25,26,27)

or
or

BD + BC + BCD + ACD
ABD + CD + AB + AC

(b) Distingusished 1-cell: 2,4,10,17


Prime implicant: (1,5,9,13), (4,5), (5,7,13,15), (18,22,26,30), (22,23,30,31), (25,27),
(26,27,30,31), (1,9,17,25), (2,10,18,26), (7,15,23,31)
231

8.

(a) BE + BCD + ACDE + ABC


(b) ABCD + CDE + CDE + ACE + ACD + ABD

9.

(a) (B+C+E)(C+D+E)(B+C+E)(A+C+E)(A+B+C+D)
(b) (A+C+D+E)(A+C+D+E)(B+C+D+E)(C+D+E)(A+C+D)(B+D+E)

10. f1 = AC + ABD + ABC


f2 = ACD + ABC + ABD + ABC
f3 = AD + AC + ABD + ABC
11. (a) BCD + BD + ACD
or
(b) BC + AC
(c) BC + ADE + BDE + ABDE

BCD + BD + ABC

12. (a) f(A,B,C,D) = m (1,2,3,6,7,10,14)


(b) f(A,B,C,D) = m (0,1,3,4,5,7,11,13)
13. (a)
(b)
(c)
(d)
14. (a)
(b)
(c)
(d)

f1 = f2 BCD = m (9,11,13,15) m (7,15) = m (7,9,11,13)


f1 = f2 ABD = m (2,3,6,7) m (5,7) = m (2,3,5,6)
f1 = f2 BCD = m (8,9,10,11,12,13,14,15) m (7,15) = m (7,8,9,10,11,12,13,14)
f1 = f2 BD = m (0,1,4,5,8,9,12,13) m (5,7,13,15) = m (0,1,4,7,8,9,12,15)
ABC + ABD + ABCD = AB BCD
ABC + ABD + ABCD = AB ACD
AB + AC + AD + ABCD = A BCD
AB + AD +ABD = A BD

15.
FBD = 00 FBD = 01 FBD = 10 FBD =11
AC
00
01

1
2

11
10

0
1

10

1
1
0
1
0
1

11

0
1
1
1

14

12

16. (a) FBC = 00 = A, FBC = 0a = A + D,


(b) FBC = 00 = A, FBC = 0a = 1,

15
0
0
0

15

13

FBC = 10 = A,
FBC = 10 = A,

232

FBC = 11 = AD
FBC = 11 = AD

17. (a) FADE = 000 = BC,


FADE = 100 = BC,
(b) FADE = 000 = BC,
FADE = 100 = BC,

FADE = 001 = B + C,
FADE = 101 =1,
FADE = 001 = B,
FADE = 101 =1,

FADE = 010 = BC,


FADE = 110 = BC,

FADE = 010 = BC,


FADE = 110 = BC,

233

FADE = 011 = B,
FADE = 111 = 1

FADE = 011 = B,
FADE = 111 = 1

Answers to Chapter 6 Problems

1.

(a), (b)
(c)
(d)
(e)

f = ABC + BC + ACD = [ (ABC) (BC) (ACD) ]


f = (A + B + C) (B + C) (A + C) (B + C + D)
or
(A + B + C) (B + C) (A + C) (A + B + D)
f = [ (A + B + C) + (B + C) + (A + C) + (B + C + D)]
or
[ (A + B + C) + (B + C) + (A + C) + (A + B + D)]
f = ( A B C + B C + A C + B C D )
or
( A B C + B C + A C + A B D )

2.

(a), (b) f = BC + ABD + ACE + CDE = [ (BC) (ABD) (ACE) (CDE) ]


(c) f = (A + B + C) (B + C + D) (A + B + C + D) (A + C + E) (C + D + E)
(d) f = [(A + B + C) +(B + C + D) +(A + B + C + D) +(A + C + E) +(C + D + E)]
(e) f = ( ABC + BCD + ABCD + ACE + CDE )

3.

(b) F = m (7,11,13,14,15)
(c) F = M (0-6,8,9,10,12)
(d) F = A'BCD + AB'CD + ABC'D + ABCD' + ABCD
= BCD + ACD + ABD + ABC + ABCD = BCD + ACD + ABD + ABC
(e) F = (A + B) (A + C) (A + D) (B + C) (B + D) (C + D)
(f) F' = [ (A + B) (A + C) (A + D) (B + C) (B + D) (C + D) ]'
= (A + B)' + (A + C)' + (A + D)' + (B + C)' + (B + D)' + (C + D)'
= A'B' + A'C' + A'D' + B'C' + B'D' + C'D'
(g) F' = [ BCD + ACD + ABD + ABC ]'
= (BCD)' (ACD)' (ABD)' (ABC)'
= ( A' + B' + C') ( A' + B' + D') ( A' + C' + D' ) ( B' + C' + D' )
(h) From (d), F = [ (ABC)' (ABD)' (ACD)' (BCD)' ]'
(i) From (e), F = [(A + B) + (A + C) + (A + D) + (B + C)' + (B + D)' + (C + D)' ]'
(j) From (f), F' = [ (A'B')' (A'C')' (A'D')' (B'C')' (B'D')' (C'D')' ]'
(k) From (g), F' = [(A' + B' + C')' +(A' + B' + D')' + (A' + C' + D')' + (B' + C' + D')']'
(l) From (f), F = ( A'B' + A'C' + A'D' + B'C' + B'D' + C'D' )'
(m) From (d), F' = ( ABC + ABD + ACD + BCD )'

4.

(a) f = ( ABD + BC + CD + ABD )


(b) f = ( ABD ) ( BC ) ( CD ) ( ABD )
(c) f = (B + D) (A + B + C) (A + C + D)
f = [ (B + D) (A + B + C) (A + C + D) ]
(d) f = (B + D) + (A + B + C) + (A + C + D)

5.

(a)
(b)
(c)
(d)
(e)

f = B + ACD + CDE + ADE


f = [ B (ACD) (CDE) (ADE) ]
f = (A + B + D + E) (A + B + D) (B + C + E) (A + B + C)
f = [ (A + B + D + E) + (A + B + D) + (B + C + E) + (A + B + C) ]
f = ( ABDE + ABD + BCE + ABC )
234

(f) f = ( ABDE) (ABD) (BCE) (ABC)


(g) f = [ B (A + C + D) (C + D + E) (A + D + E) ]
(h) f = B + (A + C + D) + (C + D + E) + (A + D + E)
6.

(a) f(A,B,C,D) = A (CD + BC) + AC(B + D)


C
D

A'
f

B
C'
B
D'
A
C'

(b) f(A,B,C,D) = AC + AB + ACD = A(B + C) + ACD


C'
A
D

f
A'

B'
C'

7.

(a) f(A,B,C,D) = (A+B+C)(A+C+D)(A+B+D)(A+C+D)


= [A + (B + C)(C + D)] [A + (B + D)(C + D)]
B
C
C'
D
B'
D

A
A'

C'
D'

(b) f(A,B,C,D,E) = (A+B+C)(A+D)(A+C)= (A+B+C)(A+CD)


C
D'
A'

f
C

A
B

235

8.

(a) f(A,B,C,D) = ACD + ABC + ABC + ACD


= D(AC +AC) + C(AB + AB) = D (A C) + C (A B)
(b) f(A,B,C,D) = AB + AB + BCD + BCD = (A B) + D (B C)
D
A
C

A
B

C
D

(a)
9.

(b)

EQ = (a1 b1) (a0 b0)


GT = a1 b1 + (a1 b1) a0 b0
LT = a1 b1 + (a1 b1) a0 b0

10. EQ = (a2 b2) (a1 b1) (a0 b0)


GT = a2 b2 + (a2 b2) a1 b1 + (a2 b2) (a1 b1) a0 b0
LT = a2 b2 + (a2 b2) a1 b1 + (a2 b2) (a1 b1) a0 b0

236

Answers to Chapter 7 Problems

1.

D0 D7 : Output of 3-to-8 decoder


Logic 0

Logic 0

X
Y
Z

0
1
2
3
4
5
6
7

Logic 0
/E

D0 D15 : Output of 4-to-16 decoder


2
1
0

D0 D7 (3-to-8)
/
D8 D15
8

2
1
0

D0 D7 (3-to-8)
/
D 0 D7
8

/E
X
Y
Z
/E

2.
A

L
o
g
i

0
1
2
3
4
5
6
7

D0
D1
D2
D3
D4
D5
D6
D7

A
B
C
L

0
1
2
3

D1
D3
D5
D7

1
0

0
1
2
3

D0
D2
D4
D6

I
/E

o
g

1
0

I
/E

3.

/d00 (b3,b2,b1,b0) = M0 D(10-15) = b3 + b2 + b1 + b0


/d1 (b3,b2,b1,b0) = M1 D(10-15) = b3 + b2 + b1 + b0
/d2 (b3,b2,b1,b0) = M2 D(10-15) = b2 + b1 + b0
/d3 (b3,b2,b1,b0) = M3 D(10-15) = b2 + b1 + b0
/d4 (b3,b2,b1,b0) = M4 D(10-15) = b2 + b1 + b0
/d5 (b3,b2,b1,b0) = M5 D(10-15) = b2 + b1 + b0
/d6 (b3,b2,b1,b0) = M6 D(10-15) = b2 + b1 + b0
/d7 (b3,b2,b1,b0) = M7 D(10-15) = b2 + b1 + b0
/d8 (b3,b2,b1,b0) = M8 D(10-15) = b3 + b0
/d9 (b3,b2,b1,b0) = M9 D(10-15) = b3 + b0

4.

F(A,B,C) = (A + B)(A + B) C = BC = m (3, 7)

5.

(a) F(A,B,C) = m (3, 4, 6, 7) = (D0 + D1 + D2 + D5)


(b) F(A,B,C) = m (1, 2, 5, 7) = (D0 + D3 + D4 + D6)

6.

(a) f(A,B,C,D) = m (0,2,3,4,5,6,8,9,10,12,14) = D + ABC + ABC + ABC


237

0
1
2
3
4
5
6
7

7.

8.

y1 = a3 + a2

0
1
2
3
4
5
6
7

y1 = (a3 + a2)(a3 + a1)

a3
a2

F1

F2

/Idle = a3 + a2 + a1 + a0
y1

y0
a1
/Idle
a0

9.

F = WX + WY + WXZ + VW + VZ

10. A = wx
B = (wx) = w + x C = (y+z)(y+z) = z
F = uwx + uvw + uvx + uvz + uvy + uvz

238

D = y+z

11.

I0
I1

0
1
0
0
1

C
I2
I3

0
1

B
0

I4
I5

0
1

0
C
I6
I7

0
1

0
1
0

0
1
0

f = AD(I0) + AD(I1) + AD(I2) + AD(I3)


= AD(C + BE) + AD(C) + AD(BC + CE) + AD(BC + CE)
= ACD + ABDE + ACD + ABCD + ACDE + ABCD + ACDE
= AC + ABDE + ABCD + ABCD + ACE
= AC + ABDE + BCD + BCD + ACE
= AC + ABDE + BCD + BCD + ACE + ABDE
= AC + BDE + BCD + BCD + ACE

12.

or

f = ACD + ABDE + ACD + ABCD + ACDE + ABCD + ACDE


= m (1,4-7,12-15,17,19,20,21,25,27,30,31)
= AC + BDE + BCD + BCD + ACE

13. I0 = A + C, I1 = A(C + E), I2 = 0, I3 = AC + AE


14. I0 = A , I1 = (A + C)(A + B), I2 = A + C, I3 = B

239

(Three 2-input gates.)

Answers to Chapter 8 Problems

1.

2.

4-bit BCD inputs: A, B, C, D 4-bit excess-3 outputs: W, X, Y, Z


W = m (5,6,7,8,9) + d(10-15)
X = m (1,2,3,4,9) + d(10-15)
Y = m (0,3,4,7,8) + d(10-15)
Z = m (0,2,4,6,8) + d(10-15)

0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15

X
X
X
X
X
X
X
X
X
X

X
X

X
X

X
X

X
X

X
X

X
X

X
X

X
X
X
X
X
X

X
X

X
X

X
X

X
X

X
X

X
X

W = m (0,4,6,8,11,12,14,15)
X = m (0,1,4,5,6,7,8,9,11,12,14,15)
Y = m (0,1,4,5,11,15)
Z = m (0,1,3,4,5,6,7,8,9,11,12,14,15)

0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15

X
X

240

X
X
X
X
X
X
X
X
X

3.

f1 = ACD + BCD + ABD


f2 = AB + ACD + ABD

X
X
X

f3 = BD + ACD

X
X

X
X

X
X

X
X X

f4 = AB + BD

X
X
X

X
X
X

X
X

X
X
X

X
X

X
X

X
X
X
X
X

X
X
X
X
X

5.

f1 = ACD + BCD + ABD


f2 = AB + ACD + ABD
f3 = BD + BC
f4 = AB + BD

6.

V = A + BC + BC
W = CD + BD + ACD
X = CD + AC + BC + ABD
Y = AC + ACD
Z = X + AD

X
X

X
X
X

f1
4.

(Use two 2-input OR)


(Use one 4-input OR)
(Use one 4-input OR)
(Use one 2-input OR)
(Use one 2-input OR)

241

f2

f3

f4

Answers to Chapter 9 Problems

1.
0

D=1

Q=1

Q=0

T=1
Q=1

Q=0

1
00, 01

(c)

00, 10

JK = 10, 11
Q=1

Q=0
01, 11

Characteristic equation Q+ = MQ + NQ

2.

01, 11

00, 01

MN = 00, 10
Q=1

Q=0
10, 11

3.

Characteristic table for xy latch.


Present
inputs
x y
0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1

Present
state
Q
0
1
0
1
0
1
0
1

Next
state
Q+
d
d
1
1
0
0
0
1

Characteristic equation Q+ = x + yQ
Necessary condition x + y = 1

10, 11

Q=1

Q=0
10

242

01, 11

xy = 01

4.
clock

/preset

/clear

5.
clock

/preset

/clear

243

Answers to Chapter 10 Problems

1.
Q1Q0
0
0
1
1

0
1
1
0

Present
State
A
B
C
D

Next state, z
x=0
x=1
A, 0
B, 0
A, 0
D, 0
A, 0
C, 1
A, 0
C, 0

Present
State
A
B
C
D

Next state, z
x=0
x=1
A, 0
D, 0
B, 0
C, 1
D, 0
A, 1
C, 0
B, 0

2.
Q1Q0
0
0
1
1

0
1
1
0

3.
Q1Q
0

0
0
1
1

0
1
1
0

Present
state
A
B
C
D

Next state
x=0 x=1
D
A
D
C
A
A
D
B

Z
0
0
0
1

4.

D0 = Q3

5.

D3 = x Q2Q1Q0 + x Q1Q0

D2 = x Q1Q0 + x Q1Q0 + x Q1Q0 + x Q2

D1 = x Q0

z = Q1Q0 + x Q1Q0 + x Q2

6.

7.

D1 = Q0

(a) D1 = x

D2 = Q1

(b) J1 = x,

K1 = x

(a) D1 = x

Q0

D3 = Q2

z = Q1 Q0
J0 = y1

K0 = y1

z = y1 y0

D2 = (x + Q1 + Q0)(x + Q1 + Q0)(x + Q1 + Q0)

(b) T1 = xQ1Q0 + xQ1 + Q1Q0


(c) J1 = xQ0

D2 = Q1

T0 = xQ1 + xQ1Q0

K1 = x + Q0 J0 = x Q1

244

K0 = xQ1

z = xQ1Q0

z = xQ1Q0
z = xQ1Q0

8.

J2 = x K2 = x J1 = xQ2Q0 K1 = Q2
z = Q2Q0 + xQ0 + xQ2Q1Q0 + xQ2Q1

J0 = xQ1 + Q2Q1

9.
0

K0 = x + Q2

1
B/0

A/0
0

0
0
1
1
E/1

D/0

C/0
1

10.
y1y0
A
B
C
D

0
0
1
1

0
1
1
0

Y1Y0
x=0
x=1
00
01
00
11
10
11
00
01

Z
0
0
0
1

D1 = xy0 + y1y0
D0 = x
Z = y1y0

11.
Y1Y0, Z
y1y

x=0

x=1

0 0, 0

0 1, 0

0 0, 0

1 1, 0

0 0, 1

1 1, 0

d d, d

d d, d

D1 = xy0
D0 = x
Z = xy1

A
B
C
Unuse
d

0
0
0
1
1
1
1
0

12.
y2y1y0
0 0 0
0 0 1
0 1 1
0 1 0
1 1 0
1 0 0

Presen
t state
A
B
C
D
E
F

Next state
x=0 x=1
A
B
A
C
D
C
E
B
E
F
E
A

Z
0
0
0
0
1
1

245

T2 = xy2y1y0 + xy2y1
T1 = x(y1 y0) + xy2y1
T0 = y2 ( x y0 )
Z = y2

Answers to Chapter 11 Problems

1.

(a) 1 1 0 1 1 0 1 1
(d) 1 1 1 0 1 1 1 0

2.

(a) 23

3.

(a) 0111 1110 1001


(d) 1000 0000 0001

4.

(a)

A = 010101012 = +8510
B = 000010102 = +1010
A = 101010112 = 8510
B = 111101102 = 1010
A + B = 01010101 + 00001010 = 01011111
85 + 10 = +95
A B = 01010101 + 11110110 = (1) 01001011
85 10 = +75
(Bit in parentheses discarded)
A + B = 10101011 + 00001010 = 10110101
85 + 10 = 75
A B = 10101011 + 11110110 = (1) 10100001
85 10 = 95

(b)

A = 011010112 = +10710
A = 100101012 = 10710

(b) +96

(b) 0 0 1 0 0 0 0 0
(e) 0 1 1 1 1 1 0 1
(c) 2

(c) 0 0 0 0 0 0 0 1
(f) 1 0 0 0 0 0 0 1

(d) 106 (e) 128 (f) +127


(b) 0011 0101 0010 (c) 1001 0010 0111
(e) 1101 0000 1111 (f) 1110 1110 1010

B = 001010102 = +4210
B = 110101102 = 4210

A + B = 01101011 + 00101010 = 10010101


107 + 42 = +149
The greatest positive number for 8-bit signed number is +127. The result of A+B in 2's
complement arithmetic is a negative number, which is an overflow.
A B = 01101011 + 11010110 = (1) 01000001
107 42 = +65
A + B = 10010101 + 00101010 = 10111111
107 + 42 = 65
A B = 10010101 + 11010110 = (1) 01101011
107 42 = 149
The smallest negative number for 8-bit signed number is 128. The result of AB in
2's complement arithmetic is a positive number, which is an overflow.
(c)

A = 111010102 = -2210
B = 001011112 = +4710
A = 000101102 = +2210
B = 110100012 = 4710
A + B = 11101010 + 00101111 = (1) 00011001
22 + 47 = +25
A B = 11101010 + 11010001 = (1) 10111011
22 47 = 69
A + B = 00010110 + 00101111 = 01000101
22 + 47 = +69
A B = 00010110 + 11010001 = 11100111
22 47 = 25

(d)

A = 100000002 = 12810
B = 011111112 = +12710
A = 100000002 = (?)10
B = 100000012 = 12710
A + B = 10000000 + 01111111 = 11111111
128 + 127 = 1
A B = 10000000 + 10000001 = (1) 00000001
128 127 = 255
( can't do A + B & A B because A = +12810 can't be represented by 8 bits.)

246

5.

6.
S0
RESET
A
Z=0

0
Z=0

Z=0

X?

X?

1
B

S2
Z=0

X?

0
X?

0
Z=0

Z=1
Z=0
S4
0

X?
1
D

0
X?

Z=0

Z=1

Z=0
1

X?
0

S5

E
Z=1
1

X?

X?
Z=0

Z=1
0
S3

0
X?
Z=1

Z=0

S1

1
X?
Z=0

247

0
Z=1

7.

y3
y2
y1
y0

=
=
=
=

T0 + T1 + T2 = T3
X T0 + X T1 + X T3 = X ( T0 +T1 + T3) = X T2
X T2 + T3
X T0 + X T1 + X T2 + T3 = X ( T0 +T1 + T2) + T3 = X T3 + T3 = X + T3

8. D0 = Q0+ = x (Q0 + Q5) D1 = Q1+ = x Q0


D3 = Q3+ = x (Q2 + Q4) D4 = Q4+ = Q3
(Refer to Figure 11.12 for RESET.)
9. B 1,
10.

D2 = Q2+ = Q1
D5 = Q5+ = x (Q2 + Q4 + Q5)

B, A B 1, A B, A + B 1, B A, A, A + 1

(a) 01000
(e) 10011

(b) 11011
(f) 01111

(c) 01010
(g) 01011

248

(d) 11100
(h) 11001

Das könnte Ihnen auch gefallen