Beruflich Dokumente
Kultur Dokumente
Reporting
Tom Fitzpatrick
Verification Evangelist
info@verificationacademy.com | www.verificationacademy.com
Reporting
function void write(my_transaction t);
`uvm_info(mg", "Transaction received", UVM_NONE)
Severity
Severity
Originator/ID
File
Line
Message
Time
Verbosity
Hierarchical path
ID
`uvm_warning("id", "message")
`uvm_error
("id", "message")
`uvm_fatal
("id", "message")
From uvm_component
From uvm_sequence
From SystemVerilog module
2013 Mentor Graphics Corporation, all rights reserved.
Controlling Verbosity
`uvm_info("id", "message 1", UVM_NONE)
`uvm_info("id", "message 2", UVM_LOW)
`uvm_info("id", "message 3", UVM_MEDIUM)
`uvm_info("id", "message 4", UVM_HIGH)
`uvm_info("id", "message 5", UVM_FULL)
+UVM_VERBOSITY=UVM_LOW
Output if verbosity <= UVM_LOW
Setting Actions
uvm_top.set_report_severity_action_hier(
UVM_INFO, UVM_NO_ACTION);
Suppress all info messages
uvm_top.set_report_severity_id_hier(
mg", UVM_NO_ACTION);
Suppress all messages with ID = "ja"
Do nothing
UVM_DISPLAY
UVM_LOG
UVM_COUNT
UVM_EXIT
UVM_STOP
Call $stop
Summary 1
Test1
Test2
Reusable
verification
environment
Test3
Configurable test
bench
DUT
Summary 2
Env
Subscriber
Subscriber
Agent
Agent
Sequencer
Reusable
VIP
Monitor
Sequencer
Driver
Monitor
Layered
sequences
Driver
DUT
2013 Mentor Graphics Corporation, all rights reserved.
UVM Basics
Reporting
Tom Fitzpatrick
Verification Evangelist
info@verificationacademy.com | www.verificationacademy.com