Sie sind auf Seite 1von 85

Solutions Manual to Accompany

FUNDAMETALS OF SEMICONDUCTOR FABRICATION


G. S. May
Motorola Foundation Professor School of Electrical & Computer Engineering Georgi
a Institute of Technology Atlanta, GA, USA
S. M. SZE
UMC Chair Professor National Chiao Tung University National Nano Device Laborato
ries Hsinchu, Taiwan
1
John Wiley and Sons, Inc New York. Chicester / Weinheim / Brisband / Singapore /
Toronto
2
Contents
Ch.1 Introduction --------------------------------------------------------------
--------------- N/A Ch.2 Crystal Growth ----------------------------------------
-------------------------------- 1 Ch.3 Silicon Oxidation ----------------------
------------------------------------------------ 8 Ch.4 Photolithography--------
----------------------------------------------------------------12 Ch.5 Etching
--------------------------------------------------------------------------------
---15 Ch.6 Diffusion -----------------------------------------------------------
----------------------18 Ch.7 Ion Implantation ---------------------------------
---------------------------------------26 Ch.8 Film Deposition -----------------
--------------------------------------------------------32 Ch.9 Process Integrat
ion ---------------------------------------------------------------------40 Ch.1
0 IC Manufacturing--------------------------------------------------------------
--------65 Ch.11 Future Trends and Challenges-----------------------------------
---------------------78
0
CHAPTER 2
1. C0 = 1017 cm-3 k0(As in Si) = 0.3 CS= k0C0(1 - M/M0)k0-1 = 0.3×1017(1- x)-0.7 =
3×1016/(1 - l/50)0.7 x l (cm) CS (cm-3) 0 0 3×1016 0.2 10 3.5×1016 0.4 20 4.28×1016 0.6
30 5.68×1016 0.8 40 1.07×1017 0.9 45 1.5×1017
16 14 12 10 8 6 4 2 0 0 10 20 30 40 50 l ( cm )
2. (a) The radius of a silicon atom can be expressed as
r= 3 a 8 3 × 5.43 = 1.175Å 8
so r =
(b) The numbers of Si atom in its diamond structure are 8. So the density of sil
icon atoms is
n=
8 8 = = 5.0 × 10 22 atoms/cm 3 3 3 a (5.43Å)
(c) The density of Si is
ρ =
M / 6.02 × 10 23 1/ n
N (10 D
16
cm )
-3
=
28.09 × 5 × 10 22 6.02 × 10
23
g / cm 3 = 2.33 g / cm3.
1
3. k0 = 0.8 fo bo on in silicon M / M0 = 0.5 The density of Si is 2.33 g / cm3.
The accepto concent ation fo ρ = 0.01 Ω–cm is 9×1018 cm-3. The doping concent ation C
S is given by C s = k 0 C 0 (1 − Therefore
C0 = Cs 9 × 1018 = M k 0 −1 0.8(1 − 0.5) − 0.2 ) k 0 (1 − M0
M k0 −1 ) M0
= 9.8 × 1018 cm − 3 The amount of boron required for a 10 kg charge is
10,000 × 9.8 × 1018 = 4.2 × 10 22 boron atoms 2.338 So that
10.8g/mole × 4.2 × 10 22 atoms = 0.75g boron . 6.02 × 10 23 atoms/mole
4. (a) The molecular weight of boron is 10.81. The boron concentration can be gi
ven as
nb = number of boron atoms volume of silicon wafer 5.41 × 10 −3 g / 10.81g × 6.02 × 10 2
3 = 10.0 2 × 3.14 × 0.1 = 9.78 × 1018 atoms/cm 3
(b) The average occupied volume of everyone boron atoms in the wafer is
2
V =
1 1 = cm 3 18 nb 9.78 × 10
We assume the volume is a sphere, so the radius of the sphere ( r ) is the avera
ge distance between two boron atoms. Then
r= 3V = 2.9 × 10 −7 cm . 4π
5. The cross-sectional area of the seed is 0.55 2 π = 0.24 cm 2 The maximum weig
that can be suorted by the seed equals the roduct of the critical yield stren
gth and the seed’s cross-sectional area: (2 × 10 6 ) × 0.24 = 4.8 × 10 5 g = 480 kg The
corresonding weight of a 200-mm-diameter ingot with length l is
2
20.0 ( 2.33g/cm )π l = 480000 g 2 ∴ l = 656 cm = 6.56 m.
3
2
6. We have
M Cs / C0 = k0 1− M 0
Fractional 0 solidified 0.2
k0 −1
0.4
0.6 0.8 1.0
Cs /C0
0.05
0.06
0.08
0.12
0.23

3
Cs/Co
0.21 0.11 0.01 0 0.2 0.4 0.6 0.8 1 Fraction Solidified
7. The segregation coefficient of boron in silicon is 0.72. It is smaller than u
nity, so the solubility of B in Si under solid phase is smaller than that of the
melt. Therefore, the excess B atoms will be thrown off into the melt, then the
concentration of B in the melt will be increased. The tail end of the crystal is
the last to solidify. Therefore, the concentration of B in the tail end of grow
n crystal will be higher than that of seed end. 8. The reason is that the solubi
lity in the melt is proportional to the temperature, and the temperature is high
er in the center part than at the perimeter. Therefore, the solubility is higher
in the center part, causing a higher impurity concentration there. 9. The segre
gation coefficient of Ga in Si is 8 ×10 3 From Eq. 18
C s / C 0 = 1 − (1 − k )e − kx / L We have
x= = L 1− k ln k 1 − C s / C0
2 1 − 8 × 10 − 3 ln 8 × 10 3 1 − 5 × 1015 / 5 × 1016 = 250 ln(1.102) = 24 cm.
10. We have from Eq.18
Cs = C0[1 − (1 − ke ) exp( ke x / L)] −
4
− So the ratio Cs / C0 = [1 − (1 − ke ) exp( ke x / L)]
= 1 − (1 − 0.3) • exp(−0.3 × 1) = 0.52
at x / L = 1
= 0.38
at x/L = 2.
11. For the conventionally doped silicon, the resistivity varies from 120 Ω-cm to
155 Ω-cm. The co esponding doping concent ation va ies f om 2.5×1013 to 4×1013 cm-3.
The efo e the ange of b eakdown voltages of p+ - n junctions is given by VB ≅
ε s Ec 2
2q
( N B ) −1
=
1.05 × 10 −12 × (3 × 10 5 ) 2 ( N B ) −1 = 2.9 × 1017 / N B = 7250 to 11600 V −19 2 × 1.6 ×
∆V B = 11600 − 7250 = 4350 V
∆V ∴ B / 7250 = ±30% 2 For th nutron irradiatd silicon, ρ = 148  1.5 Ω-cm.
ncent ation is 3×1013 ( 1%). The ange of b eakdown voltage is
V B = 1.3 × 10 17 / N B = 2.9 × 10 17 / 3 × 10 13 ( 1%) = 9570 to 9762 V .
∆V B = 9762 − 9570 = 192 V
∆V ∴ B 2 12. W hav
/ 9570 = ±1% .
M s wight of GaAs at Tb C m − C l s = = = M l wight of liquid at Tb C s − C m l
Thrfor, th fraction of liquid rmaind f can b obtaind as following
5
f =
Ml l 30 = ≈ = 0.65 . M s + M l s + l 16 + 30
13. From th Fig.2.11, w find th vapor prssur of As is much highr than that
of th Ga. Thrfor, th As contnt will b lost whn th tmpratur is incr
asd. Thus th composition of liquid GaAs always bcoms gallium rich.
− 88.8 (T / 300)
14. n s = N xp(− E s / kT ) = 5 × 10 22 xp(2.3 V / kT ) = 5 × 10 22 xp
= 1.23 × 10 −16 cm −3 ≈ 0 at 27 0 C = 300 K = 6.7 × 1012 cm −3 = 6.7 × 1014 cm −3 at 900 0
173 K at 1200 0 C = 1473 K .
15. n f = NN ` xp(− E f / 2kT ) = 5 × 10 22 × 1 × 10 27  −3.8V / kT ×  −1.1V / 2 kT =
7 × 10 24 ×  −94.7 /(T / 300 ) = 5.27 × 10 −17 at 27oC = 300 K =2.14×1014 at 900oC = 1173
. 16. 37 × 4 = 148 chips In trms of litho stppr considrations, thr ar 500 µm
spac tolranc btwn th mask boundary of two dic. W divid th wafr into
four symmtrical parts for convnint dicing, and discard th primtr parts of
th wafr. Usually th quality of th primtr parts is th worst du to th 
dg ffcts.
6
7
CHAPTER 3
 
1. From Eq. 11 (withτ=0) x2+Ax = B From Figs.  3.6 and 3.7,we ob ain B/A =1.5 µm /
hr, B=0.47 µm 2/hr, herefore A= 0.31 µm. The ime required o grow 0.45µm oxide is
1 2 1 (x + Ax) = (0.45 2 + 0.31 × 0.45) = 0.72 hr = 44 min . B 0.47

=
     
2.
 Af er a window is opened in he oxide for a second oxida ion, he ra e cons a 
n s are B = 0.01 µm 2/hr, A= 0.116 µm(B/A = 6 ×10-2 µm /hr). If he ini ial oxide hick
ness is 20 nm = 0.02 µm for dry oxida ion, he value ofτcan be ob ained as followed:
(0.02)2 + 0.166(0.02) = 0.01 (0 +τ) or
τ= 0.372 hr.
    
For an oxida ion ime of 20 min (=1/3 hr), he oxide hickness in he window  are
a is x2+ 0.166x = 0.01(0.333+0.372)
 = 0.007
 or x = 0.0350 µm = 35 nm
 (ga e oxide).
For he field oxide wi h an original hickness 0.45 µm, he effec iveτis given by
τ=
1 2 1 (0.45 2 + 0.166 × 0.45) = 27.72 hr. ( x + Ax) = B 0.01
x2+ 0.166x
 = 0.01(0.333+27.72) = 0.28053 or x = 0.4530 µm (an increase of 0.003µm on
ly for he field oxide). 3. x2 + Ax = B ( + τ )
(x + A 2 A2 ) − = B (t + τ ) 2 4
(x +

A2 A 2 ) =B + ( + τ ) 2 4B
8
      
when
 >> τ , >> hen, x2 = B similarly, when >> τ , >> hen, x =
B ( + τ ) A
A2 , 4B
A2 , 4B
 
4. A 980℃(=1253K) and 1 a m, B = 8.5×10-3 µm 2/hr, B/A = 4×10-2 µm /hr (from Figs. 3.6 an
d 3.7).
 Since A ≡2D/k , B/A =kC0/C1, C0 = 5.2×1016 molecules/cm3 and C1 = 2.2×1022 cm
-3 , he diffusion coefficien is given by
Ak A B C1 = ⋅ 2 2 A C0 B C1 = 2 C 0
D= =
8.5  10 −3 2.2  10 22 µm 2 / hr 2 5.2  1016 = 1.79  10 3 µm 2 / hr = 4.79  10 9 cm 2
5. For impurity in the oxidation process of silicon, segregation coefficeint = 3
 1011 3 = = 0.006 . 13 500 5  10 equilibrium concentration of impurity in silicon
. equilibrium concentration of impurity in SiO 2
6.
κ=
7. The SUPREM input file for the first oxidation step is:
TITLE COMMENT INITIALIZE COMMENT DIFFUSION PRINT STOP Problem 3 7a Initialize si
licon substrate <100> Silicon Oxidize the wafer for 60 minutes at 1100 C in dry
O2 Time=60 Temperature=1100 DryO2 Layers End Problem 3 7a
The result of the PRINT Layers command is:
9
layer (microns) 2 1
material type (microns) OXIDE SILICON

thic ness node node
dx
dxmin
top 798 805
bottom no. 804 1000
0.1088 0.0100 0.0010 1.9521 0.0100 0.0010

This indicates an oxide thic ness of 0.1088 µm, which means 0.44 * 0.1088 µm = 0.047
9 µm of silicon has been consumed during the process (i.e., the Si/SiO2 interface
is 0.0479 µm below the original Si surface). The figure below is a graphical repre
sentation.
For the half of the wafer, the oxide is removed, and the wafer is re oxidized in
wet O2. For this half, we use the following SUPREM input file:
TITLE COMMENT INITIALIZE COMMENT DIFFUSION COMMENT ETCH Problem 3 7b Initialize
silicon substrate <100> Silicon Oxidize the wafer for 60 minutes at 1100 C in dr
y O2 Time=60 Temperature=1100 DryO2 Remove the oxide Oxide All
COMMENT
PRINT STOP
Oxidize the wafer for 30 minutes at 1000 C in wet O2
Layers End Problem 3 7b
DIFFUSION Time=30 Temperature=1000 WetO2
The result of this PRINT Layers command is: 
layer no. 2 1 material type OXIDE SILICON thic ness (microns) dx dxmin top botto
m (microns) node node 803 815 814 1000
0.2291 0.0100 0.0010 1.8513 0.0100 0.0010

This indicates a final oxide thic ness of 0.2291 µm on the etched side, which mean
s an additional 0.44
10
* 0.2291 µm = 0.1008 µm of silicon has been consumed during the process. The total d
istance from the Si/SiO2 interface to the original Si surface on this side is th
erefore 0.0479 µm + 0.1008 µm = 0.1487 µm. The unetched side is simulated using the SU
PREM input file:
TITLE COMMENT INITIALIZE COMMENT DIFFUSION
Problem 3 7c Initialize silicon substrate <100> Silicon Oxidize the wafer for 60
minutes at 1100 C in dry O2 Time=60 Temperature=1100 DryO2
COMMENT
PRINT STOP
Oxidize the wafer for 30 minutes at 1000 C in wet O2
Layers End Problem 3 7c
DIFFUSION Time=30 Temperature=1000 WetO2
The result of this PRINT Layers command is:
layer no. 2 1 material type thic ness (microns) dx dxmin top bottom (microns) no
de node 798 813 812 1000
OXIDE SILICON
0.2897 0.0100 0.0010 1.8725 0.0100 0.0010
On this side, a total of 0.44 * 0.2897 µm = 0.1275 µm of Si is consumed. The total d
istance from the Si/SiO2 interface to the original Si surface on this side is 0.
44 * 0.2987 µm = 0.1275 µm. The figure below is a graphical representation of the fi
nal structure.
11
The step heights on the surface and in the substrate are 0.0818 µm and 0.0212 µm, re
spectively.
12
CHAPTER 4
1. With reference to Fig. 2 for class 100 clean room we have a total of 3500 par
ticles/m3 with particle sizes ≥ 0.5 µm 21  3500 = 735 particles/m2 with particle size
s ≥ 1.0 µm 100 4.5  3500 = 157 particles/m2 with particle sizes ≥ 2.0 µm 100 Therefore, (
a) 3500 735 = 2765 particles/m3 between 0.5 and 1 µm (b) 735 157 = 578 particles/m
3 between 1 and 2 µm (c) 157 particles/m3 above 2 µm. 2.
Y = Π e − D1 A
n =1
9
A = 50 mm2 = 0.5 cm2 Y = e −4( 0.1 0.5)  e −4 ( 0.25 0.5)  e −1(1 0.5) = e −1.2 = 30.1% .
3. The available exposure energy in an hour is 0.3 mW2/cm2  3600 s =1080 mJ/cm2 F
or positive resist, the throughput is 1080 = 7 wafers/hr 140 For negative resist
, the throughput is 1080 = 120 wafers/hr . 9 4. (a) The resolution of a projecti
on system
 is given by
l m = 1
λ
NA
= 0 .6 ×
0.193μ m = 0.178 µm 0.65
DOF = k 2
0.193µm = 0.228 µm = 0 .5 2 ( NA) (0.65)
2
λ
(b)
We can increase NA to improve the reso ution. We can adopt reso ution enhancemen
t techniques (RET) such as optica  proximity correction (OPC) and phase-shifting
Masks (PSM). We can a so deve op new resists that provide ower k1 and higher k
2 for better
13
reso ution and depth of focus. (c) PSM technique changes k1 to improve reso utio
n. 5. (a) Using resists with high γ value can result in a more vertical profile bu
t throu hput decreases. (b) Conventional resists can not be used in deep UV lith
o raphy process because these resists have hi h absorption and require hi h dose
to be exposed in deep UV. This raises the concern of dama e to stepper lens, lo
wer exposure speed and reduced throu hput.
6. (a)
A shaped beam system enables the size and shape of the beam to be varied, thereb
y minimizin the number of flashes required for exposin a iven area to be patt
erned. Therefore, a shaped beam can save time and increase throu hput compared t
o a Gaussian beam.
(b)
We can make ali nment marks on wafers usin e-beam and etch the exposed marks. W
e can then use them to do ali nment with e-beam radiation and obtain the si nal
from these marks for wafer ali nment. X-ray litho raphy is a proximity printin
litho raphy. Its accuracy requirement is very hi h, therefore ali nment is diffi
cult.
(c) X-ray litho raphy usin synchrotron radiation has a hi h exposure flux so X-
ray has better throu hput than e-beam.
7. (a) To avoid the mask dama e problem associated with shadow printin , project
ion printin exposure tools have been developed to project an ima e from the mas
k. With a 1:1 projection printin system is much more difficult to produce defec
t-free masks than it is with a 5:1 reduction step-and-repeat system. (b) It is n
ot possible. The main reason is that X-rays cannot be focused by an optical lens
. When it is throu h the reticle. So we can not build a step-and-scan X-ray lith
o raphy system.
8. All of the above values can be entered from the Parameters menu or by clickin
on the appropriate
14
icon on the toolbar. The resultin resist profile is shown in the fi ure below.
In comparison to Example 3, we see that no resist feature is printed under the m
odified process conditions. The combination of the lon pre-bake time and low ex
posure dose prevents the feature from bein defined.
15
CHAPTER 5
1.
As shown in the fi ure, the profile for each case is a se ment of a circle with
ori in at the initial mask-film ed e. As overetchin proceeds the radius of curv
ature increases so that the profile tends to a vertical line.
2.
(a) 20 sec 0.6 × 20/60 = 0.2 µm…..(100) plane 0.6/16 × 20/60 = 0.0125 µm……..(110) plane 0.6
0 × 20/60 = 0.002 µm…….(111) plane
Wb = W0 − 2l = 1.5 − 2  0.2 = 1.22 µm
(b) 40 sec 0.6  40/60 = 0.4 µm….(100)plane 0.6/16  40/60 = 0.025 µm…. (110) plane 0.6/100
40/60 = 0.004 µm…..(111) plane
Wb = W0 − 2l = 1.5 − 2  0.4 = 0.93 µm
(c)
60 sec 0.6 1 = 0.6 µm….(100)plane
0.6/16 1 = 0.0375 µm…. (110) plane 0.6/100 1= 0.006 µm…..(111) plane
Wb = W0 − 2l = 1.5 − 2  0.6 = 0.65 µm.
3. Using the data in Prob. 2, the etched pattern profiles on <100> Si are shown
in below. (a) 20 sec l = 0.012 µm, W0 = Wb = 1.5 µm
16
(b) 40 sec
l = 0.025 µm, W0 = Wb = 1.5 µm
(c) 60 sec l = 0.0375 µm W0 = Wb = 1.5 µm.
4. If we protect the IC chip areas (e.g. with Si3N4 layer) and etch the wafer fr
om the top, the width of the bottom surface is W = W1 + 2l = 1000 + 2  625 = 1884
µm The fraction of surface area that is lost is (W 2 − W12 ) / W 2  100%=(18842 1000
2) /18842  100% = 71.8 % In terms of the wafer area, we have lost 71.8 %  π (15 / 2)
2 =127 cm2 Another method is to define masking areas on the backside and etch fr
om the back. The width of each square mask centered with resect of IC chi is g
iven by W = W1 − 2l = 1000 − 2  625 = 116 µm Using this method, the fraction of the top
surface area that is lost can be negligibly small.
5. 1 Pa = 7.52 m Torr PV = nRT 7.52 /760  10 3 = n/V 0.082  273 n/V = 4.42  10 7 mol
e/liter = 4.42  10 7  6.02  1023/1000 =2.7 1014 cm 3 mean–free–path
λ = 5 × 10 −3 / P cm = 5  10 3 1000/ 7.52 = 0.6649 cm = 6649 µm
150Pa = 1128 m Torr PV = nRT
17
1128/ 760  10 3 = n/V  0.082  273 n/V = 6.63  10 5 mole/liter = 6.63 10 5 6.02 1023/10
= 4  1016 cm 3 mean free path
λ = 5 × 10 −3 / P cm = 5  10 3 1000/1128 = 0.0044 cm = 44 µm.
6. Si Etch Rate (nm/min) = 2.86  10 13  n F  T
1 2
e

− Ea
RT
= 2.86  10
13

3 10  (298)
15
1
2

e
−2.48 103 1.987  298
= 224.7 nm/min.
7. SiO2 Etch Rate (nm/min) = 0.614  10 Etch selectivity of SiO2 over Si =

13
3 10  (298)

15
1
2
e

−3.76 103 1.987 298


= 5.6 nm/min
5.6 = 0.025 224.7 0.614 ( −3.76+ 2.48)1.987 298 e = 0.025 . 2.86
Or etch rate (SiO2)/etch rate (Si) =
8. A three–step process is required for polysilicon gate etching. Step 1 is a nons
elective etch process that is used to remove any native oxide on the polysilicon
surface. Step 2 is a high polysilicon etch rate process which etches polysilico
n with an anisotropic etch profile. Step 3 is a highly selective polysilicon to
oxide process which usually has a low polysilicon etch rate. 9. If the etch rate
can be controlled to within 10 %, the polysilicon may be etched 10 % longer or
for an equivalent thic ness of 40 nm. The selectivity is therefore 40 nm/1 nm =
40. 10. Assuming a 30% overetching, and that the selectivity of Al over the phot
oresist maintains 3. The minimum photoresist thic ness required is
18
(1+ 30%)  1 µm/3 = 0.433 µm = 433.3 nm. 11.
ωe =
qB me
9
1.6 × 10 −19 × B 2π × 2.45 × 10 = 9.1 × 10 −31 B = 8.75 × 10 2(tesla) = 875 (gauss). 12. Tr
al RIE generates lo
density lasma (109 cm 3)
ith high ion energy. ECR and ICP
generate high density lasma (1011 to 1012 cm 3)
ith lo
ion energy. Advantage
s of ECR and ICP are lo
etch damage, lo
microloading, lo
asect ratio deende
nt etching effect, and simle chemistry. Ho
ever, ECR and ICP systems are more c
omlicated than traditional RIE systems. 13. The corrosion reaction requires the
resence of moisture to roceed. Therefore, the first line of defense in contro
lling corrosion is controlling humidity. Lo
humidity is essential,. esecially
if coer containing alloys are being etched. Second is to remove as much chlori
ne as ossible from the
afers before the
afers are exosed to air. Finally, ga
ses such as CF4 and SF6 can be used for fluorine/chlorine exchange reactions and
olymeric encasulation. Thus, Al Cl bonds are relaced by Al F bonds. Whereas
Al Cl bonds
ill react
ith ambient moisture and start the corrosion rocess , A
l F bonds are very stable and do not react. Furthermore, fluorine
ill not catal
yze any corrosion reactions.
19
CHAPTER 6
1. Ea(boron) = 3.46 eV, D0 = 0.76 cm2/sec From Eq. 6,
D = D0 ex(
− Ea − 3.46 −15 2 ) = 0.76 ex = 4.142 × 10 cm /s −5 kT 8.614 × 10 × 1223
L = Dt = 4.142 × 10 −15 × 1800 = 2.73 × 10 −6 cm
From Eq. 9, C ( x ) = C s erfc(
x x ) = 1.8 × 10 20 erfc −6 2L 5.46 × 10
If x = 0, C (0) = 1.8 × 10 20 atoms /cm 3 ; x = 0.05 ×10 4, C(5× 10 6) = 3.6 × 1019 atom
s/cm3; x = 0.075 ×10 4 , C(7.5×10 6) = 9.4 ×1018 atoms/cm3; x = 0.1×10 4, C(10 5) = 1.8 ×
1018 atoms/cm3;
x = 0.15× 10 4, C(1.5×10 5) = 1.8× 1016 atoms/cm3.
The x j = 2 Dt (erfc 1
C sub ) = 0.15µm Cs
Total amount of doant introduced = Q(t) = 2
C s L = 5.54 × 1014 atoms/cm2.
π
− 3.46 − Ea −14 2 2. D = D0 ex = 0.76 ex = 4.96 × 10 cm /s −5 kT 8.614 ×
, C S = C (0, t ) =
S
πDt
= 2.342 × 1019 atoms/cm 3
x x C ( x) = C S erfc = 2.342 × 1019 erfc −5 2L 2.673 × 10
If x = 0, C(0) = 2.342 × 1019 atoms/cm3; x = 0.1×10 4, C(10 5) = 1.41×1019 atoms/cm3;
x = 0.2×10 4, C(2×10 5) = 6.79×1018 atoms/cm3; x = 0.3×10 4, C(3×10 5) = 2.65×1018
20
atoms/cm3;
x = 0.4×10 4, C(4×10 5) = 9.37×1017 atoms/cm3; x = 0.5×10 4, C(5×10 5) = 1.87×1017
atoms/cm3;
x = 0.6×10 4, C(6×10 5) = 3.51×1016 atoms/cm3; x = 0.7×10 4, C(7×10 5) = 7.03×1015
atoms/cm3;
x = 0.8×10 4, C(8×10 5) = 5.62×1014 atoms/cm3.
The x j = 4 Dt ln
S C B πDt
= 0.72 µm .
10 −8 3. 1 × 1015 = 1 × 1018 ex 4 × 2.3 × 10 −13 t
t = 1573 s = 26 min For the constant total doant diffusion case, Eq. 15 gives C
S =
S = 1 × 1018 π × 2.3 × 10 −13 × 1573 = 3.4 × 1013 atoms/cm 2 .
S
πDt
4. The rocess is called the raming of a diffusion furnace. For the ram do
n s
ituation, the furnace temerature T is given by T = T0  rt
here T0 is the init
ial temerature and r is the linear ram rate. The effective Dt roduct during a
ram do
n time of t1 is given by
( Dt ) eff = ∫
t1 0
D (t )dt
In a tyical diffusion rocess, raming is carried out until the diffusivity is
negligibly small. Thus the uer limit t1 can be taken as infinity:
21
1
T
=
1
T0 − rt

1
T0
(1 +
rt T0
+ ...)
and
− Ea = D ex − E a (1 + rt + ...) = D (ex − E a )(ex − rE a t ...) ≈ D (T ) ex − rE
= D0 ex 0 0 0 kT 2 2 kT T0 kT0 kT0 kT0 0

here D(T0) is the diffusion coefficient at T0. Substituting the above equation
into the exression for the effective Dt roduct gives
( Dt ) eff ≈ ∫ D (T0 ) ex
0

− rE a t kT0
2
dt = D (T0 )
kT0 rE a
2
Thus the ram do
n rocess results in an effective additional time equal to kT02
/rEa at the initial diffusion temerature T0. For hoshorus diffusion in silico
n at 1000°C,
e have from Fig. 6.4:
D(T0) = D (1273 K) = 2× 10 14 cm2/s r=
1273 − 773 20 × 60
= 0.417 K / s
Ea = 3.66 eV
Therefore, the effective diffusion time for the ram do
n rocess is
kT
2 0 a
rE
=
1.38 × 10
−23
(1273)
2 −19
0.417( 3.66 × 1.6 × 10
)
= 91s ≈ 1.5 min .
5. For lo
concentration drive in diffusion, the diffusion is given by Gaussian
distribution. The surface concentration is then
C (0, t ) = S S E = ex a πDt πD0 t 2kT
22
dC S E − t 3 / 2 = ex a dt πD 0 2kT 2
C = −0.5 × t
or
dC C
= −0.5 ×
dt t

hich means 1% change in diffusion time


ill induce 0.5% change in surface conce
ntration.
dC S E − E a ex a = 2 dT πD0 t 2kT 2kT E = −C a 2 2kT
or
dC C
=
−E
a
2 kT
×
dT T
=
− 3.6 × 1.6 × 10 −19 2 × 1.38 × 10
− 23
× 1273
×
dT T
= −16.9 ×
dT T

hich means 1% change in diffusion temerature


ill cause 16.9% change in surfac
e concentration.
6. At 1100°C, ni = 6×1018 cm 3. Therefore, the doing rofile for a surface concentr
ation of 4 × 1018 cm 3 is given by the “intrinsic” diffusion rocess: x C ( x, t ) = C
s erfc 2 Dt

here Cs = 4× 1018 cm 3, t = 3 hr = 10800 s, and D = 5x10 14 cm2/s. The diffusion
length is then
Dt = 2.32 × 10 −5 cm = 0.232µm x The distribution of arsenic is C ( x) = 4 × 1018 erfc
.64 × 10
The junction deth can be obtained as follo
s
xj 1015 = 4 × 1018 erfc 4.64 × 10 −5
xj = 1.2× 10 4 cm = 1.2 µm.
23
7. At 900°C, ni = 2× 1018 cm 3. For a surface concentration of 4×1018 cm 3, given by t
he “extrinsic” diffusion rocess
D = D0 e
− Ea kT
4 × 1018 n − 23 × = 45.8e 1.38×10 ×1173 × = 3.77 × 10 −16 cm 2 /s ni 2 × 1018
−4.05×1.6×10 −19
x j = 1.6 Dt = 1.6 3.77 × 10 −16 × 10800 = 3.23 × 10 −6 cm = 32.3 nm .
8. Intrinsic diffusion is for doant concentration lo
er than the intrinsic carr
ier concentration ni at the diffusion temerature. Extrinsic diffusion is for do
ant concentration higher than ni.

9. The SUPREM inut file for this roblem is:


TITLE COMMENT INITIALIZE COMMENT DIFFUSIONT COMMENT DIFFUSIONT PRINT PLOT STOP P
roblem 6 9 Initialize silicon substrate Thickness = 5 <100> Silicon Phoshor Con
centration=1e16 Diffuse Boron ime=15 Temerature=850 Boron Solidsol Perform Driv
e In ime=360 Temerature=1175 Active Net Cmin=1e12 End Problem 6 9 Layers Active
Concentration Phoshorus Boron Net
Note that the thickness of the structure has been increased to 5 µm (in the INITIA
LIZE statement) to accommodate an anticiated deeer junction. The resulting lo
t is sho
n belo
. The junction deth occurs at aroximately 3.48 µm.
24
10. The SUPREM inut file for this roblem is:
TITLE COMMENT INITIALIZE COMMENT DIFFUSION COMMENT DIFFUSION COMMENT DIFFUSION C
OMMENT DIFFUSION PRINT PLOT Problem 6 10 Initialize silicon substrate Thickness
= 5 <100> Silicon Phoshor Concentration=1e16 Boron Prede ime=15 Temerature=85
0 Boron Solidsol Boron Drive In Time=360 Temerature=1175 Phoshorus Prede Time
=30 Temerature=850 Phoshor Solidsol Phoshorus Drive In Time=30 Temerature=10
00 Active Net Cmin=1e13 Layers Active Concentration Phoshorus Boron Net
25
STOP
End Problem 6 10
The resulting lot is sho
n belo
. There are 2 n junctions formed. The junction
deths occur at aroximately 0.45 and 3.49 µm, resectively.
26
CHAPTER 7
1. The ion dose er unit area is
It 10 × 10 −6 × 5 × 60 N q 1.6 × 10 −19 = = = 2.38 × 1012 ions/cm 2 10 2 A A π ×( ) 2
From Eq. 1 and Examle 1, the eak ion concentration is at x = R. Figure 7.6 in
dicates the σp i 20 nm. Therefore, the ion concentration i
S
σ p 2π
2.
=
2.38 × 1012 20 × 10
−7

= 4.74 × 1017 cm −3 .
From Fig. 7.6, the R = 230 nm, and σp = 62 nm. The peak concentration i
S
σ p 2π
From Eq. 1,
=
2 × 1015 62 × 10
−7

= 1.29 × 10 20 cm −3
− (x j − R )2 1015 = 1.29 × 10 20 ex 2 2σ p
xj = 0.53 µm.
3. Do e per unit area =
Q C 0 ∆VT 3.9 × 8.85 × 10 −14 × 1 = = = 8.6 × 1011 cm − 2 q q 250 × 10 −8 × 1.6 × 10 −19
From Fig. 7.6 and Exampl 1, th pak concntration occurs at 140 nm from th su
rfac. Also, it is at (140 25) = 115 nm from th Si SiO2 intrfac.
27
4. Th total implantd dos is intgratd from Eq. 1
QT = ∫
∞ 0
S
σp
− (x − Rp )2 R p S S S ) = [2 − erfc( 2.3)] = × 1.9989 exp dx = 1 +
The total do e in ilicon i a follow (d = 25 nm): Q Si = ∫
∞ d
S
σp
− (x − Rp )2 R p − d S S S ) = [2 − erfc(1.87)] = × 1.9918 exp dx = 1
the ratio of do e in the ilicon = QSi/QT = 99.6%.
5. The projected range i 150 nm ( ee Fig. 7.6). The average nuclear energy lo
over the range i 60 eV/nm (Fig. 7.5). 60× 0.25 = 15 eV (energy lo of boron ion
per each lattice plane) the damage volume = VD = π (2.5 nm)2(150 nm) = 3× 10 18 cm3
total damage layer = 150/0.25 = 600 dislaced atom for one layer = 15/15 = 1 da
mage density = 600/VD = 2×1020 cm 3 2×1020/5.02×1022 = 0.4%. 6. The higher the temera
ture, the faster defects anneal out. Also, the solubility of electrically active
doant atoms increases
ith temerature.
Q1 C ox
7.
∆V t = 1 V =
whr Q1 is th additional charg addd just blow th oxid smiconductor surfa
c by ion implantation. COX is a paralll plat capacitanc pr unit ara givn
by C ox =
εs
d (d is th oxid thicknss, ε s is th prmittivity of th smiconductor)
28
1V × 3.9 × 8.85 × 10 −14 F/cm C Q1 = ∆Vt C ox = = 8.63 × 10 −7 −6 cm 2 0.4 × 10 cm 8.63 × 1
012 ions/cm2 −19 1.6 × 10 Total implant dos = 5.4 × 1012 = 1.2 × 1013 ions/cm2. 45%
8.
Th discussion should mntion much of Sction 7.3. Diffusion from a surfac film
avoids problms of channling. Tiltd bams cannot b usd bcaus of shadowing
problms. If low nrgy implantation is usd, prhaps with pramorphization by
silicon, thn to kp th junctions shallow, RTA is also ncssary.
9.
From Eq.11
Sd 1 0.4 − 0.6 = rfc = 0.84 S 2 0.2 2
Th ff ctiv n ss of th photorsist mask is only 16%.
    

Sd 1 1 − 0.6 = rfc = 0.023 S 2 0.2 2


Th ff ctiv n ss of th photorsist mask is 97.7%.
    

10.
 u T= = 10 −5 u 2 π 1
∴u = 3.02
d = R + 4.27 σ p = 0.53 + 4.27 × 0.093 = 0.927 µm
2
29
11. The SUPREM input file for thi problem i :
TITLE Problem 7 11 COMMENT Initialize ilicon ub trate INITIALIZE <100> Silicon
Pho phor Concentration=1e14 COMMENT Implant Boron IMPLANT Boron Energy=30 Do e=
1e13 PRINT Layer Active Concentration Pho phoru Boron Net PLOT Active Net Cmin
=1e11 STOP End Problem 7 11
The re ulting doping profile i hown in the figure below.
Examining thi figure and the SUPREM output file give : (a) The peak of the impl
anted boron occur at a depth of 0.11 µm. (b) The boron concentration at the peak
i 8.59e17 cm 3. (c) The junction depth i 0.4492 µm.
30
12. The SUPREM input parameter that mu t be determined are the do e and implant
energy. The do e can be determined from Eq. 11 in Chapter 6 a
Q(t ) ≅ 1.13C Dt
where C can be read directly from the SUPREM output file for Example 3 in Chapt
er 6 a 4.6e19 cm 3, D ≈ 2.3e − 16 cm2/ for boron at 850 oC ( ee Figure 6.4), and t
= 900 . Sub tituting the e number into the above expre ion give a do e of 2
.36e13 cm 2. The implant energy required can be approximated by matching the dif
fu ed and implanted concentration profile at the urface (x = 0) and at the jun
ction and u ing Eq. 1 to olve for Rp and σp imultaneou ly. Note from the SUPREM
output file corre ponding to Example 3 in Chapter 6 that the junction occur at
xj = 0.0555 µm, at which point the doping concentration i 1016 cm 3. A tated be
fore, the urface concentration i 4.6e19 cm 3. The e equation cannot be olved
analytically, but after everal iteration , the approximate value of Rp and σp r
equired are found to be 0.011 µm and 0.008 µm, re pectively. The e value corre pond
to an implant energy of 5 keV (extrapolating from Figure 7.6a). The require SUP
REM input file i therefore:
TITLE COMMENT INITIALIZE COMMENT IMPLANT PRINT PLOT STOP Problem 7 12 Initialize
ilicon ub trate <100> Silicon Pho phor Concentration=1e16 Implant Boron Boron
Energy=5 Do e=2.36e13 Layer Active Concentration Pho phoru Boron Net Active N
et Cmin=1e11 End Problem 7 12
The re ulting doping profile appear in the figure below.
31
32
CHAPTER 8
1.
ν av =
∫ ∫

0 ∞ 0
vf v dv f v dv
=
8kT πM
3/ 2
4 M Where fν = π 2kT
ν 2 ex −
Mν 2 2kT

M: Molecular mass k: Boltzma co sta t = 1.38×10 23 J/k T: The absolute temeratu
re ν: Seed of molecular So that
ν av =
2. λ = 0.66 cm P( in Pa ) 0.66
2
π
2 × 1.38 × 10 −23 × 300 = 468 m/sec = 4.68 × 10 4 cm/sec . 29 × 1.67 × 10 − 27
∴P = 3.
λ
=
0.66 = 4.4 × 10 −3 Pa . 150
For close acki g arra ge, there are 3 ie shaed sectio s i the equilateral tr
ia gle. Each sectio correso ds to 1/6 of a atom. Therefore
1 3× umber of atoms co tai ed i the tria gle 6 = Ns = area of the tria gle 1 3 d×
d 2 2 =
2 3d 2
=
2 3 (4.68 × 10 −8 ) 2
= 5.27 × 1014 atoms/cm 2 .
33
d d
4.
(a) The ressure at 970°C (=1243K) is 2.9×10 1 Pa for Ga a d 13 Pa for As2. The arri
val rate is give by the roduct of the imri geme t rate a d A/πL2 : P A Arrival r
ate = 2.64×1020 2 MT πL
2.9 × 10 −1 5 = 2.64×1020 2 69.72 × 1243 π × 12
= 2.9×1015 Ga molecules/cm2 –s The growth rate is determi ed by the Ga arrival rate
a d is give by (2.9×1015)×2.8/(6×1014) = 13.5 Å/s = 810 Å/mi . (b) The ressure at 700ºC
or ti is 2.66×10 6 Pa. The molecular weight is 118.69. Therefore the arrival rate
is
2.66 × 10 −6 5 10 2 2.64 × 10 20 118.69 × 973 π × 12 2 = 2.28 × 10 molecula
If Sn atoms are fully incorporated and active in the Ga sublattice of GaAs, we h
ave an electron concentration of
2.28  1010 2.9  1015 4.42  10 22 2 = 1.74  1017 cm 3 .
5. The x value is about 0.25, which is obtained from Fig. 8.7.
34
6. The lattice constants for InAs, GaAs, Si and Ge are 6.05, 5.65,5.43, and 5.65
Å, respectively. Therefore, the f value for InAs GaAs system is
f = (5.65 − 6.05) 6.05 = −0.066
And for Ge Si system is
f = (5.43 − 5.65) 5.65 = −0.39 .
7. (a) For SiNxHy
Si 1 = = 1.2 N x
∴ x = 0.83
atomic % H =
100 y = 20 1 + 0.83 + y
∴ y = 0.46
The empirical formula is SiN0.83H0.46.
(b) ρ= 5× 1028e-33.3×1.2 = 2× 1011 Ω-cm As the Si/N atio inc eases, the esistivity dec e
ases exponentially.
8.
Set Ta2O5 thickness = 3t, ε1 = 25 SiO2 thicknss = t, ε2 = 3.9 Si3N4 thicknss = t, ε3
= 7.6, ara = A thn C Ta 2O5 = 1 C ONO C ONO C Ta 2 O5 C ONO =
ε 1ε 0 A
3t t + t + t
ε 2ε 0 A ε 3ε 0 A ε 2ε 0 A εεε A = 2 3 0 (ε 2 + 2ε 3 )t
=
ε 1 (ε 2 + 2ε 3 ) 25(3.9 + 2 × 7.6) = = 5.37 . 3ε 2 ε 3 3 × 3.9 × 7.6
35
9.
St BST thicknss = 3t, ε1 = 500, ara = A1 SiO2 thicknss = t, ε2 = 3.9, ara = A2
Si3N4 thicknss = t, ε3 = 7.6, ara = A2 thn
ε 1ε 0 A1
3t
=
ε 2 ε 3ε 0 A2 (ε 2 + 2ε 3 )t
A1 = 0.0093. A2
10. Lt Ta2O5 thicknss = 3t, ε1 = 25 SiO2 thicknss = t, ε2 = 3.9 Si3N4 thicknss =
t, ε3 = 7.6 ara = A thn
ε 1ε 0 A
3t d=
=
ε 2ε 0 A
d = 0.468t.
3ε 2 t
ε1
36
11. Th dposition rat can b xprssd as r = r0 xp ( Ea/kT) whr Ea = 0.6 
V for silan oxygn raction. Thrfor for T1 = 698 K
1 r (T2 ) 1 = 2 = xp 0.6 kT − kT r (T1 ) 2 1 ln 2 = 0.6 300 3

4 T2 =1030 K= 757 ℃. 12. We can useenergy-enhanced
  CVD me hods such as using a fo
cused energy source  or UVlamp. Ano her me hod is o use boron doped  -glass
 whi
ch will reflow a empera ures less han  900 . 13. Modera ely low
 empera ures a
re usually
 used
 for
 polysilicon
  deposi ion, and
 silane decomposi
 ion occurs a l
ower  empera ures han ha for chloride  reac ions. In addi ion, silane is used
for be ercoverage over  amorphous
 ma erials
 such SiO2. 14. There are wo reason
s. One is o minimize he hermal budge of he wafer, reducing  dopan diffusion

and
 ma erial
 degrada
 ion. In addi
 ion, fewer
 gas phase reac ions occur
 a lower
empera
  ures, resul ing in smoo her and be er adhering films. Ano her reason  i
s ha he polysilicon
 will
 have small grains. The finer grains
 are
 easier o ma
sk and e ch o givesmoo hand uniform
 edges. However,  for empera uresless ha
n 575 C he deposi ion ra e is oo low. 15. The fla -band vol age shif is
Qo C0
∆VFB = 0.5 V ~
C0 =
ε ox
d
=
3.9 × 8.85 × 10 −14 = 6.9 × 10 −8 F/cm − 2 . 500 × 10 −8
∴ Numbr of fixd oxid charg is
37
0.5C 0 0.5 × 6.9 × 10 −8 = = 2.1 × 1011 cm 2 −19 q 1.6 × 10
     
To rmov ths chargs, a 450℃ hea rea men  in hydrogen
 forabou 30 minu es is
required. 16. 20/0.25 = 80 sqs. Therefore, he resis ance of he me al line is
5×50 = 400 Ω .
17.
Fo TiSi2 30 × 2.37 = 71.1nm Fo CoSi2 30 × 3.56 = 106.8nm.
18.
Fo TiSi2: Advantage: low esistivity It can educe native-oxide laye s TiSi2 on
the gate elect ode is mo e esistant to high-field-induced hot-elect on deg ada
tion. Disadvantage: b idging effect occu s. La ge Si consumption du ing fo mati
on of TiSi2 Less the mal stability Fo CoSi2: Advantage: low esistivity High te
mpe atu e stability No b idging effect A selective chemical etch exits Low shea
fo ces Disadvantage: not a good candidate fo polycides
38
19. (a) R = ρ
εA
d
L 1 = 2.67 × 10 − 6 × = 3.2 × 10 3 Ω −4 −4 A 0.28 × 10 × 0.3 × 10
=
C=
εTL
S
=
3.9 × 8.85 × 10 −14 × 0.3 × 10 −4 × 1 × 10 4 × 10 −6 = 2.9 × 10 −13 F 0.36 × 10 − 4
RC = 3.2 × 10 5 × 2.9 × 10 −15 = 0.93 ns L 1 = 1.7 × 10 − 6 × = 2 × 10 3 Ω −4 −4 A 0.28 × 1
(b) R = ρ C=
2.8 × 8.85 × 10 −14 × 0.3 × 10 −4 × 1 = 2.1 × 10 −13 F −4 d S 0.36 × 10 3 −13 RC = 2 × 10 ×
= = 0.42 = 0.45. 093
εA
εTL
(c) W can dcras th RC dlay by 55%. Ratio =
20. (a)
R=ρ
1 L = 2.67 × 10 − 6 × = 3.2 × 10 3 Ω 4 4 A 0.28 × 10 × 0.3 × 10
3.9 × 8.85 × 10 −14 × 0.3 × 10 −4 × 1 × 3 = 8.7 × 10 −13 F C= = = 4 d S 0.36 × 10 RC = 3.2
= 2.8 s.
εA
εTL
.
(b) R = ρ 1 L = 1.7 × 10 − 6 × = 2 × 10 3 Ω 4 4 A 0.28 × 10 × 0.3 × 10
C=
εA
d
=
εTL
S
=
2.8 × 8.85 × 10 −14 × 0.3 × 10 −4 × 1 × 3 = 6.3 × 10 −13 F 4 0.36 × 10
RC = 2 × 10 3 × 8.7 × 10 −13 = 2.5 ns RC = 3.2 × 10 3 × 8.7 × 10 −13 = 2.5 ns. 21. (a) Th
num runnr can b considrd as two sgmnts connctd in sris: 20% (or 0.4 mm
) of th lngth is half thicknss (0.5 µm) and th rmaining 1.6 mm is full thickn
ss (1µm). Th total rsistanc is
0.16 0.04 + −4 R = ρ 1 + 2 = 3 × 10 − 6 − 4 −4 −4 10 × (0.5 × 10 ) 10 ×
39
= 72 Ω. The limiting cu ent I is given by the maximum allowed cu ent density tim
es c oss-sectional a ea of the thinne conducto sections: I = 5×105 A/cm2× (10-4×0.5×10
-4) = 2.5×10-3 A = 2.5 mA. The voltage d op ac oss the whole conducto is then V =
RI = 72Ω × 2.5 × 10 −3 A = 0.18V.
22.
0.5 µm 40 m 0.5 µm
Cu
=
Al
60 m
h: height , W : width , t : thick ess, assume that the resistivities of the clad
di g layer a d TiN are much larger tha ρ A and ρ Cu R Al = ρ Al × RCu = ρ Cu × h ×W h ×W =
= 1.7 (0.5 − 0.1) × 0.5 (0.5 − 2t ) × (0.5 − 2t )
Whe R Al = RCu The ⇉ 2.7 1.7 = 0.4 × 0.5 (0.5 − 2t ) 2 t = 0.073 µm = 73 m .
40
CHAPTER 9
1.
Each U shae sectio (refer to the figure) has a area of 2500 µm × 8 µm = 2 × 104 µm2. Th
erefore, there are (2500)2/2 ×104 = 312.5 U shaed sectio . Each sectio co tai s
2 lo g li es with 1248 squares each, 4 cor er squares, 1 bottom square, a d 2 ha
lf squares at the to. Therefore the resista ce for each sectio is 1 kΩ /□ (1248×2 +
4×0.65 +2) = 2500.6 kΩ The maximum esistance is then 312.5×2500.6 = 7.81 × 108 Ω = 781 MΩ
2. The a ea equi ed on the chip is
41
A=
Cd
ε ox
=
(30 × 10 −7 )(5 × 10 −12 ) = 4.35 × 10 −5 cm 2 −14 3.9 × 8.85 × 10
= 4.35 × 103 µm2 = 66 × 66 µm Rfr to Fig.9.4a and using ngativ photorsist of all l
vls (a) Ion implantation mask (for p+ implantation and gat oxid) (b) Contact
windows (2×10 µm) (c) Mtallization mask (using Al to form ohmic contact in th cont
act window and form th MOS capacitor). Bcaus of th rgistration rrors, an a
dditional 2 µm is incorporatd in all critical dimnsions.
42
3. If th spac btwn lins is 2 µm, thn thr is 4 µm for ach turn (i.., 2×n, fo
r on turn). Assum thr ar n turns, from Eq.6, L ≈ µ0n2r ≈ 1.2 × 10 6n2r, whr r can
b rplacd by 2 × n. Thn, w can obtain that n is 13.
43
4. (a) Mtal 1, (b) contact hol, (c) Mtal 2. (a) Mtal 1,
(b) contact hol,
(c) Mtal 2.
44
5. Th circuit diagram and dvic cross sction of a clampd transistor ar show
n in (a) and (b), rspctivly.
6.
(a) Th undopd polysilicon is usd for isolation. (b) Th polysilicon 1 is usd
as a solid phas diffusion sourc to form th xtrinsic bas rgion and th bas
 lctrod. (c) Th polysilicon 2 is usd as a solid phas diffusion sourc to
form th mittr rgion and th mittr lctrod.
7. (a) For 30 kV boron, Rp = 100 nm and ∆Rp = 34 nm. Assuming that Rp and ∆Rp for
45
boron ar th sam in Si and SiO2 th pak concntration is givn by S 2π ∆R p = 8 × 1
011 2π (34 × 10 )
−7
= 9.4 × 1016 cm −3
The amou t of boro io s i the silico is
(x − R  )2 ∞ Q S ex − =∫ 2 d q 2 ∆R p 2π ∆R p = Rp − d S 2 − rfc 2 ∆R 2
=
750 2 − rfc 2 × 340
= 7.88 × 1011 cm − 2
Assum that th implantd boron ions form a ngativ sht charg nar th Si Si
O2 intrfac, thn Q 1.6 × 10 −19 × (7.88 × 1011 ) = 0.91 V ∆VT = q / C ox = q 3.9
(25 × 10 − 7 ) (b) For 80 kV arsnic implantation, Rp = 49 nm and ∆ Rp = 18 nm. Th
pak arsnic concntration is S 2π ∆R p = 1016 = 2.21 × 10 21 cm − 3 .
π × (18 × 10 )
−7
46
8.
(a) Because (100) orie ted silico has lower (~ o e te th) i terface traed cha
rge a d a lower fixed oxide charge. (b) If the field oxide is too thi , it may
ot rovide a large e ough threshold voltage for adequate isolatio betwee eigh
bori g MOSFETs. (c) The tyical sheet resista ce of heavily doed olysilico ga
te is 20 to 30 Ω /□, which is adequate fo MOSFETs with gate lengths la ge than 3 µm.
Fo sho te gates, the sheet esistance of polysilicon is too high and will cau
se la ge RC
47
delays. We can use ef acto y metals (e.g., Mo) o silicides as the gate mate ia
l to educe the sheet esistance to about 1 Ω /□. (d) A self-aligned gate can be obt
ained by fi st defining the MOS gate st uctu e, then using the gate elect ode as
a mask fo the sou ce/d ain implantation. The self-aligned gate can minimize pa
asitic capacitance caused by the sou ce/d ain egions extending unde neath the
gate elect ode (due to diffusion o misalignment). (e) P-glass can be used fo i
nsulation between conducting laye s, fo diffusion and ion implantation masks, a
nd fo passivation to p otect devices f om impu ities, moistu e, and sc atches.
9. The lowe insulato has a dielect ic constant ε1/ε0 = 4 and a thicknss d1= 10 nm
Th uppr insulator has a dilctric constant ε2/ε0 = 10 and a thicknss d2 = 100 n
m. Upon application of a positiv voltag VG to th xtrnal gat, lctric fil
d E1 and E2 ar stablishd in th d1 and d2 rspctivly. W hav, from Gauss’ la
w, that ε1E1 = ε2E2 +Q and VG = E1d1 + E2d2 whr Q is th stord charg on th floa
ting gat. From ths abov two quations, w obtain
E= 1
VG Q + d1 + d 2 (ε 1 / ε 2 ) ε 1 + ε 2 (d1 / d 2 )
48
10 × 10 7 −7 + J = σE = 10 1 10 + 100 4 10
Q 5 = 0.2 − 2.26 × 10 Q 10 −14 4 + 10 100 × 8.85 × 10
(a) If the tored charge doe not reduce E1 by a ignificant amount (i.e., 0.2 >
> 2.26×105 Q , we can write
Q = ∫ σE dt ' ≈ 0.2∆t = 0.2 × (0.25 × 10 − 6 ) = 5 × 10 −8 C 1
t
0
∆VT =
5 × 10 −8 Q = = 0.565 V C2 10 × 8.85 × 10 −14 / 100 × 10 −7
(
)(
)
(b) whn t → ∞, J → 0 w hav Q → 0.2 / 2.26 × 10 5 ≅ 8.84×10 7 C. Thn ∆VT = 10. 8.84 × 10
9.98 V. C2 10 × 8.85 × 10 −14 / 10 −5
(
)
49
50
11. Th oxid capacitanc pr unit ara is givn by
C ox =
ε SiO
d
2
= 3.5 × 10 − 7 F/cm2
and th maximum currnt supplid by th dvic is I DS ≈ 1W 1 5µm 2 µC ox (VG − VT )2 =
3.5 × 10 −7 (VG − VT ) ≈ 5 mA 2 L 2 0.5µm
51
and th maximum allowabl wir rsistanc is 0.1 V/5 mA, or 20Ω. Then, the length
of the wi e must be
L≤ R × A ea
ρ
=
20Ω × 10 −8 cm 2 = 0.074 cm 2.7 × 10 −8 Ω − cm
or 740 µm. This is a lo g dista ce comared to most device saci g. Whe drivi g s
ig als betwee widely saced logic blocks however, mi imum feature sized li es w
ould ot be aroriate.
12.
52
13. To solve the short cha el effect of devices. 14. The device erforma ce wil
l be degraded from the boro e etratio . There are
53
methods to reduce this effect: (1) usi g raid thermal a eali g to reduce the t
ime at high temeratures, co seque tly reduces the diffusio of boro , (2) usi g
itrided oxide to suress the boro e etratio , si ce boro ca easily combi
e with itroge a d becomes less mobile, (3) maki g a multi layer of olysilico
to tra the boro atoms at the i terface of each layer. 15. Total caacita ce o
f the stacked gate structure is :
C=
ε1
d1
×
ε2
d2
ε1 ε 2 7 25 7 25 = + × + = 2.12 d 0.5 10 0.5 10 1 d2
3.9 = 2.12 d ∴d = 3.9 =1.84 nm. 2.12
16. Disadvantags of LOCOS: (1) high tmpratur and long oxidation tim caus V
T shift, (2) bird’s bak, (3) not a planar surfac, (4) xhibits oxid thinning f
fct. Advantags of shallow trnch isolation: (1) planar surfac, (2) no high t
mpratur procssing and long oxidation tim, (3) no oxid thinning ffct, (4)
no bird’s bak. 17. For isolation btwn th mtal and th substrat. 18. GaAs la
cks of high quality insulating film.
19. Answrs will vary. If w ignor th contributions of isolation rgion procs
sing, th structur can b simulatd using four SUPREM input dcks. Th first d
ck simulats
54
procssing in th activ rgion of th dvic, up to th point of th isolation
oxidation. Th scond dck starts with th rsults from th first dck and compl
ts all procssing in th activ rgions. This allows th doping profil throug
h th mittr to b plottd (for part b). Th third dck is similar to th scon
d, xcpt it liminats th mittr implant and facilitats plotting of th dopi
ng profil through th bas rgion (for part a). Th final dck is also similar
to th scond, xcpt that it liminats th bas implant and facilitats plotti
ng th doping profil through th collctor rgion (for part c). Th complt pr
ocss squnc is as follows: 1) Bgin with a high rsistivity, <100>, p typ si
licon substrat. 2) Grow a 1 µm SiO2 layr. 3) Rmov th oxid in th aras whr
th burid layrs ar to b placd. 4) Implant antimony at a dos of 115 cm 2.
Driv in th burid layr for 5 hours at 1150 oC. 5) Etch th silicon dioxid f
rom th surfac. 6) Grow a 1.6 µm arsnic dopd pitaxial layr. 7) Grow a 400 Å pad
oxid. 8) Dposit 800 Å of silicon nitrid. 9) Etch th oxid and nitrid from th
 isolation rgions. 10) Etch th silicon halfway through th pi layr.

55
11) Implant boron in th fild rgions with a dos of 113 cm 2 at an nrgy of
50 kV. 12) Oxidiz th fild rgions to a thicknss approximatly on half that
of th pi layr. 13) Implant th bas rgion with boron at a dos of 114 cm 2
at an nrgy of 50 kV. 14) Etch th oxid from th mittr rgion. 15) Implant
mittr collctor contact rgions with arsnic at a dos of 515 cm 2 at an n
rgy of 100 kV. 16) Driv in th arsnic and activat th bas diffusion. Th SU
PREM input dcks ar as follows:
TITLE COMMENT COMMENT INITIALIZE COMMENT DIFFUSION COMMENT ETCH COMMENT IMPLANT
DIFFUSION DIFFUSION COMMENT ETCH COMMENT EPITAXY BJT – Deck 1 I itial Active Regio
Processi g I itialize silico substrate <100> Silico Boro Co ce tratio =5e14
Grow maski g oxide for o active regio s Time=100 Temerature=1150 WetO2 Etch
oxide over buried layer regio s Oxide Imla t a d drive i a timo y buried layer
A timo y Dose=5e14 E ergy=120 Time=15 Temerature=1150 DryO2 Time=300 Temeratu
re=1150 Etch the oxide Oxide Grow 1.6 µm of arse ic doed ei Temerature=1050 Tim
e=4 Growth.Rate=0.4 Arse ic Gas.Co c=5e15
56
COMMENT DIFFUSION COMMENT DEPOSITION SAVEFILE STOP
Grow 400 A ad oxide Time=20 Temerature=1060 DryO2 Deosit itride to mask the
field oxidatio Nitride Thick ess=0.08 Structur File ame=bjtactivei it.str E d B
JT 1
TITLE COMMENT COMMENT INITIALIZE COMMENT DIFFUSION DIFFUSION DIFFUSION DIFFUSION
DIFFUSION COMMENT ETCH ETCH ETCH COMMENT IMPLANT COMMENT ETCH COMMENT IMPLANT C
OMMENT DIFFUSION PRINT PLOT STOP
BJT – Deck 2 Fi al Active Regio Processi g for Emitter Profile Start with reviou
s results Structur=bjtactivei it.str Field oxide growth Time=30 Temerature=800
t.rate=10 Time=15 Temerature=1000 DryO2 Time=210 Temerature=1100 Wet02 Time=15
Temerature=1100 Etch the oxide a d itride layers Oxide Nitride Oxide Imla t
boro base Boro Dose=1e14 E ergy=50 Remove oxide from emitter regio Oxide Iml
a t arse ic emitter a d collector co tacts Arse ic Dose=5e15 E ergy=100 Drive i
emitter a d collector co tact regio s Time=20 Temerature=1000 Layers Chemical
Boro Arse ic Phoshor Net E d BJT 2 DryO2 Time=10 Temerature=1100 t.rate= 30
TITLE COMMENT COMMENT
BJT – Deck 3 Active Regio Processi g for Base Profile Start with revious results
57
INITIALIZE COMMENT DIFFUSION DIFFUSION DIFFUSION DIFFUSION DIFFUSION COMMENT ETC
H ETCH ETCH COMMENT IMPLANT COMMENT ETCH COMMENT DIFFUSION PRINT PLOT STOP
Structur=bjtactivei it.str Field oxide growth Time=30 Temerature=800 t.rate=10
Time=15 Temerature=1000 DryO2 Time=210 Temerature=1100 Wet02 Time=15 Temeratu
re=1100 Etch the oxide a d itride layers Oxide Nitride Oxide Imla t boro base
Boro Dose=1e14 E ergy=50 Remove oxide from emitter regio Oxide Drive i emitt
er a d collector co tact regio Time=20 Temerature=1000 Layers Chemical Boro A
rse ic Phoshor Net E d BJT 3 DryO2 Time=10 Temerature=1100 t.rate= 30
TITLE COMMENT COMMENT INITIALIZE COMMENT DIFFUSION DIFFUSION DIFFUSION DIFFUSION
DIFFUSION COMMENT ETCH ETCH ETCH COMMENT ETCH
BJT – Deck 4 Active Regio Processi g for Collector Profile Start with revious re
sults Structur=bjtactivei it.str Field oxide growth Time=30 Temerature=800 t.ra
te=10 Time=15 Temerature=1000 DryO2 Time=210 Temerature=1100 Wet02 Time=15 Tem
erature=1100 Etch the oxide a d itride layers Oxide Nitride Oxide Remove oxide
from emitter regio Oxide DryO2 Time=10 Temerature=1100 t.rate= 30
58
COMMENT IMPLANT COMMENT DIFFUSION PRINT PLOT STOP
Imla t arse ic emitter a d collector co tacts Arse ic Dose=5e15 E ergy=100 Driv
e i emitter a d collector co tact regio s Time=20 Temerature=1000 Layers Chemi
cal Boro Arse ic Phoshor Net E d BJT 4
The resulti g doi g rofiles though the base, emitter, a d collector (arts a,
b, a d c), resectively, are show i the followi g three figures:
(a)
59
(b)
60
(c)
20. A swers will vary. For the sake of simlicity, we will ig ore isolatio rela
ted rocessi g. The structure ca be simulated usi g four SUPREM i ut decks (o
e for each requested rofile). The comlete rocess seque ce is as follows:
61
1) 2) 3) 4) 5) 6) 7) 8) 9)
Start with a <100>, tye silico substrate. Grow a 0.9 µm SiO2 layer. Remove the
oxide i the  well areas. Imla t boro well at a dose of 5e14 cm 2 at 50 keV.
Drive i the  well for 6 hours at 1150 oC. Remove oxide i PMOS source/drai r
egio s. Imla t boro for PMOS source/drai at a dose of 1e14 cm 2 at 20 keV. Dr
ive i the PMOS source/drai regio s for 2.5 hours at 1100 oC. Etch oxide i NMO
S source/drai regio s.
10) Imla t hoshorus for NMOS source/drai at a dose of 1e14 cm 2 at 20 keV. 1
1) Drive i the NMOS source/drai regio s for 2.5 hours at 1100 oC. 12) Etch oxi
de i gate areas. 13) Grow 500 Å gate oxide. 14) Deosit a d atter olysilico g
ates. 15) Grow assivatio oxide. 16) Deosit a d atter metallizatio . The SUP
REM i ut decks are a d correso di g oututs as follows:
TITLE COMMENT COMMENT INITIALIZE CMOS – Deck 1 PMOS source/drai I itialize silico
substrate <100> Silico Phoshorus Co ce tratio =5e15 Thick ess=5

62
COMMENT DIFFUSION COMMENT ETCH COMMENT DIFFUSION COMMENT ETCH COMMENT IMPLANT CO
MMENT DIFFUSION COMMENT DIFFUSION COMMENT ETCH COMMENT DEPOSITION PRINT PLOT STO
P
Grow field oxide Time=120 Temerature=1100 WetO2 Etch oxide after  well imla t
Oxide P well drive i Time=900 Temerature=1150 DryO2 Etch the oxide rior to P
MOS source/drai imla t Oxide PMOS source/drai imla t Boro Dose=1e14 E ergy=
20 PMOS source/drai drive i Time=150 Temerature=1100 DryO2 NMOS source/drai
drive i a d gate oxidatio Time=150 Temerature=1100 DryO2 Etch oxide Oxide De
osit metal Alumi um Thick ess=1.0 Layers Chemical Boro Phoshor Net E d CMOS 1
63
(a)
TITLE COMMENT COMMENT INITIALIZE COMMENT DIFFUSION COMMENT ETCH COMMENT DIFFUSIO
N CMOS – Deck 2 PMOS Gate I itialize silico substrate <100> Silico Phoshorus Co
ce tratio =5e15 Thick ess=5 Grow field oxide Time=120 Temerature=1100 WetO2 Et
ch oxide after  well imla t Oxide P well drive i Time=900 Temerature=1150 Dr
yO2
64
COMMENT ETCH COMMENT DIFFUSION COMMENT DIFFUSION COMMENT DEPOSITION COMMENT DIFF
USION PRINT PLOT STOP
Etch the oxide rior to PMOS source/drai imla t Oxide PMOS source/drai drive 
i Time=150 Temerature=1100 DryO2 NMOS source/drai drive i a d gate oxidatio
Time=150 Temerature=1100 DryO2 Deosit olysilico Polysilico Thick ess=0.5 G
row assivatio oxide Time=30 Temerature=1000 DryO2 Layers Chemical Boro Phos
hor Net E d CMOS 2
65
(b)
TITLE COMMENT COMMENT INITIALIZE COMMENT DIFFUSION COMMENT ETCH COMMENT CMOS – Dec
k 3 NMOS source/drai I itialize silico substrate <100> Silico Phoshorus Co c
e tratio =5e15 Thick ess=5 Grow field oxide Time=120 Temerature=1100 WetO2 Etch
oxide i  well regio Oxide P well imla t
66
IMPLANT COMMENT DIFFUSION COMMENT DIFFUSION COMMENT ETCH COMMENT IMPLANT COMMENT
DIFFUSION COMMENT ETCH COMMENT DEPOSITION PRINT PLOT STOP
Boro Dose=5e14 E ergy=50 P well drive i Time=900 Temerature=1150 DryO2 PMOS s
ource/drai drive i Time=150 Temerature=1100 DryO2 Etch the oxide rior to NMO
S source/drai imla t Oxide NMOS source/drai imla t Phoshorus Dose=1e14 E er
gy=20 NMOS source/drai drive i a d gate oxidatio Time=150 Temerature=1100 Dr
yO2 Etch oxide Oxide Deosit metal Alumi um Thick ess=1.0 Layers Chemical Boro
Phoshor Net E d CMOS 3
67
(c)
TITLE COMMENT COMMENT INITIALIZE COMMENT DIFFUSION COMMENT ETCH CMOS – Deck 4 NMOS
gate I itialize silico substrate <100> Silico Phoshorus Co ce tratio =5e15 T
hick ess=5 Grow field oxide Time=120 Temerature=1100 WetO2 Etch oxide i  well
regio Oxide
68
COMMENT IMPLANT COMMENT DIFFUSION COMMENT DIFFUSION COMMENT ETCH COMMENT DIFFUSI
ON COMMENT DEPOSITION COMMENT DIFFUSION PRINT PLOT STOP
P well imla t Boro Dose=5e14 E ergy=50 P well drive i Time=900 Temerature=11
50 DryO2 PMOS source/drai drive i Time=150 Temerature=1100 DryO2 Etch the oxi
de rior to NMOS source/drai imla t Oxide NMOS source/drai drive i a d gate
oxidatio Time=150 Temerature=1100 DryO2 Deosit olysilico Polysilico Thick
ess=0.5 Grow assivatio oxide Time=30 Temerature=1000 DryO2 Layers Chemical Bo
ro Phoshor Net E d CMOS 4
69
(d)
70
CHAPTER 10
1. x chart: Ce ter = µ = 0.75 V UCL = µ + 3σ 3(0.1) = 0.75 + = 0.845 V n 10
LCL = 3 
3σ = 0.655 V n
chart: Center = = c4σ = 0.9727(0.1) = 0.0973 V UCL = + 3 σ 1 − c = 0.973 + 3(0.1
)(1 − 0.9727) = 0.167 V
2 4 1 2
2 LCL =  3 σ 1 − c4 = 0.028 V
2. x chart: Center = x = 0.734 V UCL = x +
3 c4 n
= 0.846 V
LCL = x −
3 c4 n
= 0.622 V

chart: Center = = 0.125 V 2 UCL = + 3 1 − c 4 = 0.215 V c4 LCL = − 3 2 1


− c4 = 0.036 V c4
3. Let ED = expo ure do e, DT = develop time, BT = bake temperature ED DT BT Y (
1) (2) (3) Div. Eff. ID 60 137 264 534 8 66.75 Avg.
71
+ + + +
+ + + +
+ + + +
77 59 68 57 83 45 85
127 140 130 17 9 26 40
270 26 66 10 10 8 14
92 20 6 6 40 0 22
4 4 4 4 4 4 4
23 5 1.5 1.5 10 0 5.5
ED DT ED x DT BT ED x BT DT x BT ED x DT x BT
4. Let: day = block (i.e., n = 3) proce e = treatment (i.e., k = 5) Then we
have: A Day 1 Day 2 Day 3 yt 509 505 465 493 B 512 507 472 497 C 532 542 498 52
4 D 506 520 483 503 E 509 519 475 501 yi 513.6 518.6 478.6 y = 503.6
ANOVA Table: Source Average (SA) Block (SB) Treatment (ST) Re idual (SR) Sum o
f Square 3,804,194.4 4,750 1,737.6 210 Degree of Freedom 1 2 4 8 Mean Square 3
,804,194.4 2 2,375 ( B ) 2 434.4 ( T ) 2 26.25 ( R )
where: S A = nky 2 = 3,804,194.4 S B = k ∑ ( y i − y ) , DF = n – 1
i =1 k n
2
S T = n∑ ( y t − y ) , DF = k – 1
t =1
2
S R ∑∑ ( y ti − y i − y t + y ) , DF = (n – 1)(k – 1)
t =1 i =1
k
n
2
Now:
2 2 B / R = 90.48
72
2 2 T / R = 16.55
A. Significance level for the null hypothe i that the block are the ame i ve
ry low, ince P( F 2,8 > 90.48) ~0
B. The ame i true for the hypothe i that the treatment are the ame, ince:
P ( F4,8 > 16.55) ~ 0
I. II.
The proce e are ignificantly different. The proce ing date have ignificant
difference .
5. From Eq. 33: Y = exp( AcD0)N = exp( NAcD0) where: Y = 0.95 N = 100,000 Ac = W
L = (10e 4 cm)(1e 4 cm) = 1e 7 cm2 => D = − ln Y = 5.13 cm 2 NAc

6. Murphy’s Yield I tegral (Eq. 34): Y = ∫ e − Ac D f ( D)dD
0
U iform defect distributio : f ( D) = 1 / 2 D0 for 0 ≤ D ≤ 2 D0
Y=
2 D0

0
e − Ac D 1 e − Ac D dD = 2 D0 2 D0 − Ac
2 D0
0
=> Yu iform =
1 − e −2 D0 Ac 2 D0 Ac
Tria gular defect distributio : f ( D) = D / D02 for 0 ≤ D ≤ D0 =− D 2 + for D0 ≤ D ≤ 2D0
2 D0 D0
73
Y = ∫e
0
D0
− Ac D
D dD + D02
2 D0
D0
∫e
− Ac D
D 2 − 2 + dD D D0 0
2 D0
− Ac D 1 e − AD 1 e − Ac D D (− Ac D − 1) 2 D0 + 2 e Y = 2 2 (− Ac D − 1) 0 0 − 2 D0 D0 Ac
2 D0 − Ac
D0
=> Ytria gular
1 − e − D0 Ac = D A 0 c

2
Exo e tial Defect Distributio : f ( D) =
− D 1 ex D D0 0

∞ − D(1 + Ac D0 ) − D(1 + Ac D0 ) 1 − Ac D − D / D0 1 1 ex Y =∫ e e dD = dD =
0 D0 0 D0 D0 0 0

=> Yex o e tial =
1 1 + D0 Ac
7. Use Murhy’s Yield I tegral (Eq. 34): Y = ∫ e − AD f ( D)dD , where: A = 100cm2, f(
D) = 100D+10
0.1
Y=
0.05
∫e
−100 D
(− 100 D + 10)dD
0.1
= 100
0.05
−100 D −100 D ∫ De dD + 10 ∫ e dD
0.05
0.1
=
− 100 −100 D ( AD − 1) 0..1 − 10 e −100 D e 4 0 05 100 10
0.1 0.05
=> Y = 0.094 %
74
CHAPTER 11
1. (a)
A 1 L RC = ρ ε ox = 10 −5 × d 1 × 0.5 × 10 −8 A 1 × (1 × 10 −4 )
= 2000 × (69.03 × 10 −14 ) = 1.38 × 10 − 9 s = 1.38 ns.
(b) For a polysilicon runnr
L A RC = Rsquar ε ox W d 1 = 30 − 4 69.03 × 10 −14 = 2.07 × 10 −
(
)
Thrfor th polysilicon runnr’s RC tim constant is 150 tims largr than th a
luminum runnr.
2. Whn w combin th logic circuits and mmory on th chip, w nd multipl s
upply voltags. For rliability issu, diffrnt oxid thicknsss ar ndd fo
r diffrnt supply voltags. 3. (a) 1 1 + 1
C total =
C Ta 2 O5
C nitrid + 10 = 17.3 Å 7
hnc EOT
3.9
= 75
25
(b) EOT = 16.7 Å.
75

Das könnte Ihnen auch gefallen