Sie sind auf Seite 1von 51

1 UNIVERSIDADE DE PERNAMBUCO UPE ESCOLA POLTCNICA POLI DEPARTAMENTO DE ENGENHARIA MECATRNICA

REGISTRADORES DE DESLOCAMENTO

Disciplina: ELETRNICA DIGITAL Prof.: Carlos Magno Alunos: Arimata Pisom Gabriel Fonseca Juliane de Carmo Priscilla Rocha Thiago Domingos

Introduo
Os registradores de deslocamento, como contadores, so uma forma de lgica seqencial. Lgica seqencial, ao contrrio da lgica combinacional no afetada apenas pelas entradas atuais, mas tambm, pelo historico prvio. Em outras palavras, a lgica seqencial lembra de eventos passados. Os registradores de deslocamento produzem um atraso discreto de um sinal digital ou de forma de onda. Uma forma de onda sincronizado a um relgio, que uma onda quadrada de repetio, est atrasado por "n" vezes relgio discreto, onde "n" o nmero de registo de deslocamento fases. Assim, um registrador de deslocamentode quatro estgio atrasa o data -in em 4 clocks para o data-out. Os estgios em um registrador de deslocamento so fases atraso, normalmente do tipo "D" flip-flops ou tipo "JK" flip-flops. Anteriormente, h muito tempo os registradores de deslocamento(vrias centenas de estgios) serviram como memria digital. Este aplicao obsoleta remanescente das linhas de atraso de mercrio acstico usada como memria de computador antigamente. Transmisso de dados em srie, a uma distncia de metros at quilmetros, usam registradores de deslocamento para converter dados de forma paralela srie. Comunicao serial de dados substitui muitos cabos de dados paralelos lentos por um circuito de alta velocidade serial. Dados seriais em distncias mais curtas que dezenas de centmetros, o uso de registradores de deslocamento feito para obter dados de entrada e sada de microprocessadores. Vrios perifricos, incluindo conversores de analgico para digital, conversores de digital para analgico, drivers de vdeo e memria, usam registradores de deslocamento para reduzir a quantidade de cabos nas placas de circuitos. Alguns circuitos contadores especializados realmente usam registradores de deslocamento para gerar ondas de repetio. Longos registradores de deslocamento, com a ajuda do feedback gera padres por tanto tempo que eles se parecem com rudo aleatrio, pseudo-rudo. Registradores de deslocamento Basicos so classificados por estrutura de acordo com os seguintes tipos:

Serial-in/serial-out Parallel-in/serial-out Serial-in/parallel-out Universal parallel-in/parallel-out Ring counter

Acima, mostramos um diagrama de blocos de um registrador de deslocamento serialin/serial-out, que de 4 etapas. Dados na entrada ser atrasado em quatro perodos de relgio de entrada para a sada do registrador de deslocamento. Dados em "data in", acima, estaro presentes na sada do Estgio A o primeiro pulso de clock . Aps o segundo pulso os dados so transferidos para a fase de sada B. Depois do terceiro clock, o estgio C substitudo pelo B, e o B substitudo pelo A e A substitudo por "data in". Depois que o quarto clock dado, os dados originalmente presente em "data in" esto na fase D, na "sada". O "primeiro" dado a entrar "o primeiro" a sair, assim ele deslocado de "data in" para "data out".

Os dados so carregados em todas as etapas de uma s vez no registrador de deslocamento parallel-in/serial-out. Os dados so ento deslocados para fora atravs de "data out" pelo pulsos. Um registrador de deslocamento de 4 fases mostrado acima, quatro pulsos de clock so necessrios para deslocar para fora de todos os dados. No diagrama acima, o estgio de dados D vai estar presente na "data out" at o primeiro relgio de pulso e os dados C estdio vai estar presente na "data out" entre o primeiro clock e o segundo clock, os dados B estgio estar presente entre o segundo e o terceiros; e no estgio A os dados estaro presentes entre a terceiro e o quarto clock.

4 Depois que o relgio d o quarto pulso ento, posteriormente, os sucessivos bits de "data in" devem aparecer em "data out" do registrador de deslocamento com um atraso de quatro pulsos de clock. Se quatro switches forem ligados a D de A a D D, o status pode ser lido em um microprocessador usando apenas um pino de dados e um pino do relgio. Mesmo que se acrescente mais interruptores, no necessitaria de pinos adicionais, essa abordagem parece atraente para muitas entradas.

Acima, quatro bits de dados sero mudados a partir "data in" de quatro pulsos de clock e estaro disponveis no Q de A a Q D para a conduo de circuitos externos, como LEDs, lmpadas, relay drivers, e buzinas Aps o primeiro clock, os dados de "data in" aparece na Q A.. Aps o segundo clock, o antigo QA aparece no Q B, Q A recebe o prximo dado de "data in". Depois do terceiro clock, de dados Q B vai para Q C. Depois do quarto clock, o dado de Q C vai para Q D. Esta fase contm o dado do primeiro "data in". O registo de deslocamento agora deve conter quatro bits de dados.

Um registrador de deslocamento parallel-in/parallel-out combina a funo do resgistrador de deslocamento parallel-in, serial-out com a funo do serial-in, parallelout para produzir um registrador de desocamento universal. O Registrador "do anything" tem um preo - o aumento de pinos de I / O (Entrada / Sada) pode reduzir o nmero de estgios que podem ser embalados. Dados apresentados em D A atravs de D D carregado paralelamente nos registradores. Estes dados em Q A atravs de Q D pode ser deslocado pelo nmero de pulsos apresentado na entrada de clock. Os dados deslocados esto disponveis na Q A atravs da Q D., O "modo" de entrada, que pode ser mais de uma entrada, controla paralelamente o carregamento de dados de DA atravs de D D, a transferncia de dados, e a direo do deslocamento. H registradores de deslocamento, que se deslocar de dados para a esquerda ou direita.

Se a sada serial de um registrador de deslocamento conectado entrada serial, os dados podem ser perpetuamente deslocadso em volta do anel enquanto pulsos esto presentes. Se a sada invertida antes de ser realimentada, como mostrado acima, no precisamos nos preocupar em carregar os dados iniciais para o "anel de contador".

Registradores de deslocamento Serialin/serial-out


Eles vo armazenar um bit de dados para cada registo. Um registrador de deslocamento serial-in, serial-out pode ter de 1 a 64 bits de comprimento, maiores se registradores ou pacotes so em cascata. Abaixo est um registrador de deslocamento de estgio nico de entrada de dados que no est sincronizado com o relgio de registo. Os "data in" no pino D do tipo D FF (Flip-Flop) no altera os nveis, quando as alteraes do relgio para baixo a alto. Podemos querer sincronizar os dados com uma banda de clock do sistema em uma placa de circuito para melhorar a confiabilidade de um circuito de lgica digital.

O ponto bvio (em comparao com a figura abaixo) ilustrado acima que o que o "data in" est presente no pino D do tipo D FF transferida de D para a sada Q no tempo do relgio. Desde que o nosso exemplo de registrador de deslocamento usa borda positiva sensvelpara elementos de armazenamento, a sada Q segue a entrada D, quando as transies do relgio de baixo a alto, como mostrado pelas setas para cima no diagrama acima. No h dvida de que nvel de lgica est presente no clock do relgio porque os dados so bem estveis antes e aps o sinal de clock. Isso raro no caso em registos de deslocamento em vrias fases. Mas, este foi um exemplo fcil de se comear. Estamos apenas preocupados com a positiva, de baixo para alto, borda do relgio. A borda de descida pode ser ignorado. muito fcil ver Q acompanhar D ao tempo do relgio para cima. Compare isso com o diagrama abaixo, onde os "data in" parecem mudar com o clock positivo.

Uma vez que "data in" aparece mudar no tempo t1 do relgio acima, o que faz o tipo D FF ver o tempo do relgio? A resposta mais curta e simplificada que v os dados que estava presente no D antes de o relgio. Isso o que transferido para Q no tempo t1 do relgio . A forma de onda Q C a correta. Em t1 , Q vai para zero se no j zero. O registrador D no v 1 at o tempo t 2, momento em que Q vai para alto.

J com os dados, acima, presentes em D setado para Q no tempo do relgio, e Q no pode mudar at o clock seguinte, o FF D atrasa o dado por um perodo de relgio, desde que os dados j esto sincronizados com o relgio. A forma de onda de Q A a mesma que a do "data in" com um perodo de atraso do relgio. Um olhar mais detalhado em que a entrada do tipo flip-flops D v o tempo do clock se segue. Veja a figura abaixo. Uma vez que o "data in" parece mudar no tempo do clock(acima), precisamos de mais informaes para determinar o que o FF D v. Se o "data in" de outro registrador de deslocamento, outro mesmo tipo D FF, podemos tirar algumas concluses com base na data sheet de informao. Fabricantes de lgica digital disponibilizam informaes sobre suas peas em folhas de dados, anteriormente apenas disponvel em uma coleo chamada "livro de dados. Data

8 books ainda esto disponveis, no entanto, o site do fabricante na Internet uma mais fonte moderna.

Os dados a seguir foram extrados da folha de dados CD4006b para funcionamento a 5V DC, que serve como exemplo para ilustrar a temporizao.

t S =100ns t H =60ns t P =200-400ns typ/max

ts o tempo de configurao, os dados de tempo devem estar presentes antes do tempo de clock. Neste caso, os dados devem estar presentes em D 100ns antes do clock. Alm disso, os dados devem ser mantidos por tempo de espera H t = 60ns aps o tempo do clock. Estas duas condies devem ser atendidas para que de forma confivel os dados saiam de D a Q do Flip-Flop. No h nenhum problema coincidir o tempo de setup de 60ns assim que os dados em D estiveram por todo o perodo de clock anterior se ele veio de outro estgio registrador de deslocamento. Por exemplo, em uma freqncia de clock de 1 MHz, o perodo de clock de 1000 s, o que tempo de sobra. Os dados sero realmente presentes no 1000s antes do relgio, que muito maior do que o mnimo exigido t S de 60ns. O tempo de espera th = 60ns cumprido, porque D ligado ao Q de uma etapa no pode mudar mais rpido do que o atraso de propagao da fase anterior tp = 200ns. Tempo de espera cumprida desde que o atraso de propagao dos anteriores D FF seja superior ao tempo de espera. Dados no D impulsionados por uma novo estgio Q no vai mudar mais rpido do que 200ns para o CD4006b. Para resumir, a sada Q segue a entrada D prximo ao tempo de clock se flip-flops so em cascata em um registrador de deslocamento de vrios estgios.

Trs flip-flops do tipo D esto em cascata Q a D e os relgios em paralelo para formar um registrador de deslocamento de 3 estgios acima.

FFs tipo JK em cascata Q a J, Q 'para K com relgios em paralelo so uma forma alternativa de registrador de deslocamento acima. Um registrador de deslocamento serial-in/serial-out tem uma entrada de clock, uma entrada de dados, e uma sada de dados a partir do ltimo estgio. Em geral, as sadas de estgio no esto disponveis Caso contrrio, seria um registrador de deslocamento serial-in, parallel-out, As formas de onda abaixo so aplicveis a qualquer uma das duas verses anteriores do registrador de deslocamento the serial-in, serial-out. Os trs pares de setas mostram que um registrador de deslocamento de 3 estgios armazena temporariamente os trs bits de dados e os atrasa por trs perodos de clock da entrada sada.

10

At clock time t 1 a "data in" of 0 is clocked from D to Q of all three stages. No tempo t 1 um "data in" de 0 cronometrado de D para Q de todos os trs estgios. Em particular, D do estgio de A v uma lgica 0, o que setado de Q A, onde permanece at o tempo t 2. No tempo t 2-relgio "data in" de 1 setado de D para Q A. Nos estgios B e C, um 0, alimentados a partir de fases anteriores, setado para Q B e Q C. No tempo t 3-A um "data in" de 0 setado de D para Q A. Q A vai baixo e permanece baixo para os relgios restantes devido ao "data in" ser 0. Q B vai alto em t 3, devido a um 1 do estgio anterior. Q C ainda baixo aps t3, devido ao baixo do estgio anterior. Q C finalmente vai para alto no relgio de t4, devido alimentao alta para D da fase anterior Q B. Todas as etapas anteriores tem 0 s deslocados entre eles. E, aps o prximo pulso de clock em t 5, todas as lgicas 1s ter sido deslocado para fora, sendo substitudo por 0 s

Serial-in/serial-out devices dispositivos Serial-in/serial-out


Vamos dar uma olhada nas seguintes peas disponveis como circuitos integrados, cortesia da Texas Instruments. Os seguintes registradores de deslocamento serial-in/ serial-out so CMOS da srie 4000 (Complementary Metal Oxide Semiconductor). Como tal, eles iro aceitar um V DD, alimentao positiva de 3-volts at 15 Volts. O pino V SS aterrado. A frequncia mxima de mudana de clock, que varia com V DD, alguns megahertz .

11

O CD4006b de 18-bit consiste de dois estgios de 4-bits e mais dois estgios de 5-bits com um tap de sada de 4-bits. Assim, os estgios de 5 bits poderiam ser usados como registradores de deslocamento de 4 bits. Para obter um registrador de deslocamento de 18 bits completo a sada de um registrador de deslocamento deve ser encadeados com a entrada de outro e assim por diante at que todas os estgios de criem um nico registrador como mostrado abaixo.

12 Um registrador de deslocamento serial-in/ serial-out de 64 bits, CD4031, mostrado abaixo. Um nmero de pinos no esto conectados (NC). Q e Q 'esto disponveis a partir da fase 64, na verdade, Q 64 e Q 64. H tambm um Q 64 "atrasado" de um e meio estgio o que se encontra atrasado em meio ciclo de clock. Uma das principais caractersticas um seletor de dados que est na entrada de dados para o registo de deslocamento.

O "modo de controle" selecciona entre duas entradas: dados 1 e dados 2. Se o "modo de controle" alto, os dados sero selecionados a partir de "dados de 2" de entrada para o registrador de deslocamento. No caso do "modo de controle" sendo lgica baixa, os "dados 1" selecionado. Exemplos disto so apresentados nas duas figuras abaixo.

13

O "dados 2" acima ligado sada Q64 do registrador de deslocamento. Com o "modo de controle" alto, a sada Q 64 encaminhado de volta para a entrada de dados do deslocador D. dados de entrada de ir recircular da sada para entrada. Os dados se repetir a cada 64 pulsos, como mostrado acima. A questo que se coloca como que este padro de dados chegou no registrador de deslocamento, em primeiro lugar?

14

Com o "modo de controle" baixo, o CD4031 "dados 1" selecionado para entrada do deslocador. A sada, Q 64, no recirculada, pois os porto seletor de dados inferior est desativado. Ao desabilitar queremos dizer que a lgica de "modo de seleo de" baixo invertido duas vezes a um ponto baixo numa porta inferior NAND impede de passar qualquer sinal no pino inferior (dados 2) para a sada da porta. Assim, ele est desativado.

Um registrador de deslocamento CD4517b duplo de 64 bits mostrado acima. Observe os taps nos estgios 32 16, e 48. Isso significa que registradores de deslocamento desses comprimentos podem ser configurados de um dos deslocadores de 64 bits. Naturalmente, os registradores de deslocamento de 64 bits podem ser conectadas em cascata a um campo registradores de deslocamento de 80 bits, 96 bits, 112 bits ou 128 bits. O clock CLA e CL B precisam estar em paralelo quando colocando 2 registradores em cascata. WE B e WEB so aterrados para as operaes normais de deslocamento. As entradas de dados para os registradores A e B so D A e D, B, respectivamente. Suponha que queiramos um registrador de deslocamento de 16 bits. Isso pode ser configurado com o CD4517b?

15

Acima mostramos um CD4517b ligado como um registrador de deslocamento bit-16 para a seo B. O relgio da seco B CL B. E os dados atrasados em 16 clocks colhido para fora em Q 16B. WE B, a gravao habilitada, aterrada. Acima mostramos tambm CD4517b conectado com um registrador de deslocamento bit-64 para a seo independente A. O relgio da seco A CLA. Os dados so atrasados em 64 pulsos de relgio e retirado da Q 64A. WE A, a gravao habilitada para a seco A, aterrada.

16

Registrador de deslocamento serialin/parallel-out


Um registrador de deslocamento serial-in/parallel-out semelhante um registrador de deslocamento serial-in/ serial-out no que diz respeito ao armazenamento interno de elementos e deslocamentos de dados para fora no pino de sada de dados, serial-out. diferente na medida em que torna todos os estgios internos disponveis como sadas. Portanto, um registrador de deslocamento serial-in/parallel-out converte dados do formato serial para paraleel. Se quatro bits de dados so deslocados pelos quatro pulsos de clock atravs de um nico fio data-in, como abaixo, os dados ficam disponveis simultaneamente nas quatro sadas de Q at Q aps o quarto pulso de
A D

clock. A aplicao prtica do registrador de deslocamento serial-in/parallel-out converter dados do formato de srie de um nico fio em formato paralelo em mltiplos fios. Talvez, vamos iluminar quatro LEDs (Light Emitting Diodes), com quatro sadas (Q Q Q Q )
A B C D .

Os detalhes acima mostram que o registo de deslocamento serial-in/parallel-out so bastante simples. Se assemelha com um registrador de deslocamento serial-in/serialout, com caminhos adicionado a cada estagio da sada. Serial turnos dados em SI (entrada Serial). Aps um numero de clocks igual ao nmero de estgios, os primeiros bits de dados aparecem no SO (Q ) como mostrado na figura acima. Na verdade, no
D

17 h pinos SO, a ltima etapa (Q mostrada acima) serve como SO e cascata para o prximo pacote se existir. Se um registrador de deslocamento serial-in/parallel-out to semelhante a um serialin /serial-out, porque os fabricantes se preocupam em oferecer os dois tipos? Por que simplesmente no oferecem apenas o registrador serial-in/parallel-out? Na verdade, eles s oferecem o registrador serial-in/parallel-out, contanto que no tenha mais de 8bits. Note que o serial-in /serial-out vm em comprimentos maiores do que 8 bits de 18 a 64-bits. No prtico para oferecer uma variao serial-in/parallel-out de 64 bits de registo, exigindo que os pinos de sada sejam muitos. Veja as formas de onda abaixo do registrador de deslocamento.
D

O registrador de deslocamento foi limpo antes de quaisquer dados CLR, um sinal ativo baixo, o que limpa todo o tipo flip-flops D dentro do registrador de deslocamento. Observe os dados serial 1011 padro apresentado na entrada do SI. Estes dados so sincronizados com o clock CLK. Este seria o caso de ele est sendo deslocado dentro de algo parecido com um outro registrador de deslocamento, por exemplo, um parallel-in / serial -out. No primeiro clock T1, os dados de 1 de SI so deslocados entre D de Q do primeiro estagio do registrador. Aps t2 este primeiro bit de dados a Q Depois de t3 em Q . Aps t4 a Q Quatro pulsos mudaram o primeiro bit de dados por todo o caminho at a ltima etapa Q O segundo bit de dados um 0 a Q aps o clock 4. O terceiro bit de dados de 1 a Q O quarto bit de dados de 1 na Q Assim, o padro de entrada de dados serial 1011 est contido em (Q Q Q Q ) disponvel em quatro sadas. Ele estar disponvel nas quatro sadas de clock logo depois de t antes apenas de t Estes dados paralelos devem ser usados ou armazenados entre esses dois tempos, ou ele vo ser perdidos devido ao movimento a fase Q nos seguintes estgios de clock de t a t como mostrado acima.
B. C D. D. C B. A. D C B A 4 D 5 8

5.

18

Dispositivos com Serial-in/ parallel-out


Vamos dar uma olhada na srie-in / parallel-out que so disponveis como circuitos integrados, pela Texas Instruments. A baixo so mostrados alguns dispositivos com dados retirados de sua folha de dados.

Registrador de deslocamento serial-in / parallel-out SN74ALS164A de 8 bits.

O 74ALS164A de configurao igual ao diagrama anterior. A entrada no utilizada deve ser puxada de alta para permitir a entrada de outro. todas as sadas so mostrados no smbolo ANSI conforme o diagrama a cima, juntamente com os nmeros dos pinos. A entrada CLK para a seo de controle do smbolo ANSI ao lado da figura do CI tem duas funes internas C1, o controle de qualquer coisa com um prefixo de 1. Isso seria passar o tempo dentro de dados em 1D. A segunda funo, a flecha depois de depois da barra (/) a direita (para baixo) transferncia de dados dentro do registrador de deslocamento. As oito sadas esto disponveis para a direita dos oito registros abaixo da seo de controle. A primeira fase mais ampla do que os outros para acomodar a entrada A e B.

Registrador de deslocamento serial-in/parallel-out SN74AHC594 de 8-bit com registro de sada.

19

No diagrama ao lado a lgica interna do SN74AHC594 adaptada a partir da folha de dados da TI (Texas Instruments). Os FFs tipo "D" na linha superior, compreendem um resistrador de deslocamnto serial-in/parallel-out. Esta seo funciona como os dispositivos descritos anteriormente. As sadas (Q ' Q ' a Q ') o registro de deslocamento da metade dos alimentos do dispositivo do tipo "D" FFs na metade inferior em paralelo. Q ' (pino 9) deslocado para fora a qualquer cascata de dispositivo opcional ao pacote.
A B H H

Um nico clock de borda positiva RCLK ir transferir os dados de D a Q da FFS. Todos os 8-bits de transferncia em paralelo com a sada de registo (uma coleo de elementos de armazenamento). A finalidade do registro de sada manter uma produo constante de dados, enquanto novos dados esto sendo transferidos para a seo superior do registrador. Isso necessrio se as sadas s dirigirem a unidades de rels, vlvulas, motores, solenides ou campainhas. Este recurso pode no ser necessrio ao se dirigir para LEDs por que o msmo piscar durante o deslocamento no um problema. Observe que o 74AHC594 tem clocks separados para o registro de deslocamento (SRCLK) e o registro de sada (RCLK). Alm disso, o deslocamento pode ser eliminado por SRCLR e, o registro de sada por RCLR. desejvel para colocar os resultados em um estado conhecido o power-on, em especial, se for dirigindo a rels, motores, etc. As formas de onda abaixo ilustram deslocamento e travamento de dados.

20

As ondas acima mostram o deslocamento de 4 bits de dados para os quatro primeiros estgios do 74AHC594, ento a transferncia paralela ao registro de sada. Na realidade, o 74AHC594 um registrador de deslocamento de 8 bits, e levaria 8-clocks para mudana de 8-bits de dados, o que seria o modo normal de operao. No entanto, os 4-bits que mostramos economizam espao e ilustram bem a operao. Temos claramente que registrado deslocamento meio clock antes de t com SRCLR'= 0. SRCLR' devem ser devolvidas alta antes do deslocamento. Pouco antes de t registrar a sada desmarcada por RCLR'= 0. , tambm, liberado (RCLR'= 1). Dados serial 1011 apresentado no pino do SI entre os clocks t e t Ele deslocado pelos clocks t t t t aparecendo em internamente nos estgios Q ' Q ' Q ' Q ' Este dado est presente nesses estagoios, entre t e t . Depois de t os dados desejados (1011) no estaro disponvel nos estgios cmbio interno.
0 0 0 4. 1 2 3 4 A B C D . 4 5 5

21

Entre t e t foram aplicados um positivo na ida RCLK para transferncia de dados de 1011 a registrar as sadas Q Q Q Q Estes dados sero congelados aqui como mais dados (0 s) para mudanas na durante a dcada de SRCLK seguinte (t a t). No haver uma mudana nos dados aqui at que outro RCLK aplicado.
4 5 A B C D. 5 8

Registrador de deslocamento serial-in / parallel-out SN74AHC595 8-bit com registo de sada

22

No diagrama a cima a lgica interna do 74AHC595 novamente adaptada a partir da folha de dados da TI (Texas Instruments). O 74AHC595 idntico ao 594, exceto que o RCLR' substitudo por um OE' que permitem um buffer tri-state na sada de cada um dos oito bits de registro de sada. Embora o registro de sada no possa ser apagado, as sadas podem ser desligadas pelo OE'= 1. Isso permitiria um externo pull-up ou pull-down a resistncias para forar qualquer rel, solenide, ou controladores de vlvula para um estado conhecido durante um sistema de power-up. Quando o sistema ligado e, digamos, um microprocessador mudou e pega os dados no '595, permitir a sada poderia ser declarada (OE '= 0) para conduzir os rels, solenides e vlvulas com dados vlidos, mas, no antes desse tempo.

23 Acima esto as smbolos ANSI propostos para esses dispositivos. Dados de clocks C3 para a entrada serial (SER externa), conforme indicado pelo prefixo de 3 de 2,3 D. A seta aps C3 / indica deslocamento direita (para baixo) do registrador de deslocamento, o estagio-8, esquerda do smbolo '595, abaixo da seo de controle. O prefixo de 2 de 2,3 D e 2D, indica que estes estgios podem ser redefinidos pelo R2 (SRCLR' externo). O prefixo 1 de 1,4 D no '594 indica que um R1 (externo RCLR') pode redefinir o registro de sada, que o correto para registrar a mudana de seo. O '595, que tem um EN no OE' externo no possvel repor a sada de registro. Mas, o EN permite tristate (tringulo invertido) de buffers de sada. O tringulo correto apontando tanto do '594 e '595 indica buffer interno. Tanto os registros de sada '594 como '595 so cronometrados por C4 como indicado por 4 de 1,4 D e 4D respectivamente.

Registrador de deslocamento serial-in /parallel-out CD4094 de 8-bit com registro de sada

O CD4094B um 3 a 15 V trancando a mudana capaz registro, alternativa ao dispositivo anterior 74AHC594. CLOCK, C1, em turnos de dados EM SRIE como sugere o prefixo de 1D. tambm o clock do correto registrador de deslocamento (metade esquerda do corpo do smbolo), como indicado pelo / (a direita da seta) de C1 / (seta) na entrada de CLOCK. STROBE, C2 o relgio para a sada de 8 bits que registram-se para a direita do corpo do smbolo. A 2 de 2D indica que C2 o clock para o registro de sada. O tringulo invertido no fecho da sada indica que a sada tristated, sendo ativado pela EN3. O 3 antes do tringulo invertido e as 3 da EN3 muitas vezes so omitidos, como qualquer habilitar (EN) compreendido para controlar as sadas tristate. Q e Q ' no pegam sadas do estagio do registrador de deslocamento. Q pode ser encadeados para o SERIAL IN de um dispositivo de sucessor.
DC S S S

24

Aplicaes prticas
Um aplicativo do pratico do registrador d deslocamento serial-in / parallel-out de sada de dados a partir de um microprocessador para um indicador de painel remoto. Ou, qualquer outro dispositivo de sada remoto que aceita dados em formato de srie.

A figura "Alarm with remote keypad and display" repetida aqui a para o paralelo do serial-in / parallel-out com a adio de um display remoto. Assim, podemos mostrar, por exemplo, o status do alarme loop ligado caixa de alarme principal. Se o alarme detecta uma janela aberta, ele pode enviar dados em srie para o monitor remoto para nos avisar. Tanto o teclado quanto monitor provavelmente seria contido dentro de uma mesma distncia, separada da caixa de alarme principal. No entanto, vamos apenas olhar para o painel de visualizao nesta seo. Se o visor estiver na mesma placa que o alarme, podemos apenas executar oito fios aos oito LEDs, juntamente com dois fios para energia e terra. Estes oito fios no so muito desejveis para um painel remoto de longo prazo. Utilizando registradores de deslocamento, ns s precisamos usar cinco fios de clock, de dados de srie, um de flash, energia e terra. O painel estando a poucos centmetros de distncia da placa principal, pode ser desejvel para reduzir o nmero de fios em um cabo de conexo para melhorar a confiabilidade. Alm disso, s vezes se usam a maior parte dos pinos disponveis em um microprocessador e uma necessidade de usar tcnicas seriais para expandir o nmero de sadas. Alguns dispositivos de circuitos integrados de sada, como conversores de digital para analgico contem registradores de deslocamento serial-in /parallel-out para receber dados de microprocessadores. As tcnicas ilustradas aqui so aplicveis a estes componentes.

25

Ns escolhemos o registrador de deslocamento serial-in /parallel-out com registro 74AHC594, porm, requer um pino extra, RCLK, em paralelo a carga para deslocaros dados para os pinos de sada. Este pino extra evita as mudana de sadas, enquanto os dados esto se deslocando dentro. Isso no um grande problema para os LEDs. Mas, seria um problema se for acionar rels, vlvulas, motores, etc. O cdigo executado no microprocessador comearia com 8-bits de dados a sada. Um bit seria a sada do pino "Serial data out", dirigindo-se ao SER do 74AHC594 remoto. Em seguida, o microprocessador gera uma transio de baixo para alto em "Shift clock", dirigindo SRCLK do registrador de deslocamento '595. Este clock positivo desloca os bits de dados na SER de "D" a "Q" do primeiro turno do estagio de registro. Isto no tem efeito sobre um LED Q neste momento por causa da sada de 8 bits interna de registro entre o registrador de deslocamento e os pinos de sada (Q a Q Finalmente, o "Shift clock" puxado para trs por baixo do microprocessador. Isso completa a mudana de um bit para o '595. O processo repetido mais sete vezes para completar a transferncia de 8-bits de dados do microprocessador para a 74AHC594 registrador de deslocamento serial-in /parallel-out. Transferir a 8-bits de dados dentro do turno '595 interno de registro para a sada, exige que o microprocessador gere uma transio de baixo para alto em RCLK, a sada do cadastro do clock. Isto aplica novos dados para os LEDs. O RCLK precisa ser puxado para baixo em antecipao da transferncia de 8 bits de dados ao lado. Os dados presentes na sada do '595 permanecero at que o processo descrito dois pargrafos acima repetido para uma nova remessa de 8-bits de dados. Em particular, os novos dados podem ser deslocados para o registro de deslocamento '595 interno sem afetar os LEDs. Os LEDs s sero atualizados com novos dados com a aplicao da borda de subida RCLK. O que fazer se precisar de mais de oito LEDs? Basta colocar em cascata outro 74AHC594 SER no pino Q ' do atual. O microprocessador precisa transferir 16 bits de dados com 16 clocks antes de gerar um RCLK alimentando ambos os dispositivos. Os LED indicadores discretos, que mostramos, podem ser diodos emissores de luz de 7 segmentos. Porm, existem LSI (Large Scale Integration), dispositivos capazes de conduzir vrios dgitos de 7 segmentos. Este dispositivo aceita dados de um microprocessador em um formato srie.
A A H). H

26

Registradores de deslocamento Parallelin, parallel-out


O objetivo do registrador de deslocamento de entrada/sada em pararelo para coletar um dado pararelo, desloc-lo e mostr-lo conforme a figura abaixo. Um registrador de deslocamento universal um disposito "faz-tudo" em adio a funo de entrada/sada pararela.

Acima, ns entramos com 4 bits de dados na entrada/sada pararela do registrador de deslocamento em Da Db Dc Dd. O modo de controle, o qual pode ter mltiplas entradas, controla o carregamento(load) pararelo versus deslocamento. O modo de controle pode tambm controlar a direo do deslocamento em alguns dispositivos reais. O dado ser deslocado 1 bit de posio para cada pulso do clock.O dado deslocado estar disponvel nas sadas Qa Qb Qc Qd. O dado de entrada(data in) e o dado de sada(data out) so obtidos atravs do cascateamento de vrios estgios. Entretanto, acima, ns podemos cascatear dados apenas para deslocamento para direita. Ns podemos implementar cascateamento de deslocamento de dados para a esquerda adicionando um par de "left pointing signals", dados de entrda(data in) e dados de sada(data out), acima. Os detalhes internos do cascateamento para direita de registrador de deslocamento de entrada/sada pararela so mostrados abaixo. Os buffers tri estado(tri-state) no so estrimamente necessrios para o registrador de deslocamento de entrada/sada pararela, mas so parte do dispositivos do mundo real mostrados abaixo.

27

O 74LS395 se aproxima tanto do nosso conceito de um hipotetico registrador de deslocamento de entrada/saida pararela para a direita que ns usamos uma verso bastante simplificada dos detalhes dodata sheet(informaes do produto) acima. Verifique o link para o data sheet completo por mais detalhes mais a frente deste captulo. LD/SH' controla o E-OU multiplexador de dados de entrada para os flip flop's(FF's). Se LD/SH'=1, as 4 portas superiores E esto ativadas permitindo a aplicao das entradas em pararelo Da Db Dc Dd para os quatro dados de entrada dos FF. Obseve que crculo inversor no clock de entrada dos quatro FFs. Isso indica que o 74LS395 "clocka"(altera) os dados no caminho negativo do clock, isto , na transio do estado alto para o baixo. os 4 bits de entrada sero clockados em pararelo de Da Db Dc DD para Qa Qb Qc Qd no camiho negativo do clock. Nessa "parte real", OC'deve estar em estado baixo se o dado precisa ser estar disponvel no pino(pins=?) da sada atual ao contrrio de apenas nos FF internos. O dado previamente carregado(load) pode ser deslocado para direita em 1 posio caso LD/SH'=0 para a sucessivoa quina do clock em direo negativa. 4 clocks deslocariam o dado inteiramente para fora do nosso registrador de deslocamento de 4 bits. O dado seria perdida a no ser que nosso dispositivo(circuito) estivesse cascateado de Qb' para SER de outro circuito.

28

Acima, o padro de dados apresentado para entradas Da Db Dc DD. O padro carregado(loaded) para Qa Qb Qc Qd. Ento deslocado 1 bit para a direita. O dado de entrada indicado por um X, significando que ns no conhecemos o que ele . Se a entrada(SER) fosse aterrada, por exemplo, saberimos que o dado(0) foi deslocado. Tambm mostrado, o deslocamento para direita de duas posies, requerendo dois clocks.

A figura acima serve de referncia para o hardware involvido do deslocamento para direita de dados. to simples para sequer se preocupar com essa figura, exceto para comparao de figuras mais complexas que se seguirem.

O deslocamento para direita de dados mostrado acima como referncia para o prvio registrador para a direita.

29

Se precisarmos deslocar para a esquerda, as conexes dos FFs precisam ser mudadas. Compare ao registrador para direita anterior. Tambm, SI e SO foram invertidos. SI desloca para Qc. Qc desloca para Qb. Qb desloca para Qa. Qa parte na conexo SO, aonde poderia cascatear para outro registrador SI. Esse deslocamento para a esquerda o contrrio da sequncia de deslocamento para a direita.

Acima ns deslocamos o mesmo padro de dados para a esquerda em 1 bit. A existe um problema com a figura acima do deslocamento para a esquerda. No existe mercado para tal. Ningum fabrica um registrador desses. Um dispositivo real que desloca em uma direo pode ser conecatado externamente para deslocar em outra direo. Poderimos tambm dizer que no existe esquerda ou direita no contexto no dispositvo que desloca em apenas uma direo. Entretanto, existe um mercado para o dispositivo o qual ir deslocar para a esquerca ou direita sob um comando de uma linha de controle. claro, esquerda ou direita so vlidos nesse contexto.

30

O que temos acima um hipottico registrador de deslocamento capaz de de deslocar em qualquer direo sob o controle de L'/R. inicializado com L'/R=1 para deslocar na direo normal, direita. L'/R=1 permite o multiplexador portas E serem nomeadas de R. Isso permite o dado seguir o caminho ilustrado pelas setas, quando um clock aplicado. O caminho de conexo o mesmo do "to simples" deslocador para direita da figura acima. Dado muda em SR, para Qa, para Qb, para Qc, aonde sai em cascata SR(?). Esse pino(pin) poderia encaminhar SR para outro dispositivo para a direita. O que aconteceria se mudssemos L'/R para L'/R=0?

31

Com L'/R=0, o multiplexador portas E estariam ativas, permitindo um caminho, mostrado pelas flechas, o mesmo como o registrador para a esquerda da figura acima. O dado desloca em SL, para Qc, para Qb, para Qa, aonde sai na cascata SL. Esse pino poderia encaminhar Sl para outro dispositivo para a esquerda. Essa caracterstica principal das duas figuras acima ilustrando o deslocamento para a esquerda/direita simplicidade. A operao para o controle esquerda direita L'/R=0 fcil de compreender. Uma parte comercial necessita o carregamento(load) de dados em pararelo implicado no ttulo da seo. Isso aparece na figura abaixo.

32 Agora que podemos deslocar tanto para esquerda quanto para direita atravs do L'/R, vamos adicionar SH/LD', desloca/carrega, e as portas E chamadas de "carregar" para fornecer para carregamento pararelo de dados das entradas Da Db Dc. Quando SH/LD'=1, as portas E chamadas de "carregar" so desativadas, permitindo o controle L'/R esquerda/direita definir a direo de deslocamento no L ou R portas E. O deslocamento ocorre como nas fguras annteriores. A nica coisa necessria para produzir um circuito integrado vivel adicionar a quarta porta E no multiplexador como referenciado para o 74ALS299. Isso mostrado na prxima seo para essa parte. ***ENTRADA/SADA PARARELA E DISPOSITIVOS UNIVERSAIS***

Vamos dar uma olhada no registrador de deslocamento serial entrada/sada pararelo disponvel nos circuitos integrados, cortesia da Texas Instrumentos

Ns j observamos nos detalhes internos do SN74LS395A, veja figura anterior acima, registrador de deslocamento 74LS395 de entrada/sada pararelo com sada tri estado(tri-state). Diretamente acima encontra-se o smbolo ANSI para o 74LS395. Porque apenas 4 bits, como indicado pelo SRG4 acima? Tendo ambas entradas pararelas. e sadas pararelas, alm do controle e pinos de alimentao, no permite mais nenhum I/O(Input entrada Output sada) bit em um DIP(Dual Inline PAckage ?) de 16 pinos. R indica que os estgios registrador de deslocamento so resetados pela entrada CLR'(ativa em estado baixo-???) da seo de controle no topo do smbolo. OC', quando baixo,( flecha inversora novamente) ir permitir(EN4) os 4 buffers de sada tri estado(Qa Qb Qc Qd) na seo do dado.Carregar/deslocar'(LD/SH') no pino(7) corresponde para internos M1(carregar) e M2(deslocar). Procure por prefixos de 1 e 2 no resto dos smbolos para confirmar o que controlado por esses.

33 A quina negativa do clock sensitivo(indicado pela flehca inversora no pino 10) C2/2 tem duas funes. Primeiro, o 3 do C3/2 afeta qualquer entrada tendo prefixo 3, diga 2,3D ou 1,3D na seo do dado. Isso seria carregado pararelamento em A, B, C, D atribudo para M1 e C3 para 1,3D. Segundo, 2 do C3/2 responsvel pelo deslocamento nos estgios do registrado de deslocamento Qa Qb Qc Qd. Os tringulos de quina na direita indicam buffering; os tringulos invertidos indicam tri estado, controlador por EN4. Observe, todos os 4s no smbolo associado com EN so frequentemente omitidos. Estgios Qb Qc so compreendidos em terem os mesmos atributos como Qd e Qd' cascateados nos prximos pacotes SER para a direita.

A tabela acima, condensada dos dados do data sheet '299, resume a operao do registrador de deslocamento/armazenador universal 74ALS299. V no link do '299acima para mais detalhes. O portas do multiplexador R,L,load(carregar) operam como os registradores de deslocamente esquerda/direita das figuras anteriores. A diferena o modo em que as entradas S1 e S0 selecionam o deslocamento para a esquerda, para a direita e carregam(load) o modo para S1 S0 igual a 01,10 e 11 respectivamente conforme mostrado na tabela, permitindo as portas do multiplexador L,R e load respectivamente. Veja a tabela. Uma pequena diferena o caminho do carregamento pararelo das sadas do tri estado. Na verdade, os buffers tri estado so (devem ser) desativados pelo S1 S0 = 11 para flutuar o barramento de entrada I/O para usar como entrada. O barramento(bus) a coleo de sinais similares. As entradas so aplicadas para A, B atravs de H(mesmos pinos de Qa,Qb atravs de Qh)e guiados para a porta de carregamento(load) nos multiplexadores e nas entradas D dos flip flops(FF). Dado carregado pararelamente em um pulso de clock. Uma porta nova do multiplexador a porta E chamada de segura(hold), ativada por S1 S0 = 00. A porta hold permite um caminho da sada Q do FF retorna para a porta hold, para a entrada D do mesmo FF. O resultado que com o modo S1 S0 = 00, a sada continuamente recarregada conforme cada novo pulso de clock. Logo, o dado mantido. Isso apresentado na tabela. Para ler os dados das sadas Qa,Qb, atravs de Qh, os buffers tri estado devem estar ativados por OE2',OE1' = 00 e modo = S1 S0 = 00,01 ou 10. Isto , o modo qualquer coisa exceto carregar(load). Veja a segunda tabela.

34

O dado deslocado para a direita de um pacote para a esquerda, desloca na entrada SR. Qualquer dado deslocado fora para a direita do estgio Qh cascatea para a direita via Qh'. Essa sada inafetada pelos buffers tri estado. A sequncia de deslocamento para a direita para S1 S0 = 10 :

SR>Qa>Qb>Qc>Qd>Qe>Qf>Qg>Qh(Qh')

O dado deslocado para a esquerda de um pacote para a direita, desloca na entrada SL. Qualquer dado deslocado fora para a esquerda do estgio Qa cascatea para a esquerda via Qa', tambm inafetado pelos buffers tri estado. A sequncia de deslocamento para a esquerda para S1 S0 = 01 : (Qa')Qa<Qb<Qc<Qd<Qe<Qf<Qg<Qh(Qsl')

Deslocamento pode ocorrer quando os buffers tri estado esto desativados por um dos OE2' ou OE1' = 1. Entretanto, o contedo da sada dos registradores no estar acessvel. Veja a tabela.

35

O smbolo enxuto ANSI para o registrador de deslocamento universal 8 bits SN74ALS299 entrada/sada paraelo com sada tri estado mostrado para referncia acima.

36 A verso anotada do smbolo ANSI mostrado para clarear a terminologia contida a. Observe que o modo ANSI(S0 S1) revertido da ordem(S1 S0) utilizada previamente na tabela. Isso reverte os nmeros no modo decimal(1 & 2). Em qualquer ocasio, ns estamos concordando completamente com o data sheet, copiando essa inconsistncia. ***APLICAES PRTICAS*** O alarme com diagrama de blocos de teclado remoto mostrado abaixo. Previamente, ns construmos o leitor do teclado e o display remoto como unidades separadas. Agora ns combinamos ambos os teclados e display em uma nica unidade usando o regisrador de deslocamento universal. Embora separados no diagrama, o teclado e o display so ambos contidos como o mesmo compartimento remoto(?).

Ns iremos pararelamente carregar(load) os dados do teclado no registrador de deslocamento com um nico pulso de clock, ento deslocar para a caixa do principal alarme. Ao mesmo tempo, ns iremos deslocar o dado do LED do principal alarme para o registrador de deslocamento remoto para iluminar os LEDs. Ns iremos simultaneamente deslocar fora os dados do teclado e do LED no registrador de deslocamento.

37

Oito LEDs e os atuais resistores limitadores so conectados nos oito pinos I/O do 74ALS299 do registrador de deslocamento universal. Os LEDs podem apenas serem driven(?) durante o modo 3 com S1=0 S0=0. A entrada do tri estado OE1' e OE2'est sempre aterrada permitindo permanentemente as sadas do tri estado durante os modos 0,1 e 2. Isso ir causar os LEDs piscarem(flicker) durante o deslocamento. Se isso fosse um problema o EN1'e EN2'poderiam no estarem aterrados mas em pararelo com S1 e S0 respectivamente para apenas permitir os buffers tri estado e iluminar os LEDs durante hold, modo 3. Vamos manter simples para esse exemplo. Durante o carregamento em pararelo, S0=1 invertido para 0, permitindo os buffers de tri estado octal "to ground the switch wipers"?). A sada superior, aberta, muda os contatos para o nvel lgico alto pela combinao resistor-LED nas oito entradas. Qualquer fechamento de contato ir reduzir a entrada para o nvel baixo. Ns carregamos pararelamente o dado do contato '299 no clock t0 quando ambos S0 e S1 esto altos. Veja as formas de onda abaixo.

38

Assim que S0 ficar em nvel baixo, oito clocks(t0 at t8) deslocam o dado de sada do contato de fechamento(?) do '299 via o pino Qh'. Ao mesmo tempo, um novo dado do LED deslocado em SR do 299 para os mesmos 8 clocks. O dado do LED reposto pelo dado do contato fechado assim que o deslocamento ocorre(?). Depois do oitavo clock, t8, S1 vai para o nvel baixo para manter o modo segura(hold) (S1 S0 = 00). O dado no registrador de deslocamento permanece igual mesmo que ocorram clocks em seguida, por exemplo, t9, t10, etc. De onde vem as formas de onda? Eles poderiam ser gerados por um microprocessador se a taxa de clock no fosse acima de 100Hz, que no caso, seria inconveniente para gerar qualquer clock aps t8. Se o clock fosse na banda de MHz, o clock iria rodar continuamente. O clock, S1 e S0 seriam gerador pela lgica digital, no mostrados aqui.

39

Contadores em Anel
Se a sada de um registrador de deslocamento realimentada na entrada, resulta-se da um contador em anel. O padro de dados contidos no registrador de deslocamento vai recircular enquanto pulsos so aplicados. Por exemplo, o padro de dados ser repetida a cada quatro pulsos de clock na figura abaixo. No entanto, temos de carregar um padro de dados. Todos os 0's ou todos os 1s no contam. Um nvel de lgica contnuo de tal circunstncia til?

Ns fazemos provises para carregar os dados no registrador de deslocamento paralelo de entrada e serial de sada configurado como o contador em anel abaixo

Carregando o binrio 1000 no contador em anel acima, para permitir um padrao visivel. O padro de dados para um estgio simples repete a cada 4 pulsos de clock no nosso exemplo de 4 estgios. A forma de onda para todos os 4 estgios so as mesmas, exceto por um atraso de 1 pulso de clock de um estgio para o outro. Veja a figura abaixo:

O circuito acima uma diviso por contador 4. Comparando a entrada de clock com qualquer uma das sadas, percebe-se uma razo de freqncia de 4:1. Quantos estgios ns precisaramos para obtermos um contador em anel dividido por 10? 10 estgios circulariam o 1 a cada 10 pulsos.

40

Um mtodo alternativo para a inicializao do contador em anel em 1000 est mostrado acima. As formas de onda deslocadas so idnticas a estas acima, repetidas a cada 4 pulsos de clock. O requerimento de inicializao uma desvantagem do contador em anel em comparao ao contador convencional. Em um mnimo, Ele deve ser inicializado em nvel alto desde que no haja nenhuma forma de predizer em qual estado o flip-flop estar ligado. Em teoria, a inicializao nunca mais deveria ser requerida de novo. Na pratica, os flip-flop podem ser eventualmente corrompidos por rudos, destruindo o padro de dados. O contador auto-corretivo como o contador convencional sncrono binrio seria mais confivel.

O contador binrio sncrono acima precisa apenas de dois estgios, mais precisa de portas descodificadoras. O contador em anel tinha mais estgio, mas era autodecodificvel , economizando as portas decodificadoras acima. Outra desvantagem do contador em anel que ele no auto-inicivel. Se ns precisarmos das sadas decodificadas, o contador em anel parece atrativo, em particular, se a maioria da lgica est em um pacote simples de registrador de deslocamento. Se no, o contador binrio convencional menos complexo sem o decodificador.

41

As formas de onda decodificadas do contador sncrono binrio so idnticas s formas de onda do contador em anel anterior. A sequencia de contagem : (QA QB) = (00 01 10 11).

Contadores Johnson
O contador em anel switch-tail, tambm conhecido com contador Johnson, supera algumas limitaes do contador em anel. Como um contador em anel, o contador Johnson um registrador de deslocamento com realimentao nele mesmo . Ele requer metade dos estgios em comparao com um contador em anel de mesma razo de diviso. Se a sada complementar de um contador em anel realimentada para a entrada em vez da sada real, temos ento um contador Johnson . A diferena entre um contador em anel e um contador Johnson consiste em qual sada do ultimo estgio realimentada, (Q ou Q). Cuidadosamente, compare a conexo da realimentao abaixo com o contador em anel anterior:

Essa conexo de feedback reversa tem um efeito profundo no comportamento tambm dos outros circuitos similares. Recirculando um nico 1 em torno do contador em anel divide o clock de entrada por um fator igual a o nmero de estgios. Enquanto um contador Johnson divide por um fator igual a duas vezes o nmero de estgios. Por exemplo, um contador em anel de 4 estgios divide por 4. O contador Johnson de 4 estgios divide por 8.

42 Comea-se um contador Johnson setando todos os estgios para 0s antes do primeiro clock. Isso geralmente feito quando se liga o contador. Referindo figura abaixo, o primeiro clock desloca trs 0s de ( QA QB QC) para a direita em ( QB QC QD). O 1 em QD (o complemento de Q) PE deslocado de volta para QA. Ento, ns comeamos inicializando 1s para a direita, substituindo os 0s. Enquanto um contador em anel recircula um nico 1, o contador Johnson de 4 estgios recircula quatro 0s depois quatro 1s para um padro de dados de 8 bits, depois repete o processo. .

A forma de onda acima ilustra que ondas quadradas multi-fases so geradas com um contador Johnson. A unidade de 4 estgios acima gera quatro fases sobrepostas de 50% ciclo de servio. Quantos estgios seriam requeridos para gerar um conjunto de trs formas de onda defasadas? Por exemplo, um contador Johnson de trs estgios, acionado por um clock de 360 Hertz, geraria trs ondas quadradas de 60 Hertz defasadas de 120. As sadas dos flip=flops no contador Johnson so fceis de decodificar para um estado nico. Abaixo se v um exemplo, os oito estados de um contador Johnson de 4 estgios so decodificados por no mais que uma porta de duas entradas para cada um dos estados. No nosso exemplo, oito das portas de duas entradas decodificam os estados para o nosso exemplo de contador Johnson.

43

No importa o quanto o contador Johnson conte, apenas portas de duas entradas so necessrias. Note que, ns poderamos ter usado entradas no invertidas nas portas E trocando as entradas da porta de verdadeiro para invertido nos FFs, Q para Q', (e vice versa). No entanto, ns estamos tentando fazer o digrama acima o mais prximo da prtica possvel da folha de dados do CD4022B.

Acima, nossa quatro ondas defasadas QA a QD so decodificadas para oito sinais (G0 a G7) ativos durante um perodo de clock de um ciclo completo de 8 clocks. Por exemplo, G0 ativo em nvel lgico alto quando ambos QA e QD esto em nvel lgico

44 baixo. Ento, pares das vrias sadas do registrador definem cada um dos oito estados do nosso exemplo de contador Johnson.

Acima est o diagrama interno mais completo do contador Johnson CD4022B. Veja a folha de dados dos fabricantes para perceber detalhes menores omitidos. A maior diferena deste diagrama comparado com as outras figuras anteriores o detector de estados no permitidos composto por duas portas NOR. D uma olhada na tabela dos estados de entrada. Existem 8 estados permissveis listados na tabela. Desde que nosso registrador de deslocamento tem 4 flip-flops, existem um total de 16 estados, do quais existem 8 estados no permitidos. Estes seriam os estados no listados na tabela. Em teoria, no vamos entrar em qualquer um dos estados no permitidos, desde que o registrador de deslocamento est em RESET antes da primeira utilizao. No entanto, no "mundo real " depois de muitos dias de operao contnua, devido ao rudo imprevisto, distrbios da linha elctrica, um raio que cai perto, etc, o contador Johnson poderia entrar em um dos estados no permitidos. Para aplicaes de alta confiabilidade, preciso planejar para essa possibilidade indesejada. Mais grave o caso em que o circuito no limpo, no power-up. Neste caso no h nenhuma maneira de saber qual dos 16 estados do circuito sero ligados. Uma vez em um estado no permitido, o contador Johnson no vai voltar a qualquer um dos estados autorizados sem interveno. Essa a finalidade das portas NOR. Examine a tabela para a seqncia (QA QB QC) = (010). Em nenhum lugar essa seqncia aparecem na tabela de estados permitidos. Portanto (010) no permitido.

45 Ele nunca deveria ocorrer. Se isso acontecer, o contador Johnson est em um estado no permitido, o qual precisa sair para qualquer estado permitido. Suponha que (QA QB QC) = (010). A segunda porta NOR substituir QB = 1 com um 0 na entrada D para o FF QC. Em outras palavras, o agressor 010 passa a ser 000. E 000, que no aparece na tabela, ser deslocado para a direita. H muitas seqncias de 0-triplos na tabela. Isto como as portas NOR fazem o contador de Johnson sair de um estado no permitido para um estado permitido. Nem todos os estados no permitidos possuem a seqncia 010. No entanto, depois de alguns pulsos de clock, esta seqncia ir aparecer para que qualquer estado no permitido seja eventualmente evitado. Se o circuito ligado sem um RESET, os resultados sero imprevisveis para alguns pulsos de clock at que um estado permitido seja atingido. Se isto um problema para uma aplicao particular, certifique-se que voc aplicou o RESET ao lig-lo.

Dispositivos Contadores Johnson


Alguns circuitos integrados de contador Johnson com os estados de sada decodificado esto disponveis. Ns j olhamos para a lgica interna do CD4017 na discusso dos contadores Johnson. Os dispositivos da srie 4000 podem operar com fontes de alimentao de 3V a 15V. A parte 74HC, projetado para a compatibilidade TTL, pode operar com uma fonte de 2V a 6V, contam mais rpido, e tem maior capacidade de movimentao de sada. Alguns exemplos esto listados abaixo: CD4017 contador Johnson com 10 sadas decodificadas CD4022 contador Johnson com 8 sadas decodificadas 74HC4017 contador Johnson com 10 sadas decodificadas

Os smbolos da ANSI para os contadores Johnson mdulo-10 (divisor por 10) e mdulo-8 esto mostrados acima. O smbolo assume as caractersticas de um contador, em vez de um registrador de deslocamento derivativo, o que . Formas de onda e operao para o CD4022 modulo-8 foram mostrados anteriormente. O CD4017B / 74HC4017 contadores de dcada um contador Johnson 5-estgios com dez sadas decodificadas. A operao e formas de onda so semelhantes aos CD4017.

46 Na verdade, o CD4017 e CD4022 so detalhadas na mesma folha de dados. O 74HC4017 uma verso mais moderna do contador de dcada. Estes dispositivos so utilizados quando so necessrias sadas decodificadas em vez de sadas binrias ou BCD (Binary Coded Decimal) encontradas nos contadores normais. Por decodificado, queremos dizer que uma linha de sada das dez linhas ativa em um instante de tempo para a '4017 no lugar do cdigo de quatro bits BCD dos contadores convencionais. Veja a forma de onda anterior para a decodificao 1de-8 para contador Johnson Octal '4022.

Practical applications

O contador Johnson acima desloca um LED iluminado a cada quinto de segundo em torno do anel de dez LEDs. Observe que o 74HC4017 usado em vez do '40017 porque a parte anterior tem um drive de maior capacidade. A partir da folha de dados, operando no VCC = 5V, o VOH = 4.6V em 4mA. Em outras palavras, as sadas podem fornecer 4 mA a 4,6 V para acionar o LED. Tenha em mente que os LEDs so normalmente abastecidos com 10 a 20 mA de corrente. Contudo, elas so visveis at 1 ma. Este circuito simples ilustra uma aplicao do "HC4017. Necessita de um display brilhante para uma exposio? Ento, use invertendo buffers para a controlar os catodos dos LEDs acesos a uma fonte de alimentao por um valor menor do resistor no nodo. O temporizador 555, servindo como um multivibrador astvel, gera uma frequncia de relgio determinada por R1, R2 C1. Isso leva o 74HC4017 a um passo por clock, como indicado por um nico LED iluminado no anel. Note que, se o 555 de forma no confivel conduzir o pino de clock de '4015, execut-lo atravs de um estgio de buffer simples entre o 555 e os '4017. Um resistor varivel R2 poderia alterar a taxa de passo. O valor do capacitor desacoplado C2 no crtico. Um capacitor similar deve ser aplicado entre os pinos de energia e terra do '4017.

47

O contador Johnson acima gera ondas quadradas de 3 fases, defasadas de 60 com relao a (QA QB QC). No entanto, precisamos de ondas defasasdas de 120 para aplicaes de energia Escolhendo P1=QA , P2=QC, P3=QB' resulta nas ondas defasadas de 120 desejadas. Veja a figura abaixo. Se estes (P1 P2 P3) so filtrados passa-baixa para ondas senoidais e amplificadas, este poderia ser o comeo de uma fonte de alimentao de 3 fases. Por exemplo, voc precisa alimentar um pequeno motor de 3 fases de uma aeronave a 400 Hz? Em seguida, passe 6x 400Hz para o circuito CLOCK acima. Note-se que todas estas formas de onda so 50% ciclo de servio.

48 O circuito abaixo produz ondas de 3 fases que no se sobrepem, a menos de 50% ciclo de servio, para a conduo de motores de passo de 3 fases

Acima ns decodificamos as sadas QA QB QC para as sadas no superpostas P0 P1 P2 como mostrado abaixo. Estas formas de onda alimentam um motor de passo de trs fases aps amplificao adequada de um nvel de miliampres at fraes de ampres usando o componente ULN2003 mostrado acima, ou o par de componentes discretos Darlington mostrado no circuito abaixo. No considerando os componentes para o motor, este circuito requer trs pacotes CI (Circuito Integrado): dois pacotes duplos tipo FF "D" e uma porta NAND qudrupla.

49

O CD4017 simples acima gera as trs formas de onda trifsicas requeridas para o motor de passo atravs da setagem em zero do contador Johnson na contagem 3. A contagem 3 persiste por menos que 1 milisegundo antes que ele mesmo seja setado em zero. Os outros contadores (Q0=G0 Q1=G1 Q2=G2) continuam por um perodo de clock completo cada. O driver do transistor bipolar Darlington mostrado acima so um substituto para o circuito interno do ULN2003. O design dos drivers est acima do escopo deste captulo de eletrnica digital. Qualquer driver pode ser usado com circuito gerador de forma de onda.

50

As formas de onda acima fazem mais sentido no contexto da lgica interna do CD4017 mostrado anteriormente nesta seo. Ento, as equaes das portas AND para o decodificador interno esto mostradas. Os sinais QA QB QC so sadas do registrador de deslocamento direto do contador Johnson no esto disponveis ou so pin-out. A forma de onda QD mostra resetando o '4017 a cada trs pulsos de clock. Q0 Q1 Q2, etc. so sadas decodificadas as quais realmente esto disponveis como pinos de sada.

51 Acima ns geramos formas de onda para alimentar um motor de passo unipolar, o qual requer apenas uma polaridade para o sinal de alimentao. Isto , no temos de inverter a polaridade da unidade para as bobinas. Isso simplifica o driver de energia entre o '4017 e do motor. Os pares Darlington do diagrama anterior podem ser substitudos pelo ULN3003.

Mais uma vez, o CD4017B gera as ondas necessrias com um reset aps a contagem teminal. As sadas decodificadas Q0 Q1 Q2 Q3 sucessivamente movimentam as bobinas do motor de passo, com Q4 resetando o contador no final de cada grupo de 4 pulsos.

Referncias:
http://pt.wikipedia.org/wiki/Colossus_%28computador%29

http://www.allaboutcircuits.com/vol_4/chpt_12/1.html

http://pt.wikipedia.org/wiki/Registrador_de_deslocamento

Das könnte Ihnen auch gefallen