Beruflich Dokumente
Kultur Dokumente
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity game is
end game;
process (temp2)
begin
w<='1';
else
t<="01";
end if;
w<='1';
else
t<="10";
end if;
w<='1';
else
l<='1';
end if;
end process;
end behavioral;