Sie sind auf Seite 1von 1

Page 1 of 1

Summary
Design Name Fitting Status Software Version Device Used Date alu Successful J.36 XA9536XL-15-VQ44 10-29-2011, 8:59PM
RESOURCES SUMMARY

Macrocells Used 15/36 (42%)

Pterms Used 66/180 (37%)

Registers Used 0/36 (0%)


PIN RESOURCES

Pins Used 15/34 (45%)

Function Block Inputs Used 32/108 (30%)

Signal Type Input Output Bidirectional GCK GTS GSR

Required 11 4 0 0 0 0

Mapped 11 4 0 0 0 0

Pin Type I/O GCK/IO GTS/IO GSR/IO

Used 13 1 0 1

Total 28 3 2 1

GLOBAL RESOURCES

Global clock net(s) used Global output enable net(s) used Global set/rest net(s) used

0 0 0
POWER DATA

Macrocells in high performance mode (MCHP) Macrocells in low power mode (MCLP) Total macrocells used (MC)
back to top

0 15 15
print page

file://G:\tomorrrow\verilog\Xilinx\alu_brown\alu\alu_html\fit\summary.htm

29-Oct-2011

Das könnte Ihnen auch gefallen