Sie sind auf Seite 1von 1

This is the README file for the NC-Verilog tutorial lab.

Download the tutorial source files -- in a shell window enter: cp `ncroot`/doc/ncvlogtut/examples/files/* . Run the NCLaunch utility -- in a shell window enter: nclaunch -new & Access the tutorial in your choice of these three ways: Directly access the tutorial PDF -- in a browser location field enter: /cds/IUS583/sun4v/doc/ncvlogtut/ncvlogtut.pdf <- modify as required Directly access the tutorial HTML -- in a browser location field enter: /cds/IUS583/sun4v/doc/ncvlogtut/ncvlogtutTOC.html <- modify as required Access the tutorial HTML through CDSDoc -- in a shell window enter: cdsdoc & Select the latest IUS "Active Library" Select to view "Docs by Product" Expand "Incisive Unified Simulator" Expand "NC-Verilog Simulator Tutorial with SimVision" Open "Table Of Contents" Do not at this time attempt to play the videos or interactive exercises. Simply run the simulation tools as the tutorial instructs. Estimated time to read and understand tutorial: ?? minutes Estimated time to follow tutorial instructions: 45 minutes Version 5.83-p1 tutorial errata: No errata has been reported that would materially affect your lab work.

Das könnte Ihnen auch gefallen