Sie sind auf Seite 1von 14

PRACTICA N 2 FLIP-FLOPS CIRCUITOS LOGICOS

BOHORQUEZ CUELLO JOSE LEONARDO LECLETH GOMEZ RAUL EMILIO VERBEL BALLESTAS VILMA MARCELA

ZURISADDAI SEVERICHE M. ING. ELECTRONICA

UNIVERSIDAD DE SUCRE FACULTAD DE INGENIERA PROGRAMA TECNOLOGA EN ELECTRNICA SEMESTRE V SINCELEJO SUCRE MARZO DEL 2010

INTRODUCCION Aunque existen miles de tipos de circuitos digitales integrados, el elemento de memoria ms importante es el flip-flop, que est formado por un ensamble de compuertas lgicas. Aunque una compuerta lgica, por si misma, no tiene capacidad de almacenamiento, pueden conectarse varias de ellas de manera que (FF). Existen varios tipos de flip-flops, hablaremos del tipo D que es un circuito de memoria que almacena una seal digital o bit. En su forma ms sencilla, un flipflop D tiene dos entradas y una salida. El bloque rectangular representa el flipflop, con sus entradas etiquetadas como D y C y su salida como Q, las lneas rectas que llegan al bloque representan alambres que conectan a otras partes del circuito. Estos alambres pueden transportar seales digitales de y hacia el flip-flop. permiten almacenar informacin. Existen varias maneras de configuraciones de compuertas que se utilizan para producir estos flip-flops

OBJETIVO

Entender el funcionamiento de los flips flops D, JK y T a travs de procedimientos experimentales sencillos.

LISTA DE MATERIALES Y HERRAMIENTAS

MATERIALES 1. COMPONENTES 1 IC 74LS74. 1 IC 74LS76 2 LED de 3mm. 4 Interruptores SPST. 6 resistencias de 330.

2. HERRAMIENTAS Cables UTP AWG24 Pinza de punta plana Pinza pelacable

3. INSTRUMENTOS Fuente de voltaje 5VDC. Multmetro. Tablero de conexiones

MARCO TEORICO El primer flip-flop electrnico fue inventado adentro 1919 por Guillermo Eccles y F. W. Jordania. Inicialmente fue llamado Circuito de disparador de Eccles-Jordania, y consistido en dos elementos activos (radio-tubos). El flip-flop conocido fue derivado ms adelante del sonido producido en un altavoz conectado con uno de los amplificadores detrs juntados hechos salir durante el proceso del disparador dentro del circuito. Este original electrnico el circuito biestable de la dos entrada simple del mover de un tirn-fracaso-uno sin ninguna seal dedicada del reloj (o an puerta), era transparente, y as un dispositivo que sera etiquetado como cierre hoy. Generalidades Los Flip-Flop son las unidades bsicas de todos los sistemas secuenciales, existen cuatro tipos: el RS, el JK, el T y el D. Y los ltimos tres se implementan del primero. Un circuito flip-flop puede mantener un estado binario indefinidamente (Siempre y cuando se le este suministrando potencia al circuito) hasta que se cambie por una seal de entrada para cambiar estados. La principal diferencia entre varios tipos de flip-flops es el nmero de entradas que poseen y la manera en la cual las entradas afecten el estado binario. Un circuito flip-flop puede estar formado por dos compuertas NAND o dos compuertas NOR. Cada circuito forma un flip-flop bsico del cual se pueden construir uno mas complicado. La conexin de acoplamiento intercruzado de la salida de una compuerta a la entrada de la otra constituye un camino de retroalimentacin. Por esta razn, los circuitos se clasifican como circuitos secuenciales asincrnicos. Cada flip-flop tiene dos salidas, Q y Q y dos entradas S (set) y R (reset). Este tipo de flip-flop se llama Flip-Flop RS acoplado directamente o bloqueador SR (SR latch). Las letras R y S son las inciales de los nombres en ingls de las entradas (reset, set). Flip-flop JK Un flip-flop JK es un refinamiento del flip-flop RS ya que el estado independiente del termino RS se define en el tipo JK. Las entradas J y K se comportan como las entradas R y S para poner a uno o cero (set o reset) al flip-

flop (ntese que en el flip-flop JK la entrada J se usa para la entrada de puesta a uno y la letra K para la entrada de puesta a cero). Cuando ambas entradas se aplican a J y K simultneamente, el flip-flop cambia a su estado de complemento, esto es, si Q=1 cambia a Q=0 y viceversa.

Grfica 1 FLIP FLOP JK

J 0 0 1
1

K 0 1 0
1

Q q 0 1

Tabla 1 de verdad

Flip-Flop T El flip-flop T se obtiene del tipo JK cuando las entradas J y K se conectan para proporcionar una entrada nica designada por T. El flip-flop T, por lo tanto, tiene slo dos condiciones. Cuando T = 0 ( J = K = 0) una transicin de reloj no cambia el estado del flip-flop. Cuando T = 1 (J = K = 1) una transicin de reloj complementa el estado del flip-flop.

Grfica 2 FLIP FLOP T

T 0 0 1 1

Q Qsiguiente 0 0 1 1 0 1 1 0

Tabla 2 de verdad

Flip-Flop D El flip-flop D (datos) es una ligera modificacin del flip-flop SR. Un flip-flop SR se convierte a un flip-flop D insertando un inversor entre S y R y asignando el smbolo D a la entrada nica. La entrada D se muestra durante la ocurrencia de uan transicin de reloj de 0 a 1. Si D = 1, la salida del flip-flop va al estado 1, pero si D = 0, la salida del flip-flop va a el estado 0.

Grfica 3 FLIP FLOP D

D Q Qsiguiente 0 X 0 1 X 1 X=no importa


Tabla 3 de verdad

DESARROLLO DE LA ACTIVIDAD 1. Se armo el circuito de la figura N. 1 que se muestra a continuacin.

Figura N. 1 2. Se energizo el circuito con los cuatro interruptores inicialmente abiertos. Lo cual no produjo ningn cambio en LED conectado a la salida Q, el cual se mantuvo apagado. 3. Se procede a accionar el interruptor Sd (abrindolo y cerrndolo de forma repetida. Dando como resultado en la salida (Q) un nivel bajo en todo momento ver imagen (punto 3) 4. Como la salida es baja se procede a activar el interruptor Sp. Dndonos como resultado un cambio en la salida, es decir, se cambio de bajo a alto, tal como lo muestra la imagen (punto 4), lo envi a set. Despus de haber accionado el interruptor Sb, como la salida cambio de 0 a 1 se procede a activar el interruptor Sp y efectivamente hace el cambio de 1 a 0, es decir lo manda a reset. 5. Se fijan en 1 las dos entradas asncronas del circuito, es decir las que no dependen de pulsos sncronos o de reloj. Esto se hace abriendo los interruptores, en este caso los puentes de alambre, tal como lo muestra la imagen (punto 5).

6. Luego se contina la prueba de las entradas sncronas del circuito abriendo y cerrando los interruptores Sc y Sd. Aqu vemos que la entrada Sc es estrictamente para los pulsos de reloj. En este caso se utiliza un circuito multivibrador como generador de pulsos de reloj, tal como lo muestra la imagen (multivibrador). Ya teniendo una frecuencia de pulsos definida, se procede a ingresar datos por la entrada (D) accionada por el interruptor Sd. Se obtienen cambios en la salida Q, tal como lo muestra la imagen (Punto 6). Al probar las entradas sncronas logramos observar que el flip flop tipo D con cdigo (NTE 7474) en este circuito, trabaja utilizando el flanco de subida. Si se usan los pines (8, 9, 10, 11, 12,13). Todo esto se logra apreciar ya que nos damos cuenta que la salida Q solo cambia cuando al introducir datos en la entrada D (esta solo los acepta al momento de que la entrada se pasa de 0 a 1). En este caso S c fue reemplazado por un multivibrador el cual con un LED se indica la frecuencia del pulso al encender y apagar. Cuando Sd se le introduce un dato, este es ledo en el preciso instante en que el LED pasa de apagado a activo (flanco de subida), de lo contrario no causa ningn efecto en Q el dato introducido en D. 7. Se armo el circuito de la figura N 2.

Figura N. 2 8. Luego se energiza el circuito con los 2 interruptores J y K en posicin cerrada, tal como lo muestra la imagen (punto 8)

9. Se procede a llevar la entrada J a nivel alto y con el multivibrador se proporcionan pulsos de reloj al sistema en la entrada Sc. Dando como resultado en Q un 1, es decir, que lo enva a set. Ver imagen (punto 9) 10. Concluido el pulso de reloj se lleva devuelta la entrada J a nivel bajo. Dando como resultado el mismo efecto o la misma salida en Q. Ver imagen (punto 10) 11. Se procede a llevar a K a 0 como consecuencia la salida Q mostro un cambio en su estado, paso de 1 a 0, tal como lo muestra la imagen (punto11). 12. Ahora se realiza una modificacin en el circuito, convirtiendo el flip flop en un tipo (T), esto se hace uniendo a un solo punto las entradas J y K, tal como se ve en la imagen (punto 12).

multivibrador

ANALISIS DE RESULTADOS El circuito montado en la primera parte de la practica se utilizo un IC 7474 que corresponde a un flip-flop tipo D el cual es un dispositivo electrnico de memoria que puede almacenar informacin en forma de un "1" o "0" lgicos. Este flip-flop tiene una entrada D y dos salidas Q y Q. Tambin se pudo observar que al ir accionando los swith este tiene una entrada de reloj, que en este caso, nos indica que es un FF disparado por flanco ascendente. Este flip-flop tipo D adicionalmente tiene dos entradas asincrnicas que permiten poner a la salida Q del flip-flop, una salida deseada sin importar la entrada D y el estado del reloj. Dichas entradas son PRESET

(poner) y CLEAR (Borrar). Los crculos del lado arriba y debajo del IC 7474 mostrado en la figura N1 nos indica que estas son entradas activas en nivel bajo. Ser activo en nivel bajo significa que: Para poner un 1 en la salida Q se debe poner un 0 en la entrada PRESET del flip-flop. Para poner un 0 en la salida Q se debe poner un 0 en la entrada CLEAR del flip-flop. El siguiente montaje que se realizo con el IC 7476 el cual corresponde a un flip flop tipo JK. Del cual se puede decir que un dispositivo biestable que a parte de las entradas J y K y las salidas Q y Q, tambin tiene una entrada para la seal de reloj (CLK), lo que significa que es sincrnico. La entrada de reloj del flip- flop biestable se comporta de diferente manera dependiendo de las caractersticas del mismo. Se pudo observar durante la practica que la entrada C de sincronismo o de reloj cumple una misin que es la de permitir el cambio de estado del flip-flop biestable cuando en este se produce un flanco de subida o de bajada, segn sea su diseo. De acuerdo con la tabla de verdad, cuando las entradas J y K estn a nivel lgico 1, a cada flanco activo en la entrada de reloj, la salida del biestable cambia de estado.

CONCLUSION Se puede concluir que los flip-flop son dispositivos de fcil funcionamiento e interpretacin sobre todo los sincrnicos, debido a que los cambios de las salidas son eventos esperados (ya que fcilmente podemos saber el estado de cada una de las entradas o salidas sin que estas cambien repentinamente), y los cambios dependen del control de una sola seal aplicada a todos los registros, la seal de RELOJ. La seal de reloj es una onda cuadrada o rectangular, los registros que funcionan con esta seal, slo pueden cambiar cuando la seal de reloj hace una transicin, tambin llamados flancos, por lo tanto, la seal de reloj slo puede hacer 2 transiciones (o Flancos).

La Transicin con Flanco positivo que es cuando la seal de reloj cambia del estado BAJO al estado ALTO. La Transicin con Flanco Negativo que es cuando la seal de reloj cambia del estado ALTO al estado BAJO. Tambin se puede concluir que por medio del flip-flop JK se puede armar un flip-flop tipo T disponiendo de las entradas J y K de tal forma que nos de cmo resultado dicho flip-flop

BIBLIOGRAFA. RONALD J. Tocci, SISTEMAS DIGITALES: PRINCIPIOS Y APLICACIONES. THOMAS L, Floyd. FUNDAMENTOS DE SISTEMAS DIGITALES MORRIS M. Mano. DISEO DIGITAL

Das könnte Ihnen auch gefallen