Sie sind auf Seite 1von 41

SoC Design Flow & Tools: Introduction

Jiun-Lang Huang Graduate Institute of Electronics Engineering Department of Electrical Engineering National Taiwan University

Contents
l l l

Moving to SoC Design Design Methodologies Reuse

2003

Moving to SoC Design

Evolution of Silicon Technology

The gap between silicon capacity and design capability is growing. Integration of heterogeneoud device technologies is yet another challenge.
4

2003

The Consumer Demands

l l

Higher-level of integration and mobility are the keys. However, under the pressure of
shorter product lifespan, and shrinking design cycles.

2003

Technology + Demand = SoC

2003

What is SoC?
l l

Sysem-on-Chip An IC that integrates the major functional elements of a complete end-product into a single chip.

2003

An SoC
l

usually contains
reusable IP embedded processor, memory real-world interface mixed-signal blocks programmable hardware

l l l

has more than 500 K gates, use .25 mm technology or below, and is not an ASIC.

2003

SoC Challenges
l

Chip complexity
Gate count, heterogeneous device technologies

DSM effects
Signal integrity, timing

l l

Design methodology Testing

2003

The Design Productivity Gap

2003

10

Design Methodology Transition

2003

11

SoC Testing Challenges


l

Distributed design and test


Core provider does not know the target environment. System integrator is responsible for manufacturing testing.

Test access
Difficulties to access deeply embedded cores. Bandwidth, I/O pin count limitations.

Test optimization
Minimizing test cost while satisfying constraints such as

power, resources, coverage, etc.

2003

12

SoC Design Methodology

13

Timing Driven Design Methodology


l

Best for moderately sized and complex ASICs that


consists primarily of new logic on DSM processes, and doesnt have a significant utilization of hierarchical design.

Problems:
Looping between synthesis and placement. Long turnaround times for each loop. Unanticipated chip-size growth late in the

design process.
Repeated area, power, and timing

optimizations.
Late creations of adequate manufacturing

test vectors.
2003 14

Block-Based Design Methodology


l

Ideally, BDD is behaviorally modeled at the system level, where HW/SW tradeoffs and co-verification is performed. New design components are partitioned and mapped onto specified functional RTL blocks. The RTL blocks are then designed to budgeted timing, power, and area constraints.

2003

15

BBD Characteristics
l

Opportunistically reused functions are poorly characterized, subject to modification, and require pre-verification.

l l

Hard, firm, or soft programmable processor cores. Extracting testbench data from system-level simulation to support functional verification.
A shift from ASIC-out to system-in verification.

Processor-dominated or custom bus architecture.


16

2003

BBD Characteristics - contd


l

A predominately flat manufacturing test architecture is used. Timing analysis in both a hierarchical and flat context.
Top-down planning to create block budgets for hierarchical

timing analysis. Flat detailed timing analysis for higher accuracy. Management of guard band becomes critical in DSM design to ensure design convergence.
l

Handoff between the design team and an ASIC vendor often occurs at a lower level than in TDD.
A fully placed netlist is normal, not uncommon to go all the

way to GDS II. RTF is attractive, but impractical for all but the least aggressive designs.
2003 17

BBD Linchpin Technologies


l

Application-specific, high-level system algorithmic analysis tools

l l

Block floor planning Integrated synthesis and physical design

2003

18

Platform-Based Design Methodology


l

TDD + BDD + extensive and planned design reuse. PBD separates design into two areas of focus:
Block authoring System-chip integration

2003

19

Block Authoring
l

Blocks are generated so that they interface easily with multiple target designs. Two new design concepts must be established:
Interface standardization:

Block authoring may be distributed among different design teams if under the same interface specifications and design methodology guidelines.
Virtual system design

To establish the system constraints necessary for block design. For example:
Power profile, Test options, Hard, firm, or soft,
2003 20

System-Chip Integration
l

Focus on designing and verifying the system architecture, and the interfaces between blocks.

Starts with partitioning the system around the preexisting block-level functions and identifying the new or differentiating functions needed.
System-level partitioning along with performance analysis,

HW/SW design tradeoffs, and functional verification.

2003

21

PBD Characteristics
l

A PBD design is either derivative design with added functionality, or a convergence design where previously separate functions are integrated. Hierarchical, heterogeneous test architecture
The manufacturing test design is incorporated into the

standard interfaces to support each blocks specific test methodology.


BIST, scan BIST, full/partial scan, JTAG,
l

Hierarchical timing analysis


Based on pre-characterized block timing.

2003

22

PBD Characteristics - contd


l

Hierarchical routing
Interblock routing is to and from area-based block connectors Constraint driven to meet SI and interface timing

requirements.

The physical design is a key stage in the design.


Usually 0.25 mm and smaller process technology. DSM interconnect-dominated delays.

Uses primarily firm or hard VCs.


Predictable, and pre-verified.

2003

23

PBD Linchpin Technologies


l

High-level, system-level algorithmic and architectural design tools and HW/SW co-design technologies.

Physical layout tools focused on bus planning and block integration.

VC-authoring functional verification tools.

2003

24

2003

25

Summary of Design Characteristics


TDD Design complexity (gate) Design level Design team Primary design Design reuse 500 to 250 K RTL Small, focused Custom logic None BBD 150 K to 1.5 M Behavior/RTL Multi-discplinary Blocks in context, custom interfaces Opportunistic soft, firm, and hard PBD 300 K or more Architecture and VC evaluation Multi-group, multi-disciplinary Interfacing to system and bus Planned firm and hard Silicon-compatible system architecture VCs

Primary optimization Synthesis, gate-level Floor planning, focus architecture block architecture Primary design granularity
2003

Gates and memory

Functional clusters, cores

26

Summary of Design Characteristics contd


TDD Bus architecture Test architecture Mixed-signal Constraint/goal specification Verification level Partition focus None/custom None/scan None Logic sonstraints RTL/gate Synthesis limitations (hierarchical) Custom Scan/JTAG/BIST/ custom A/D, PLLs Blocked-budgeted constraints BBD PBD Standardized/multiple application-specific Hierarchical, parallel scan/JTAG/BIST/ custom Functions, interfaces Interface constraints

Bus function to cycle Mixed (ISS to RTL w/ HW and SW) accurate/RTL/gate Function/ Functions communications (hierarchical) (hierarchical)

2003

27

Summary of Design Characteristics contd


TDD Placement Routing Timing analysis Delay calculation Flat Flat Flat Flat BBD Hierarchical Flat Flat with limited hierarchy Flat Flat with limited hierarchy PBD Hierarchical Hierarchical Hierarchical Hierarchical Hierarchical

Physical verification Flat

2003

28

Reuse - The Key to SoC Design

29

IP Reuse
l l

TTM will assume a dominant role in product planning. NTRS asserts that design reuse is paramount to realizing their projections.

Reusing IP has long been touted as the fastest way to increasing productivity.

However, we have only seen some small victories, and a lot of unfulfilled promise!!

2003

30

Models of Reuse
l l l l

Personal Source Core VC (Virtual component)

2003

31

Evolution of VC Reuse

2003

32

Personal Reuse Portfolio


l l

Human knowledge based Exercised through reapplying personal or team design experience to produce derivative projects.

Challenges
Does not scale. Dependent on retaining key personnel. Changes to technology or architecture significantly

undermine productivity benefits.

2003

33

Transitioning to Source Reuse

2003

34

Source Reuse Portfolio


l

In BBD, the opportunity to reuse designs created elsewhere begins to open up. Source reuse provides a pre-existing RTL or netlistlevel design that can then be modified to meet the system constraints. Challenges
Eroded productivity if re-verification is required. Testbench development often limits productivity for many

blocks. Hard to evaluate and understand what is available. Poor predictability of performance, area, and power. Most existing IPs are not well documented for reuse, often lacking an adequate testbench.
2003 35

Transitioning to Core Reuse

2003

36

Core Reuse Portfolio


l

Refined and improved in the following ways:


More information is available on the block realization in

silicon (area, timing, footprint, power). More blocks in firm or hard forms. Reusable, placed netlist is in a relevant library (firm). Qualification constraints exist for entering into the reuse VC database and pruning existing low-value entries. Documentation on the context where the block has been used and/or reused. Third-party VC blocks are integrated and specific details on the engagement process with the vendor exist. More participation and mechanisms supporting the block occur from the author for internal VCs.

2003

37

Core Reuse Portfolio - contd


l

Challenges
The VC core must be modified to adapt to the bus, clock,

test, and power environment of the product design.


Verification of blocks in the system context after modification

is still a problem.
Architectures for dealing with mixed-signal design are ad hoc

in terms of block reuse across products.


The productivity benefits are not growing at the same rate as

the design size and DSM interconnect problems.

2003

38

Transitioning to VC Reuse

2003

39

VC Reuse Portfolio
l

Separation of authoring and integration is most clearly observed. VCs are pre-characterized, pre-verified, and premodeled to target a specific virtual system environment. IPs are differentiated largely by the elegance of the design, the completeness of the models/documentation, and the options. The blocks are known entities, designed to fit together without change.

2003

40

VC Reuse Portfolio - contd


l

Challenges
TTM forces trade-offs in flexibility and optimality. More high-performance analysis and modeling needed to

support HW/SW partitioning decisions.


Fundamental business models for VC control and protection

are a barrier to inter-company VC sharing.


Porting analog/mixed-signal cores is still manual.

2003

41

Das könnte Ihnen auch gefallen