Sie sind auf Seite 1von 6

International Conference on Planarization/CMP Technology October 25 27, 2007 Dresden VDE VERLAG GMBH Berlin-Offenbach

Effect of Ceria Size and Concentration in Shallow Trench Isolation (STI) Chemical Mechanical Polishing (CMP)
S. Pandijaa, G. Crinireb, C. Ceintreyb , and S.V. Babua
a

Center for Advanced Materials Processing, Clarkson University, Potsdam, New York 13699-5665, USA b Rhodia Electronics and Catalysis, France

Email: babu@clarkson.edu Abstract The effect of size and concentration of ceria abrasive particles (untreated and treated with a poly-acrylic acid (PAA) additive used as a dispersant) on oxide and nitride polish rates for STI CMP was investigated. It was observed that a significantly high oxide polish rate (~ 350-370 nm min-1 at 4 psi down pressure) was obtained for both 60 nm and 45 nm ceria particles at a low concentration of 0.25 to 0.375 % by wt. The corresponding nitride polish rates were also relatively high (~80-100 nm min-1). In contrast, for 25 nm ceria particles, both the oxide and nitride polish rates were low (<15 nm min-1) at all the studied concentrations. In case of ceria treated with PAA, the highest oxide polish rate (~250 nm min-1) was obtained for 1% ceria concentration. The addition of proline to this dispersion suppressed nitride polish rates, leading to a (oxide:nitride) selectivity that is as high as ~150:1. Keywords: Chemical Mechanical Polishing, Ceria, Shallow Trench Isolation, Selectivity, Size 1. Introduction Achievement of shallow trench isolation (STI) using chemical mechanical planarization (CMP) is essential for the fabrication of ultra large scale integration (ULSI). STI has replaced LOCal Oxidation of Silicon (LOCOS) technique to avoid the formation of birds beak (caused by lateral diffusion of oxygen under the edges of the nitride layer) [1] and to enable the narrowing of the isolation width, leading to higher device density [2]. In this device isolation process, after etching trenches into the nitride and silicon substrate, oxide is deposited by a high density plasma technique [3]. During the STI process, the oxide overburden is polished using CMP. The nitride film acts as a stop layer for the oxide polishing process, and also as a mask against reactive ion etching (RIE) during trench formation. The STI CMP slurry should produce high oxide polish rates, high selectivity to the nitride and a post-CMP surface with minimal surface defects including dishing and erosion [4, 5]. Dielectric CMP is normally performed with slurries containing abrasives due to the chemical inertness of dielectric materials. Ceria is commonly used as the abrasive for STI CMP because it has a strong chemical affinity to the oxide substrate [6], leading to high oxide polish rates and, in the presence of appropriate additives, also high selectivity to nitride [7-10]. During the last several years, there have been significant advances in the slurry formulation field for STI CMP with an emphasis on selectivity and minimizing defects [11,12]. In this work, we have evaluated the effect of the size (25 nm, 45 nm, and 60 nm) and the concentration (0.125 wt % - 0.5 wt %) of the ceria particles on oxide and nitride polish rates at pH = 4. Also, the effect of the ceria abrasive particles treated with a poly-acrylic acid (PAA) additive, without and with the addition of proline, on oxide and nitride polish rates was investigated as a function of pH in this work. Specifications of the different ceria abrasive particles (all from Rhodia Electronics and Catalysis, Inc.) used here are mentioned in Table 1.

International Conference on Planarization/CMP Technology October 25 27, 2007 Dresden VDE VERLAG GMBH Berlin-Offenbach

Table 1: Characteristics of ceria (Rhodia Electronics and Catalysis, Inc.) Primary Secondary Surface pH range particle particle Treatment stability size* (nm) size** (nm) 1 CeO2 HC 25 (o) 10 25 83 No 3-5 2 CeO2 HC 45 (o) 10 45 110 No 3-5 3 CeO2 HC 60 (o) 10 60 163 No 3-5 4 CeO2 HC 60 (2-) 10 60 158 Yes (PAA) 4/5-10 * calculated from SBET using size=6000/(d.SBET) where d is CeO2 density (d=7.2) and SBET is the specific surface area of CeO2. ** from DLS measurement. Sample no. 2. Experimental TEM characterization Primary particles of the different ceria dispersions were characterized with a JEOL 1200 EX (120 kV). Ceria dispersions were not de-agglomerated before TEM characterization. Primary particle size can be precisely maintained and available in large range from 25 nm to 60 nm (Figure 1). As can be seen from Figure 1, primary ceria particles were uniform and monodispersed with rounded edges. These ceria particles are provided as highly-crystallite colloidal dispersions. Product Code [CeO2] wt %

(a) 25 nm

(b) 45 nm

(c) 60 nm

50 nm

100 nm

100 nm

Figure 1: TEM images of ceria abrasive particles (without any surface treatment) of three different sizes. The bar length for 1(a) is 50 nm, and for 1(b), 1(c) is 100 nm. Secondary particle size measurement Figure 2 shows the secondary particle size of ceria abrasive particles of different sizes (25 nm, 45 nm, and 60 nm) measured using a Malvern Zetasizer 1000 apparatus. All the slurry samples were diluted with water before measurement, but no dispersant and no ultrasonic treatment were used. It can be considered that each secondary particle is constituted of 3-4 primary particles. Zeta Potential Measurements Zeta potentials of untreated ceria abrasive particles of different sizes (CeO2 HC 25 (o), CeO2 HC 45 (o), and CeO2 HC 60 (o)) and treated with PAA (CeO2 HC 60 (2- ) were measured

International Conference on Planarization/CMP Technology October 25 27, 2007 Dresden VDE VERLAG GMBH Berlin-Offenbach

at various pH values using a Malvern Zetasizer Nano ZS apparatus. All the slurry samples were prepared in 0.01 M KNO3 solutions and the slurry pH was adjusted using dilute HNO3 or NH4OH, as needed. Plots of zeta potential vs. pH for untreated ceria abrasive particles (CeO2 HC 60 (o)) and treated with PAA additive (CeO2 HC 60 (2-)) are shown in Figure 3.
16 14 Intensity (% ) 12 10 8 6 4 2 0 10 100 DLS size (nm) 1000
CeO2 HC 25 (o) CeO2 HC 45 (o) CeO2 HC 60 (o)

Figure 2: DLS data for ceria abrasive particles without any surface treatment (CeO2 HC 25 (o), shown by triangular symbol; CeO2 HC 45 (o), shown by diamond symbol; CeO2 HC 60 (o), shown by square symbol). o indicates untreated dispersion.
60

CeO2 HC 60 (o)
Zeta Potentiel (m V)

40 20 0 -20 -40 -60 1 3 5 pH 7

CeO2 HC 60 (2-)

11

Figure 3: Zeta potential data for ceria abrasive particles without any surface treatment (CeO2 HC 60 (o), shown by square symbol); treated with PAA (CeO2 HC 60 (2-), shown by diamond symbol). o indicates untreated dispersion, and 2- indicates dispersion treated by PAA. Chemical Mechanical Polishing of Blanket Films Silicon wafers (6-inch dia) covered with thermally deposited silicon dioxide and low pressure chemical vapor deposited (LPCVD) silicon nitride films were polished using a Westech-372 polisher. The thicknesses of the deposited oxide and nitride films were 2000 nm and 500 nm, respectively. The polishing parameters used in the Westech-372 polisher for film polishing were as follows: Platen speed = 75 rpm; carrier speed = 75 rpm; downward pressure = 4 psi; and slurry feed rate = 200 ml min-1. The polishing pad (IC 1000 with k groove) was hand conditioned for 1 min using a 4inch diameter diamond grit conditioner. Thickness of blanket oxide and nitride films before and after polishing was measured using a Filmetrics F20 interferometer. The polish rates were determined from the difference in the film thickness at 17 test spots before and after polishing for 1 min and by taking the averaged result.

International Conference on Planarization/CMP Technology October 25 27, 2007 Dresden VDE VERLAG GMBH Berlin-Offenbach

3. Results and Discussion CMP results In this work, we have investigated the polishing of oxide and nitride films using slurries containing colloidal ceria particles in deionized water at pH = 4. Figure 4 shows polish rates of blanket oxide and nitride films using 25 nm untreated ceria abrasive particles (sample 1, Table 1) in deionized water at pH = 4. Both the oxide and nitride film polish rates were low (< 15 nm/min) at all studied concentrations. This may be due to the fact that smaller size ceria abrasives can be lost in the nanoroughness of the pad asperities [13].

Polish Rates (nm min-1)

20 15 10 5 0
SiO2 Si3N4

0.125 wt % Ceria

0.25 wt % Ceria

0.375 wt % Ceria

0.5 wt % Ceria

Figure 4: Polish rates of blanket silicon dioxide and silicon nitride films using different concentrations of 25 nm untreated ceria abrasive particles (sample 1, Table 1) in deionized water at pH = 4. Figure 5 (A) shows the polish rates of blanket oxide and nitride films using 45 nm untreated ceria abrasive particles (sample 2) in DI water at pH = 4. The oxide and nitride polish rates increased dramatically, for e.g., to ~ 260 nm min-1 and 70 nm min-1, respectively, at a concentration of 0.125 wt % of ceria particles in comparison to the polish rates observed for smaller size ceria abrasives (25 nm). With an increase in the concentration of ceria abrasive particles to 0.19 wt %, the oxide polish rates increased further to ~ 350 nm min-1 and then decreased with a further increase in the ceria concentration. The nitride film polish rates were similar (~ 65 nm min-1) at all lower concentrations of ceria particles but dropped to ~ 20 nm/min at 0.5 wt % concentration. Wang et al [14] also observed similarly higher glass polish rates at lower concentration of ceria abrasive particles (~0.25 wt %). Figure 5 (B) shows the polish rates of blanket oxide and nitride films using 60 nm untreated ceria abrasive particles (sample 3) in DI water at pH = 4. In this case also, the oxide polish rates were high (~ 260 nm min-1) at lower ceria concentrations. With a further increase in the ceria concentration to 0.375 wt %, the oxide polish rates increased but dropped to 110 nm min-1 at 0.5 wt % ceria concentration. However, the nitride polish rates increased from 50 nm min-1 to 100 nm min-1 as the ceria concentration was increased from 0.125 wt % to 0.5 wt %. The observation of high oxide polish rates at lower ceria abrasive concentration is indeed unique and highly desirable as it will decrease the cost of the slurry consumables and can also bring down the number of defects. Figure 6 (A) shows the polish rates of blanket oxide and nitride films using 60 nm ceria abrasive particles treated with PAA (sample 4) in DI water at three pH values. Both the oxide and nitride film polish rates at pH = 4 and pH = 6 are comparable. However, with an increase in the pH of the slurry to pH = 8, a slight decrease in both the oxide and nitride film polish rates

International Conference on Planarization/CMP Technology October 25 27, 2007 Dresden VDE VERLAG GMBH Berlin-Offenbach

was observed. Furthermore, the highest oxide polish rates were obtained using 1 wt % of ceria treated by PAA instead of 0.25 wt % (as observed for the untreated ceria particles). Also, the oxide polish rates for untreated dispersion (60 nm) are higher than the treated dispersion (60 nm). This can be explained based on the charge on the ceria particles and the oxide film surface. As can be seen from Figure 3, the iso-electric point (i.e.p) for the untreated ceria dispersion (60 nm) was ~ 7.6, while the i.e.p of the dispersion treated with PAA additive was ~ 2.7 and the i.e.p of SiO2 is ~2 [15]. Hence, untreated ceria abrasives particles are positively charged while the oxide film surface is negatively charged at pH = 4. Thus, the positively charged abrasives will be attracted towards the negatively charged oxide surface and are responsible for high oxide polish rates. However, the treated particles are negatively charged at pH > 3. Hence, there is repulsion between the oxide film and the treated particle and thus, the density of treated ceria particles near the oxide surface should be lower than that of the untreated ceria particles. Hence, for the treated dispersion, a higher concentration of ceria is needed for higher polish rates in comparison to the untreated dispersion.

Polish Rates (nm min -1)

Polish Rates (nm min -1 )

400 300 200 100 0

SiO2 Si3N4

400 300 200 100 0

SiO2 Si3N4

0.125 wt % Ceria

0.188 wt 0.25 wt 0.375 wt % Ceria % Ceria % Ceria

0.5 wt % Ceria

0.125 wt % Ceria

0.188 wt 0.25 wt 0.375 wt % Ceria % Ceria % Ceria

0.5 wt % Ceria

Figure 5: Polish rates of blanket silicon dioxide and silicon nitride films using different concentrations of untreated ceria abrasive particles: (A) 45 nm (sample 2), and (B) 60 nm (sample 3), in deionized water at pH = 4.

P olish R ates (n m m in -1 )

P o lish R a tes (n m m in -1 )

Slurry: 1 wt % CeO2 (CeO2 HC 60 (2-)) + DI Water

Slurry: 1 wt % CeO2 (CeO2 HC 60 (2-)) + 2 wt % Proline

350 300 250 200 150 100 50 0


SiO2 Si3N4 A

350 300 250 200 150 100 50 0


SiO2 Si3N4 B

pH = 4

pH = 6

pH = 8

pH = 4

pH = 6

pH = 8

Figure 6: Polish rates of blanket oxide and nitride films using 1 wt % concentration of 60 nm ceria abrasive particles treated by PAA (sample 4): (A) without proline, and (B) with 2 wt % proline, in deionized water at pH = 4, 6, and 8.

International Conference on Planarization/CMP Technology October 25 27, 2007 Dresden VDE VERLAG GMBH Berlin-Offenbach

Figure 6 (B) shows polish rates of blanket oxide and nitride films using 60 nm ceria abrasive particles treated with PAA (sample 4) with the addition of 2 wt % proline at three pH values. The nitride film polish rates decreased significantly with the addition of proline at all pH values similar to what was reported earlier [7-9]. However, oxide film polish rates at pH = 6, and 8 did not change much but were decreased at pH = 4. The highest selectivity (oxide:nitride) obtained for this dispersion is ~210:1.5 at pH = 8 when 2 wt % of proline was added to the treated dispersion. 4. Conclusions The effect of size and concentration of ceria abrasive particles on the polishing of blanket oxide and nitride films has been evaluated for STI CMP. It was found that oxide polish rates were very low (< 15 nm min-1) for smaller ceria particles (25 nm) and higher for the larger particles. Furthermore, with the 45 nm untreated ceria abrasive particles, the highest oxide polish rates (~350 nm min-1) were obtained at a lower concentration (~0.19 wt %). Also, for the 60 nm untreated ceria abrasive particles, the highest oxide polish rates (~370 nm min-1) were obtained at 0.25 wt % concentration. This is a unique result and can help to reduce the cost of the slurry consumables. Adding proline to the slurry containing ceria particles treated by PAA lowered the nitride removal rates and gave oxide:nitride selectivity as high as ~150:1 at pH = 8. 5. Acknowledgements The authors (S. Pandija and S.V. Babu) gratefully acknowledge financial support for this work from Rhodia Electronics and Catalysis, Inc (France). The authors also thank Rohm and Haas Electronic Materials, L.L.C. for providing the IC 1000 k-groove pads used in this work. References [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] K. Blumenstock, J. Theisen, P. Pan, J. Dulak, A. Ticknor, and T. Sandwick, J. Vac. Sci. Technol. B, 12, 54 (1994). J.-G. Park, T. Katoh, W.-M. Lee, H. Jeon, and U. Paik, Jpn. J. Appl. Phys., 42, 5420 (2003). M.R. Oliver, Chemical Mechanical Planarization of Semiconductor Materials, Springer series in materials science, Vol 69. J. Schlueter, Semicond. Int., October (1999). E. Zhao, and C.S. Xu, Semicond. Int., June (2001). L.M. Cook, J. Non-Cryst. Solids, 120 (1990) 152. R. Srinivasan, S.V. Babu, W. G. America and Y. S. Her, U.S. Patent 6468910. R. Srinivasan, S.V. Babu, W. G. America and Y. S. Her, U.S. Patent 6491843. W.G. America, and S.V. Babu, Electrochem. Solid State Lett., 7, G327 (2004). S. Hegde, and S.V. Babu, Electrochem. Solid State Lett., 7, G316 (2004). S.V. Babu, K.C. Cadien, and H. Yano, Editors, Chemical-Mechanical Polishing 2001: Advances and Future Challenges, Vol. 671, Mater. Res. Soc. Symp. Proc., Warrendale, PA (2001). S.V. Babu, R.K. Singh, N. Hayasaka, and M. Oliver, Editors, Chemical-Mechanical Planarization, Vol. 732 E, Mater. Res. Soc. Symp. Proc., Warrendale, PA (2002). Z. Lu, S.H. Lee, V.R.K. Gorantla, S.V. Babu, and E. Matijevi, J. Mater. Res., 18 (10), 2323 (2003) L. Wang, K. Zhang, Z. Song, and S. Feng, Appl. Surf. Sc., 253, 4951 (2007). S. Hegde, and S.V. Babu, Electrochem. Solid State Lett., 7, G316 (2004).

[12] [13] [14] [15]

Das könnte Ihnen auch gefallen