Sie sind auf Seite 1von 64

Multi-Input Energy Harvesting System A DISSERTATION SUBMITTED IN PARTIAL FULFILMENT FOR THE DEGREE OF Master of Technology In The Faculty

Of Engineering Submitted By Aditya Mitra M S Chaitanya CH

Under The Guidance Of T.V.Prabhakar K.J.Vinoy NVC Rao

June 2012

Contents
1 Introduction 1.1 1.2 1.3 1.4 1.5 1.6 1.7 1.8 Background . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Functional Aspect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Characteristics and performance . . . . . . . . . . . . . . . . . . . . . . . . . . . User Aspect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Environment aspects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Power Supply . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Reliability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Wish Scope . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1 1 2 2 4 4 4 4 5 6 6

2 Study 2.1 2.2 Market Survey . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

Functional Aspect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11 2.2.1 2.2.2 2.2.3 2.2.4 2.2.5 2.2.6 Transducer Unit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11 Conditioning Unit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12 Boost Converter with Power Management . . . . . . . . . . . . . . . . . . 12 Switching Circuit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12 Energy Storage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12 Load . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12

2.3

Modular Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13 2.3.1 2.3.2 2.3.3 2.3.4 2.3.5 Harvester . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13 Rectiers And Doublers . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15 Boost Convertor Circuits . . . . . . . . . . . . . . . . . . . . . . . . . . . 15 Energy Storage Device . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15 Micro-Controller Unit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15

2.4

Industrial Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16 i

CONTENTS 3 Module Design 3.1 3.2 3.3 3.4 3.5 3.6 3.7 3.8 3.9

CONTENTS 17

Transducer Selection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17 Rectier Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20 Doublers Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21 Boost Converter Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 Storage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28 Jennic Board Developement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29 Jennic Board Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30 Jennic Board Programming Interface and Software . . . . . . . . . . . . . . . . . 31 Jennic Board BOM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31

3.10 Industrial Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32 4 Engineering And Fabrication 4.1 4.2 34

Product Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34 Solar Harvester Design 4.2.1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35

Module Testing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36

4.3

Pulsed RF Harvester Design 4.3.1 4.3.2

Doublers module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36 Module Testing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38

4.4

Thermal Harvester Design 4.4.1

Module Testing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39 . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40

4.5

Ambient RF Harvester Design 4.5.1 4.5.2

Rectier module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41 Module Testing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41

4.6

Harvester Board . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43 4.6.1 Module testing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44

4.7 4.8

Component Chart . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45 Universal Harvester Board Characterization . . . . . . . . . . . . . . . . . . . . . 46 4.8.1 4.8.2 Performance Results of Boost Converter for Ambient RF . . . . . . . . . 46 Performance Results of Boost Converter for Thermal Gradient . . . . . . 47 49

5 Concluding Remarks 5.1 5.2

User instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49 Suggestion for Future Generation . . . . . . . . . . . . . . . . . . . . . . . . . . . 49

Aditya Mitra,M S Chaitanya CH

ii/57

June 2012

CONTENTS 6 APPENDIX 6.1 6.2

CONTENTS 50

PCB Layers OF Universal Harvester Board . . . . . . . . . . . . . . . . . . . . . 50 Design Status And Simulation Results . . . . . . . . . . . . . . . . . . . . . . . . 52 56 57

7 Acknowledgements 8 Bibliography

Aditya Mitra,M S Chaitanya CH

iii/57

June 2012

List of Figures
2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 2.9 3.1 3.2 3.3 3.4 3.5 3.6 3.7 3.8 3.9 EH-Link Product . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . EH-Link Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Average Transmission Rate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Cymbet Energy Processor Functional Block Diagram . . . . . . . . . . . . . . . . 7 7 8 9

Comparision of market products with present work . . . . . . . . . . . . . . . . . 10 Block Diagram of Multi-Input Energy Harvesting System . . . . . . . . . . . . . 11 Antenna Array in Series Connection . . . . . . . . . . . . . . . . . . . . . . . . . 13 Harvesting Temperature Dierential . . . . . . . . . . . . . . . . . . . . . . . . . 14 Harvesting Body Temperature Dierential . . . . . . . . . . . . . . . . . . . . . . 14 Bi-Quad antenna . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17 Dimensions of Bi-Quad antenna . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18 Harvesting set up for Pulsed RF Energy . . . . . . . . . . . . . . . . . . . . . . . 18 solar panel to collect indoor light energy . . . . . . . . . . . . . . . . . . . . . . . 19 Thermo-electric transducer for harvesting energy from thermal gradient . . . . . 19 Parameters of the substrate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20 Circuit diagram of rectier designed to match 50ohm antenna . . . . . . . . . . . 20 Layout of rectier circuit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21 Circuit diagram of voltage doubler designed to match 50ohm antenna . . . . . . 22

3.10 Layout of Volatge doubler circuit . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 3.11 Fabricated Voltage doubler circuit . . . . . . . . . . . . . . . . . . . . . . . . . . 23 3.12 Circuit diagram of the boost converter for continuous operation . . . . . . . . . . 24 3.13 Circuit diagram of the boost converter for dis-continuous operation . . . . . . . . 24 3.14 Inductor Current waveform . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25 3.15 Experimental setup of boost converter . . . . . . . . . . . . . . . . . . . . . . . . 26 3.16 Eciency at dierent input power levels . . . . . . . . . . . . . . . . . . . . . . . 27 iv

LIST OF FIGURES

LIST OF FIGURES

3.17 Circuit diagram of boost converter in one-pulse method . . . . . . . . . . . . . . 27 3.18 Cascaded cell approach . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28

3.19 Enerchip driving the micro-controller load . . . . . . . . . . . . . . . . . . . . . . 29 3.20 Jennic Board schematic 1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29 3.21 Jennic Board schematic 2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30 3.22 Jennic Board schematic 3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30 3.23 JN1548 current vs time, deep sleep to active transmitting and back to deep sleep 31

3.24 Completed Jennic Board . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32 3.25 Completed Jennic Board . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33 4.1 4.2 4.3 4.4 4.5 4.6 4.7 4.8 4.9 Block Diagram Of Energy Harvesting System . . . . . . . . . . . . . . . . . . . . 34 Solar Harvester Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35 Pulsed RF Harvester Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . 36 Measuring S11 of doubler circuit . . . . . . . . . . . . . . . . . . . . . . . . . . . 38 Thermal Harvester Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . 38 Input waveform of thermal harvester at 50 degree dierential . . . . . . . . . . . 40 Pulsed RF Harvester Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . 40 Input waveform of thermal harvester at 50 degree dierential . . . . . . . . . . . 42 Input waveform of thermal harvester at 50 degree dierential . . . . . . . . . . . 42

4.10 Harvester Board . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43 4.11 Component Chart . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45 4.12 Boost Converter eciency for Ambient RF . . . . . . . . . . . . . . . . . . . . . 46 4.13 Eciency vs Input Power level Plot for Ambient RF Harvestor . . . . . . . . . . 47 4.14 Boost Converter eciency for Thermal gradient . . . . . . . . . . . . . . . . . . . 48 4.15 Eciency vs Temperature Plot for Thermal Harvester . . . . . . . . . . . . . . . 48 6.1 6.2 6.3 6.4 6.5 6.6 6.7 6.8 Top layer Of Harvester Board . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50 Bottom Layer Of harvester Board . . . . . . . . . . . . . . . . . . . . . . . . . . . 51 Simulation result of rectier circuit . . . . . . . . . . . . . . . . . . . . . . . . . . 52 Inductor Current waveform . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53 Simulation result of output capacitor charging . . . . . . . . . . . . . . . . . . . . 53 Eciency with percentage change of ripple on input capacitor at 0.15V . . . . . 54 Eciency with percentage change of ripple on input capacitor at 0.2V . . . . . . 54 Eciency with percentage change of ripple on input capacitor at 0.3V . . . . . . 55

Aditya Mitra,M S Chaitanya CH

v/57

June 2012

LIST OF FIGURES 6.9

LIST OF FIGURES

Simulation result of voltage doubler circuit . . . . . . . . . . . . . . . . . . . . . 55

Aditya Mitra,M S Chaitanya CH

vi/57

June 2012

Chapter 1

Introduction
1.1 Background

One of the major problem faced by applications like wireless sensor networks, medical implants etc is the energy. When these applications are depleted of energy, they can no longer fulll their role unless the source of energy is replenished. Therefore, it is generally accepted that the usefulness of these applications expires when the battery runs out. Much of the research for such applications has assumed the use of a portable and limited energy source, namely batteries, to power sensors and focused on extending the lifetime of the network by minimizing energy usage. The batteries will experience current leakages that drain the resource even when they are not used. If such applications are not dependent on a limited power source, essentially they enjoy innite lifetime. This has motivated the search for an alternative source of energy to power such application especially for applications that require sensors to be installed for long duration (up to decades) or embedded in structures where battery replacement is impractical. We believe that at any place there is presence of more than one type of harvestable energy sources, hence we can harvest more energy from other source. To make our power source more reliable and ecient, we recharge a battery. In this work, energy harvesting from low energy source such as ambient RF, pulsed RF, solar and temperature dierential is being targeted. We have focused our work on scavenging energy from GSM 935 MHz to 960 MHz bands for ambient RF, Mobile phones for pulsed RF, indoor light for solar and low temperature dierentials and then storing this energy into battery. The level of power available for harvesting is typically in order of microwatts and the level of power required by the load is typically in order of milliwatts. Hence this forces us to use accumulate and use concept. The voltage developed by the energy transducers like ambient RF and TEGs at this power level is very small (in order of millivolts) hence a boost convertor is required. The commercially available boost convertors are inecient for these input power levels for voltage magnication greater than 10 times. Moreover they are typically designed for continuous operation whereas accumulate and use supports intermittent operation. Designing a boost convertor for such a load at this input power level is very challenging. Also while using multiple sources, MPPT, design of sensing circuit, isolation of sources becomes very crucial. Achieving this with minimum possible hardware and energy consumption by the system becomes very challenging. We have designed a system which accomplishes all the above mentioned design challenges. For practical demonstration a radio module was powered up.

1.2. Functional Aspect

Chapter 1. Introduction

1.2

Functional Aspect

The primary function of our product is to harvest from solar, thermal gradient electromagnetic energy from the environment, mobile phones and make it to drive a practical load, the load need not be an extremely low power device, it could be an LED, a microprocessor, a radio module, an LCD display etc, the technique which allows such wide variety of loads is the intermittent operation, in essence the power is supplied to the load only when the desired amount of energy has been accumulated, Apart from the loads requiring burst of energy, there is also a provision for connecting loads which require small continuous power in nano-watts, such applications can include for example, keeping a microprocessor in active sleep mode so that it can respond to a wakeup event and retain the context, another application would be to drive a digital watch , a sensor etc. Although multi-input energy harvesting is not an entirely new area of study, the trend in the past has been towards designing for continuous mode of operation and assumes to have equal power availability for all sources. Moreover the designs target high power levels at the input. Recently a few low power systems have been reported in papers and other technical literature, In our market survey we found couple of product namely EH link 2.4 GHz Energy Harvesting WIreless sensor node from Microstrain Inc. and Enerchip from Cymbet Corp. which falls into same league as ours , however an important dierence to note is in the power levels of the two systems, Our system is designed to work at almost 5 times lesser input power level. Also it works for temperature dierential as low as 25 degree Celsius. Our product has also extra feature of pulsed RF/ High power input. We believe through numerous search for papers and patents that our work has produced certain novel circuit ideas.

1.3

Characteristics and performance

The input parameters to our system are Solar : Illuminance Usually expressed in Lux Pulsed RF : Input Power Usually expressed in dbm Input frequency We have designed our system to operate in 900Mhz, the target being the GSM cellphones. Ambient RF : Input Power Usually expressed in dbm Input frequency We have designed our system to operate in 935 Mhz to 965 MHz, the target being the GSM cellphone towers. Thermal Gradient : Temperature dierential expressed in degree Celsius

Aditya Mitra,M S Chaitanya CH

2/57

June 2012

1.3. Characteristics and performance The output performance parameters to our system are

Chapter 1. Introduction

Output Voltage : The system provides with output voltage of 3.5 to 4 V Peak Output Current : The peak current can be very high in range of 100s of milliampere Energy Eciency: The boost convertor has max eciency of 65 percent The variables which are likely to eect the performance of the product are Solar : Illuminance Higher the illuminance higher the eciency, but closed circuit input voltage should not exceed rated battery voltage of 4V Pulsed RF : Input Power It is designed to operate at high RF power, at the lower side the power available to the output is oset by the voltage drop across the diode and the mosfet. Input frequency We have designed our system to operate in 900Mhz, pushing beyond these limits will lead to decrease in the eciency. Ambient RF : Input Power the system is designed to operate at an ultra low RF power, at the lower side the power available to the output is oset by the minimum power required to keep the system running, at the higher side the eciency is reduced by the reections in the matching network which leads to lesser transfer of energy. Input frequency We have designed our system to operate in 935 Mhz to 965 MHz, pushing beyond these limits will lead to decrease in the eciency. Thermal Gradient : Heat Sink design If Heat sink should be designed such that the heat delivered by the hot side to the cold side is not accumulated on the cold side, failing to achieve this causes temperature dierential to reduce and reduce the eciency and eventually cease to work.

Aditya Mitra,M S Chaitanya CH

3/57

June 2012

1.4. User Aspect

Chapter 1. Introduction

1.4

User Aspect

The user is not expected to be technically enlightened with the working of the product as there is no external interface required from the user, user interaction would normally involve pressing couple of button to activate or deactivate a harvesting source.

1.5

Environment aspects

Ambient temperature range -20 degree Celsius to +60 degree Celsius, classication is based on components used, no overall testing has been performed, the performance of the system is expected to degrade with increase in temperature as the leakage power for all the devices will increase, however no thermal tests have been made in the scope of the project and all the results obtained are at the room temperature with day to day variations not taken into account. Humidity can degrade the performance of the system, it will make the FR4 board more lossy at high frequency, also capacitor dielectrics will absorb moisture and leakage will increase , the system must be kept away from water and high humidity areas, vibration and pressure are not expected to eect the performance although no tests have been performed. The compliance to any of the MIL-STD has not been proved.

1.6

Power Supply

The product does not need a external power supply in form of batteries as it scavenges energy from the environment. If however all input sources are not available for a considerable amount of time ( in order of few days ) the system will stop harvesting more energy, and cease to power up the load. But when the power is available, it can self start provided at least one of the solar or pulsed RF is active.

1.7

Reliability

Presence of multiple sources in parallel provides with the advantage that if one source is not available or one portion of the circuit breakdown then also circuit is capable of harvesting. No degrading components except batteries. Care should be taken that the battery is not excessively depleted. Since the the number of recharge cycles are high the system is expected to live long. No moving mechanical parts Components work at an extremely low power levels, so less chance of burn outs and device failures

Aditya Mitra,M S Chaitanya CH

4/57

June 2012

1.8. Wish Scope

Chapter 1. Introduction

Detailed study of reliability using engineering techniques has not been performed as the project was investigative in nature focusing mainly on Techniques to improve eciency at extremely low input power level and integration of all sources, however extremely good gures of merit relating to reliability are expected for the above reasons.

1.8

Wish Scope

As mentioned before the idea of integrating multiple energy harvesting source is not a new one , however all the previous attempts barring few have been on much higher power levels achieved through directed sources like huge solar panels, magnetron and Klystrons , temperature dierential near the furnace. The nearest competitor in todays time does not work below 10dbm of RF power, or temperature dierential of just 25 degree Celsius. Also the eciency of any such harvester is much less. Our aim in this project is to eciently harvest multiple sources and integrate them into a multi-input harvester. Below are the wish specications for our harvester board : Solar : Harvest from 200 lux at a solar panel of size less than 5x5 cm Pulsed RF : Harvest from 0 dbm continuous power Ambient RF : Harvest from -30 dbm input power to antenna Thermal Gradient : Harvest from temperature dierential of 25 degree Celsius System eciency greater than 50 percent Standard output load voltage 3.5V to 4V independent of the load and input power Wireless Sensor Network Micro controller Sleep Current 1uA Wireless Sensor Network Radio Programmable Transmit Power up to -30dBm Ultra Low Tx and Rx energy per bit 10nj/bit Board Size less than 5cm X 5cm

Aditya Mitra,M S Chaitanya CH

5/57

June 2012

Chapter 2

Study
2.1 Market Survey

The products falling into the same league as ours and is available in the market are EH link 2.4 GHz Energy Harvesting WIreless sensor node from Microstrain Inc. and Enerchip from Cymbet Corp.

Micro Strain Inc. Product : EH-Link 2.4 GHz Energy harvesting wireless sensor node
The EH-Link wireless node is a self powered sensor, harvesting energy from ambient energy sources. EH-Link is compatible with a wide range of generator types, including piezoelectric, thermoelectric generators, EM eld and high impedance AC or DC sources, in the range of 5.0 V to 20 V. The ultralow voltage (ULV) input of the EH-Link allows powering from Thermoelectric Generators (TEGs). This ULV input can power the EH-Link from as low as 0.02 V and up to 0.6 V making the wireless sensor node operable from thermal gradients below 8C with TEGs. It will get the sucient energy from high energy sources and continuously transmit the data and from ultra low voltage sources, it will slowly accumulate the energy until enough power is available to support transmission of sensor data. whenever the power drops, it will charge back and then continue the data transmission. The Figures 2.[1] shows the EH-Link and the functional block diagram. The EH-Link has 5 harvester input ports. The system can harvest energy from all ambient energy sources ranging from high energy sources to ultra low voltage sources. It has an additional feature of on-board energy storage. The most commonly used harvester input is the Piezo input, an ultra-ecient switch mode converter. Figure 2.[2] clearly demonstrates the capability of the EH-Link versus dierent wireless transmit rates. Figure 2.[3] shows test results where the applied voltage was 7.5V, a 1000 ohm Wheatstone bridge is being measured, and the transmit rate and packet payload size were varied. In this test the sample rate is xed at one sample per second. The number of measurements saved up for transmission was varied from 1 to 30, where at 1 a single measurement was transmitted over the wireless link once per second. At 30 in this test, 30 measurements are accumulated and transmitted every 30 seconds. This is done to demonstrate that much less power is used to sample than to transmit. It is important to note that even at 30, the data sample timing is preserved and no data are missed in the received 6

2.1. Market Survey

Chapter 2. Study

Figure 2.1: EH-Link Product

Figure 2.2: EH-Link Block Diagram measurement stream.

Aditya Mitra,M S Chaitanya CH

7/57

June 2012

2.1. Market Survey

Chapter 2. Study

Figure 2.3: Average Transmission Rate

Comparison of the proposed system with EH-Link


The EH-Link even though has a multi-input harvester support, it needs high voltages from the AC sources and EM elds. It has an ultra low voltage support, but that it for the dc sources like TEGs, it doesnt have a support for very low power level ambient RF energy. Our target is to design a system which can harvest both from ac and dc sources which are at ultra low power level.

Aditya Mitra,M S Chaitanya CH

8/57

June 2012

2.1. Market Survey

Chapter 2. Study

Cymbet Corp Product : Enerchip Energy Processor Energy harvesting wireless sensor node
The Cymbet Energy Processor Chip is an universal Harvesting Processor which harvests energy from ambient energy sources. It harvests energy from solar,thermoelectric generators, Vibration and Electromagnetic radiations. It can harvest RF energy with voltage ranging from 0.4V to 4V after rectication, energy from thermal gradient with minimum voltage of 0.4V and from piezo-electric with voltage range 4-20V after rectication. The EnerChip EP uses an advanced Maximum Peak Power Tracking (MPPT) algorithm that constantly matches the EH transducer output impedance. The EnerChip EP operates in multiple modes and can communicate with microcontrollers. The EP manages all aspects of energy storage devices/peripherals and uses intelligent power management during the start-up initialization sequence. The key to designing energy harvesting-based wireless sensors with high eciency power conversion is to utilize the EnerChip EP along with EnerChip rechargeable energy storage devices. The EnerChip EP performs the high eciency energy conversion, energy storage and power management.The g.2.4 shows the functional diagram of energy processor harvesting energy from thermal gradient.

Figure 2.4: Cymbet Energy Processor Functional Block Diagram

Comparison of the proposed system with Cymbet Enerchip


The Energy Processor from Cymbet even though it supports multi-input harvester, it supports only one input harvester at a time. Comparing with EH-Link it can harvest at low voltage and low power levels but our universal harvester unit can harvest energy from munch lower levels.

Aditya Mitra,M S Chaitanya CH

9/57

June 2012

2.1. Market Survey

Chapter 2. Study

Figure 2.5: Comparision of market products with present work

Aditya Mitra,M S Chaitanya CH

10/57

June 2012

2.2. Functional Aspect

Chapter 2. Study

2.2

Functional Aspect

Fig 2.5 illustrates the functional diagram of multi-input energy harvesting system , with a micro-controller and on-board radio module for WSN implementation

Figure 2.6: Block Diagram of Multi-Input Energy Harvesting System The overall system can be divided into following functional blocks

2.2.1

Transducer Unit

The following blocks forms transducer unit : Antenna Thermo-Electric Generator Solar Panel Antenna is required to capture RF signal, and provide necessary gain, the desirable properties of antenna for energy harvesting circuit are high gain, low substrate loss, impedance matching with the rectier at desired frequency, and bandwidth sucient to capture the power in the channel. Thermo Electric Generator is required to capture temperature dierential. Indoor solar panel is required to capture diused light in closed room. Aditya Mitra,M S Chaitanya CH 11/57 June 2012

2.2. Functional Aspect

Chapter 2. Study

2.2.2

Conditioning Unit

The following blocks forms conditioning unit : Rectier Doublers The energy is stored in energy storage device as a DC voltage. Some sources like RF gives AC output. This requires rectication and bringing to a estimated voltage level where the boost converter works eciently. In case of RF it is specically known as RF to DC converter. Also impedance matching is required for ecient energy transfer. In case of other source doubler may be used, or it can be used directly.

2.2.3

Boost Converter with Power Management

As the commonly low-power loads need minimum of 3.2V for their operation and as the microlevel energy sources will not produce that much of voltage, so a Boost converter is needed to step-up the voltage level. Also, power management circuitry is needed to reduce the leakages and eciently utilize the harvested energy.

2.2.4

Switching Circuit

This block is responsible for Isolation of dierent sources, comparing the available power and switch to the source which has appropiate energy available.

2.2.5

Energy Storage

The harvested energy is temporarily stored on a super capacitor or a rechargeable battery. The choice of the type of storage is discussed in modular study

2.2.6

Load

The harvester module is used as Li thin lm battery charger in our case. The battery powers up the sensor module and the harvester charges the battery during o cycle of this sensor node.

Aditya Mitra,M S Chaitanya CH

12/57

June 2012

2.3. Modular Design

Chapter 2. Study

2.3

Modular Design

The main modules of the design are Harvester Rectiers and Doublers Starter Circuit Storage Device Volatage Boost Up Circuits

2.3.1

Harvester

Antenna The desired features of the antenna are high gain, less size, 50 ohm impedance (for standardization). But achieving all simultaneously is not possible. For ambient RF the received power is in order of - 25 to -30 dbm. Hence it becomes necessary to introduce a single high gain antenna at the input stage. Whereas for pulsed RF the power level received is much higher hence relatively lesser gain antenna can be used to reduce the size. To achieve more output voltage antenna should be used in a array as shown in gure 2.6.

Figure 2.7: Antenna Array in Series Connection

Thermo Electric Generator The desired feature of a TEG are low input impedance, should provide with higher voltage at output at temperature dierential (eg. micropelts). An experiment was conducted as shown in the Figure 2.7. 2 TEGs (Tellurex C2-30-1505) connected in parallel was placed between a hot mug and a heat sink. Temperature dierential of around 50 degree Celsius was observed and 200 mV output was observed after loading it with a capacitor. Aditya Mitra,M S Chaitanya CH 13/57 June 2012

2.3. Modular Design

Chapter 2. Study

Figure 2.8: Harvesting Temperature Dierential Solar Panel The solar panel used should be designed to work at uorescent light. It should have low input impedance and high open circuit voltage.

Figure 2.9: Harvesting Body Temperature Dierential

Aditya Mitra,M S Chaitanya CH

14/57

June 2012

2.3. Modular Design

Chapter 2. Study

2.3.2

Rectiers And Doublers

RF Doublers The limiting factor of a voltage doubler and rectier is its Q factor. The antenna source, matching network and the rectier makes a RLC series network. Such network has Quality Factor dened as L/R. Where is the frequency of operation, L is inductance and R is the source resistance of antenna (which is typically 50) As number of doubler stages increases the capacitance of the doubler reduces. This in turn reduces the value of inductance required to match the capacitive load. As L reduces the quality factor reduces and the voltage developed across the output also reduces. Also the RF diodes used should have extremely less forward voltage drop.

2.3.3

Boost Convertor Circuits

The voltage developed by low grade energy sources at the output of the transducers are typically in order of millivolts. Whereas the voltage required drive a typical low power radio module is 2.5 V to 4 V. The commercially available boost convertor are designed for continuous operation which proves to be highly inecient for intermittent mode for such level of voltage magnication. Also, power management circuitry is needed to reduce the leakages and eciently utilize the harvested energy. Hence a custom made boost converter is needed. The boost converter can be operated in either closed loop or open loop mode. There can be two basic topologies for a boost converter design. One is either to boost-up the voltage to a required value independent of input voltage value. This can be done only in a closed loop. Another one making the output constant with some battery at the output and transferring the energy harvested to that battery eciently. Our aim is to design a boost converter with commercially available discrete components with minimum power requirements. So to get good eciency an open-loop mode can be designed.

2.3.4

Energy Storage Device

The energy storage device should be a battery with large self discharge time and should have many charge discharge cycles.

2.3.5

Micro-Controller Unit

The desired feature of micro-controller unit are ultra low energy consumption, time for one transmitting cycle (i.e. from sleep to transmit to sleep) should be very less, transmitting current should also should be low, the minimum operating voltage should be low and have a high range of operation.

Aditya Mitra,M S Chaitanya CH

15/57

June 2012

2.4. Industrial Design

Chapter 2. Study

2.4

Industrial Design

The section should include the following The size of the whole system will be governed mainly by the size of the transducers, are they are comparatively big with respect to universal harvester board, therefore circuit enclosure is separated from transducers except solar panel, and this would serve the purposes: Will allow dierent type of transducers can be connected. Will allow dierent type of antenna to be connected for RF Harvesting Unit. It will make the circuit part small and portable. The size of the Harvester Board will be less than 7cm X 7cm, Solar panel can be placed on board. Antenna array will be placed in separate box. The antennas and the doubler circuits for pulsed RF energy harvesting should be enclosed in a dierent enclosure.

Aditya Mitra,M S Chaitanya CH

16/57

June 2012

Chapter 3

Module Design
3.1 Transducer Selection

The transducers are the rst in the receiver chain. These transducers convert the energy in the environment into electrical energy. The energy sources we choose to harvest is solar, thermal gradient and ambient and pulsed RF.

Ambient RF
Ambient RF energy harvesting is targeted on the energy available GSM towers. Antenna is used to harvest RF energy. The amount of power received by the antenna is dependent on the gain of the antenna. We are harvesting RF power in two forms - pulsed and ambient power. Ambient RF is harvested from the GSM towers whose centre frequency is 945 MHz and has a bandwidth of 25 MHz (GSM frequency band used in India). The antenna for this purpose is chosen to be the Bi-Quad antenna which provides with 11 dBi gain and is of fairly compact size. The power received by this antenna is around -20 to -25 dBm at the hot spots. The Bi-Quad antenna shown in Fig[3.1] is easy to build, and provides a reliable 11dBi gain, with a fairly wide beam-width. The element is made from a length of copper wire, bent into the appropriate shape. Fig[3.2] shows the dimensions of the manufactured antenna.

Figure 3.1: Bi-Quad antenna

17

3.1. Transducer Selection

Chapter 3. Module Design

Figure 3.2: Dimensions of Bi-Quad antenna

Pulsed RF
Pulsed RF energy harvesting is targeted on the power transmitted by a mobile to the base station when a call is initiated and audio data is being transmitted or received by the mobile. The nature of the transmitted power is like a high powered pulse which exists for a very short duration of time and the repetition rate of these pulses are proportional to the amount of audio data is being sent. The peak power of the pulse was measured to be + 2 dbm with the Bi-Quad antenna centered at 900 MHz at the distance of 0.5 meter from the mobile.

Figure 3.3: Harvesting set up for Pulsed RF Energy

Aditya Mitra,M S Chaitanya CH

18/57

June 2012

3.1. Transducer Selection

Chapter 3. Module Design

Solar
The solar energy intended to be harvested falls in the range of indoor light intensity (200 to 1000 lux). Hence the solar panel chosen for this should be chosen for indoor light (uorescent and incandescent light). The solar panel should generate more than 3.3 volts on open circuit condition. We have chosen SANYO AM-1801CA shown in Fig[3.4] which provides the required characteristics because of its small size.

Figure 3.4: solar panel to collect indoor light energy

Thermal Gradient
Thermal energy can be harvested using Thermo-Electric Generator. Temperature dierential available should be able to provide us with an output DC voltage of at least 200 mV. Tellurex C2-30-1505 shown in Fig[3.5] is chosen for this purpose.

Figure 3.5: Thermo-electric transducer for harvesting energy from thermal gradient

Aditya Mitra,M S Chaitanya CH

19/57

June 2012

3.2. Rectier Design

Chapter 3. Module Design

3.2

Rectier Design

The output of the antenna (RF power) is given to rectier for RF to DC conversion. For ambient RF energy harvesting, the power available is a high frequency AC with very small amplitude. Hence a high sensitivity RF diode is needed. Hence based on the above mentioned selection criteria HSMS 2852 is selected for the purpose of designing a rectier. The rectier shows capacitive impedance and the voltage developed across is very less hence for power matching and for passive amplication of the signal an inductive matching network is used. The series inductor and the shunt inductor (implemented by a short circuit stub) used in the matching network rotates the impedance seen by the antenna to a 50ohm point achieving power match. The circuit and the layout are shown below and the simulation result is given in appendix.

Figure 3.6: Parameters of the substrate

Figure 3.7: Circuit diagram of rectier designed to match 50ohm antenna

Aditya Mitra,M S Chaitanya CH

20/57

June 2012

3.3. Doublers Design

Chapter 3. Module Design

Figure 3.8: Layout of rectier circuit The circuit is fabricated on AD250 board and is fabricated and tested.

3.3

Doublers Design

In Pulsed RF energy harvesting, high instantaneous power is received for a very short duration. The power level received by this exceeds the limit of maximum input power of diodes HSMS 2852. Hence a higher power rating diodes are used. High voltages can be developed across the storage capacitor using doublers. This relaxes the need of boost converter which are inecient for intermittent operation. The design of RF doublers is similar to rectier design. The circuit and the layout are shown bellow and the simulation result is given in appendix. The circuit is fabricated on AD250 board and is fabricated and tested.

Aditya Mitra,M S Chaitanya CH

21/57

June 2012

3.4. Boost Converter Design

Chapter 3. Module Design

Figure 3.9: Circuit diagram of voltage doubler designed to match 50ohm antenna

Figure 3.10: Layout of Volatge doubler circuit

3.4

Boost Converter Design

Boost type switching converters are used to step-up the voltage from low voltage sources to a higher level. The boost converters available in the market is designed for continuous operation.

Aditya Mitra,M S Chaitanya CH

22/57

June 2012

3.4. Boost Converter Design

Chapter 3. Module Design

Figure 3.11: Fabricated Voltage doubler circuit The internal circuit involves complex power consuming circuits for MPPT. Since we are using the boost converter in intermittent operation, the boost converter recognizes only capacitor as the source. The eective input resistance seen is constant, hence we can conclude that there is no need of MPPT and we can do away with the complex power consuming feedback circuits which implements MPPT. The removal of feedback circuit makes it work in open loop hence we loose the control over the output voltage. We x this problem by putting battery as the load. Hence allowing only current to pass into the battery and hence charging it. This principle can be applied to transfer energy from one source to another source. The boost converter designed is based on this.

Continuous Operation
The approach used here in designing the boost converter in open loop is based on resistor emulation. The converter is realized to act as a constant positive resistance at its input port with less control circuitry while transferring energy to a battery. The basic circuit in open loop conguration is shown in g[3.12]. The input is an ambient energy harvested source which will directly feed the converter. When the transistor is switched on, the energy is transferred to the inductor. When it is turned o, the magnitude of energy stored in the inductor is forced to battery.

Discontinuous Method
For energy harvesting purposes the amount of ambient energy availability is limited. So, we need to accumulate the energy on a capacitor (Cin) shown in g[3.13] then it is boosted to a Aditya Mitra,M S Chaitanya CH 23/57 June 2012

3.4. Boost Converter Design

Chapter 3. Module Design

Figure 3.12: Circuit diagram of the boost converter for continuous operation required voltage. It means boost converter is not in continuous operation, it needs to be switched whenever sucient energy is available at the input capacitor. In this mode of operation, the normal boost converters which are meant for continuous operation will give less eciency. We designed a boost converter which is ecient for this kind of operation.

Figure 3.13: Circuit diagram of the boost converter for dis-continuous operation

Aditya Mitra,M S Chaitanya CH

24/57

June 2012

3.4. Boost Converter Design

Chapter 3. Module Design

The circuit shown in g[3.13] is the basic boost converter circuit. When the MOSFET (M1) is on, the some of the energy in the capacitor is transferred to the inductor and next when it is o, this energy along with some energy from capacitor is transferred to a battery. This is like a transfer of energy from a low voltage source to a high voltage source, without this switching operation this cant happen. The inductor current wave form is shown in g[3.14]. A diode (D1) is used to detect the inductor zero-crossings and also for uni-directional power ow from source to battery. The converter parameters are an inductor L, switching period of the MOSFET T with on time of t1.

Figure 3.14: Inductor Current waveform Instantaneous inductor current during M1-on i(t) = Inductor Peak current Ip = R.M.S. value of current during M1-on ILrms1 = Ip Instantaneous inductor current during M1-o i(t) = Time, t2 t2 = R.M.S. value of current during M1-o ILrms2 = Ip Aditya Mitra,M S Chaitanya CH 25/57 t2 3T (3.6) June 2012 Vin t1 Vo Vin (3.5) Vin Vo t + Ip L (3.4) t1 3T (3.3) Vin t L (3.1)

Vin t1 L

(3.2)

3.4. Boost Converter Design Average value of current during M1-o ILavg2 = Ip The losses in the converter are Ploss = Pcond + Psw + Pcontrol Conduction losses, t2 2T

Chapter 3. Module Design

(3.7)

(3.8)

2 2 2 Pcond = Rl (ILrms1 + ILrms2 ) + Ron ILrms1 + Vo ILavg2

(3.9)

Switching losses,
2 Psw = (Qg Vo /2 + Cjo Vin /2)

1 T

(3.10)

Control losses, Pcontrol = Poscillator + Pcomparator (3.11)

Based on the above equations the converter parameters are varied to get high eciency. The optimized values come out to be inductance (L) of 150uH, switching period (T) of 40usec with on-time (t1) of 20 usec. To switch the N-MOS with this frequency, an oscillator is needed. Once the boost converter parameters are designed, now it needs to get the value of capacitor (Cin) which is used for storing the energy at the input of the boost converter. A 100uF capacitor is giving the good converter eciency.

Figure 3.15: Experimental setup of boost converter The boost converter eciency was calculated for the above circuit for dierent power levels available at the input. Fig[3.16] tabulates the results of the boost converter. The selection of all the discrete components for designing the converter is mainly based on the low power consumption and low operating losses. The N-MOS switch should have a low on-resistance to have a low on drop, low gate charge and gate capacitance to have low switching losses. Si1563 will serve this purpose. The oscillator which is used to switch on the N-MOS Aditya Mitra,M S Chaitanya CH 26/57 June 2012

3.4. Boost Converter Design

Chapter 3. Module Design

Figure 3.16: Eciency at dierent input power levels instead of having low power consumption it should have a low settle time during starting as we are not operating the boost converter in continuous mode, so this is a primary constraint. LTC6906 will serve this purpose. HSMS2822 is selected because of its zero-bias and less forward drop.

One Pulse Method


The boost converter designed is based on operating the boost converter for just one pulse. In one pulse we can switch on the mosfet and cause high rate of current owing through the inductor causing high voltage to get developed. This high voltage directly comes across the capacitor when the mosfet is switched o. Hence a fraction of energy in the capacitor is now transfered to the capacitor which can now charge the battery with power management.

Figure 3.17: Circuit diagram of boost converter in one-pulse method

Cascaded Cell Method


We have proposed this approach to generate higher voltage by combining the rectennas in series, In doing so we have exploited the fact that the output terminal of any rectenna is an RF ground due to high value capacitor being connected, hence if output terminal of the rst rectenna is connected to the ground plane of other rectenna then the net dc value will be sum Aditya Mitra,M S Chaitanya CH 27/57 June 2012

3.5. Storage

Chapter 3. Module Design

of voltages across individual rectennas . N number of such rectennas can be connected to generate voltage high enough to drive a microcontroler, It may also be noted that this scheme may as well be used with the multistage inductive-antenna capacitive rectier type rectenna, the number of units required in that case will be lesser.

Figure 3.18: Cascaded cell approach

3.5

Storage

The energy after being boosted up in voltage domain can be stored in either battery or super capacitor. We choose rechargeable thin lm battery because of its higher energy density and the ability to provide with xed voltage for long time even after few transmissions has been done. Also the battery will power up the sensors, micro-controller and trans-receiver and ensure its reliable operation. The battery used is 4V, 300mAh rated rechargeable battery (MEC220) from THINEGY. It has several thousands of charge/discharge cycles. The recharge time to 80 General Description of battery The THINERGY MEC220 is a solid-state, exible, rechargeable, thin-lm Micro-Energy Cell (MEC). This unique device substantially outperforms all other small form factor electrochemical energy storage technologies, including super capacitors, printed batteries, and other thin-lm batteries. The device is fabricated on a metal foil substrate to achieve its exibility, thin prole, broad operating temperature range, and long life. The active materials in the device include a Lithium Cobalt Oxide (LiCoO2) cathode and a Li-metal anode. A solid-state electrolyte called LiPON (Lithium Phosphorus Oxynitride), with its high Li-ion conductivity, is used to provide superior power performance. Due to its low internal cell resistance, the MEC oers superior charge acceptance, making it an ideal energy storage device for applications where extremely low current recharge sources are available, including various ambient energy harvesting methods. Pulsed or continuous currents as low as 1 A can be used to eectively recharge this device. The low self-discharge rate results in decades of shelf life. With its recharge cycle stability, the device oers tens of thousands of recharge cycles for many years of use with no memory eects. Its performance characteristics are shown in FIG 3.19

Aditya Mitra,M S Chaitanya CH

28/57

June 2012

3.6. Jennic Board Developement

Chapter 3. Module Design

Figure 3.19: Enerchip driving the micro-controller load

3.6

Jennic Board Developement

Figure 3.20: Jennic Board schematic 1

Aditya Mitra,M S Chaitanya CH

29/57

June 2012

3.7. Jennic Board Features

Chapter 3. Module Design

Figure 3.21: Jennic Board schematic 2

Figure 3.22: Jennic Board schematic 3

3.7

Jennic Board Features

The important features of the MCU board are: Board: Aditya Mitra,M S Chaitanya CH 30/57 June 2012

3.8. Jennic Board Programming Interface and Software

Chapter 3. Module Design

Figure 3.23: JN1548 current vs time, deep sleep to active transmitting and back to deep sleep All the peripherals are enabled using jumper pins, which would essentially bring down the leakage current when the peripheral is disabled. Reduction of the system cost of about 4x in comparison with the development kit provided by Jennic 2.4GHz IEEE802.15.4 compliant radio. Very low energy/bit consumption during transceiver operation. Microcotroller: Extremely low sleep current of the order of few nA. 4 to 32 MHz congurable CPU clock. 128k internal ROM and RAM each support external Flash.

3.8

Jennic Board Programming Interface and Software

USB to Serial Interface GNU-based toolchain-C compiler GUI and command line interface Flash programmer Eclipse IDE

3.9

Jennic Board BOM

Jennic microcontroller JN5148 32KHz and 32MHz crystals

Aditya Mitra,M S Chaitanya CH

31/57

June 2012

3.10. Industrial Design

Chapter 3. Module Design

Figure 3.24: Completed Jennic Board LEDs On board Antenna Discrete components

3.10

Industrial Design

The section should include the following The harvester board is to be placed inside a watch. the screen will consist of solar panel. The harvester and the radio module is to be placed bellow the solar panel as shown in gure 3.25. The size of the whole system will be governed mainly by the size of the transducers, are they are comparatively big with respect to universal harvester board, therefore circuit enclosure is separated from transducers except solar panel, and this would serve the purposes: Will allow dierent type of transducers can be connected. Will allow dierent type of antenna to be connected for RF Harvesting Unit. It will make the circuit part small and portable. The size of the Harvester Board will be less than 7cm X 7cm, Solar panel can be placed on board. Antenna array will be placed in separate box. The antennas and the doubler circuits for pulsed RF energy harvesting should be enclosed in a dierent enclosure.

Aditya Mitra,M S Chaitanya CH

32/57

June 2012

3.10. Industrial Design

Chapter 3. Module Design

Figure 3.25: Completed Jennic Board

Aditya Mitra,M S Chaitanya CH

33/57

June 2012

Chapter 4

Engineering And Fabrication


4.1 Product Structure

The Block Diagram level representation of the Multi-Input Energy Harvesting system is as shown gure 4.1.

Figure 4.1: Block Diagram Of Energy Harvesting System In this project Solar, Thermal and RF (ambient and pulsed) sources are targeted. The power levels of the inputs are well below the level of power required by the Trans-Receiver module in active mode. Hence we choose to use the harvester in intermittent mode to recharge the battery. The battery not only provides reliable supply for Trans-Receiver module but also helps in improving the performance of boost convertor present in harvester by eliminating the need of feedback circuits to maintain the voltage and MPPT. Moreover the battery powers up the sensing circuits in thermal and ambient RF harvester. Further detailed block diagram of 34

4.2. Solar Harvester Design

Chapter 4. Engineering And Fabrication

each harvester is explained in the next section. The Trans-Receiver module is programmed to sense temperature and transmit the data to the receiving station. The Trans-Receiver used was JENNIC 1548. At the receiver end the data is collected by the 15.04 standard JENNIC1548. The data is then sent to a Bluetooth 2.0 module via serial port. This Bluetooth module collects the data from the JENNIC module and makes it available for Bluetooth enabled devices which have the application running on it.

4.2

Solar Harvester Design

The targeted source for solar are incandescent light and diused sunlight where the luminous intensity is less than 1000 lux. The block diagram of solar harvester is shown below. The solar

Figure 4.2: Solar Harvester Block Diagram panel is providing us with a constant voltage and a current enough to recharge a battery. Hence no extra circuitry is needed for the processing. Isolation is needed for preventing back ow of energy and interaction with other harvesting sources.

4.2.1

Module Testing

Equipment Required High Impedance Multimeter Oscilloscope Procedure Check Open Circuit Voltage Connect Solar Panel Check panel voltage waveform in oscilloscope Check panel current

Aditya Mitra,M S Chaitanya CH

35/57

June 2012

4.3. Pulsed RF Harvester Design Results Open Circuit voltage 5.1 V

Chapter 4. Engineering And Fabrication

Current owing at 250 lux is 9 A from a panel of size 2.5 X 3 cm

4.3

Pulsed RF Harvester Design

The targeted source is the mobile phones when communication is established between the base station and the mobile phone when a call is initiated. Since the mobile communicates the base station with burst of RF signals the instantaneous power is high but overall energy is low. This gives an advantage over ambient energy harvesting that even though the energy is low but the voltage developed at the output is high. The block diagram of Pulsed RF harvester is shown bellow. The antenna used is the commercially available dipole antenna. The commercially

Figure 4.3: Pulsed RF Harvester Block Diagram available dipole antennas are typically low gain antenna. To compensate for the low gain, an array of antenna put in series can be used followed by a rectier or voltage doublers after each antenna. This energy is stored in a small capacitor. The sensing network draws power from input, allowing it to be self starting. When the input voltage rises above the reference, the switch is closed and energy stored capacitor is transferred to the battery. Isolation is required for preventing back ow of energy and interaction with other harvesting sources.

4.3.1

Doublers module

Physical Dimension : 5X4 cm Connectors : Edge mount SMA connector connects between the pad 1 and the GROUND PLANE as shown. Connectors J1 100 mil pitch right hand bend female connectors, connect to the adjacent module (energy storage and management module) to be shown subsequently.

Aditya Mitra,M S Chaitanya CH

36/57

June 2012

4.3. Pulsed RF Harvester Design

Chapter 4. Engineering And Fabrication

Development details: Copper Thickness 35 um Substrate FR4 Board thickness 1.6 mm. Number of layers 2 Routing Layer TOP Assembly and mounting details: Reow soldering of all SMD components. Manual soldering of all through hole components and the SMA connector.

4.3.2

Module Testing

Equipment Required Mobile Phones High Impedance Multimeter Oscilloscope Procedure Check S11 of Rectier/Doublers module Connect Rectier/Doublers module to antenna array Check individual rectier+antenna is working Check to see that polarity of each output capacitor is same Connect rectier to the harvesting module Check input voltage waveform in oscilloscope for input voltage ripple Check current owing into the battery Results Open Circuit voltage 4.5 V at a distance of 10 cm Average Current owing through battery was approximately 25 A from 3 series connected doubler arrangement.

Aditya Mitra,M S Chaitanya CH

37/57

June 2012

4.4. Thermal Harvester Design

Chapter 4. Engineering And Fabrication

Figure 4.4: Measuring S11 of doubler circuit

4.4

Thermal Harvester Design

The targeted source is the temperature dierential between any two surface. For purpose of demonstration, two Thermo-Electric Generators (Tellurex c2-1530) were connected in parallel and was sandwiched between a Hot Mug and a plain surface attached to heat sink. The block diagram of Thermal harvester is shown below. The voltage developed by the TEGs at the

Figure 4.5: Thermal Harvester Block Diagram input were less compared to Solar and pulsed RF, hence a boost convertor is required. A custom boost convertor was proposed and designed for intermittent operation. The designed boost convertor provides with higher eciency than any commercially available boost convertor Aditya Mitra,M S Chaitanya CH 38/57 June 2012

4.4. Thermal Harvester Design

Chapter 4. Engineering And Fabrication

which is naturally designed for continuous operation. The sensing circuit draws power from the output battery.

4.4.1

Module Testing

Equipment Required High Impedance Multimeter Oscilloscope Procedure Check Open Circuit Voltage Connect Solar Panel Check panel voltage waveform in oscilloscope Check panel current Results Open Circuit voltage 500 mV at a temperature dierential of 50 degree Celsius Voltage waveform on the input capacitor is shown in g Vinitial= 170mV Vnal= 200mV Time of charging= 100msec. Temperature dierence= 55deg. Average current into the battery = 9.25 u A Eciency= 61

Aditya Mitra,M S Chaitanya CH

39/57

June 2012

4.5. Ambient RF Harvester Design

Chapter 4. Engineering And Fabrication

Figure 4.6: Input waveform of thermal harvester at 50 degree dierential

4.5

Ambient RF Harvester Design

The targeted source is the power radiated GSM towers which are operating at 945 MHz in India. Unlike the pulsed RF, the instantaneous power is very less. Hence a very high gain antenna is used at the input. The antenna used is Bi-Quad antenna which has 11dBi gain. Output of antenna is AC in nature so it is given to a rectier. The voltage developed by

Figure 4.7: Pulsed RF Harvester Block Diagram the rectier is less compared to Solar and pulsed RF; hence a boost convertor is required. A custom boost convertor was proposed and designed for intermittent operation. The designed boost convertor provides with higher eciency than any commercially available boost convertor which is naturally designed for continuous operation. The sensing circuit draws power from the Aditya Mitra,M S Chaitanya CH 40/57 June 2012

4.5. Ambient RF Harvester Design

Chapter 4. Engineering And Fabrication

output battery. The block diagram of Ambient RF Harvester is shown below.

4.5.1

Rectier module

Physical Dimension : 5X4 cm Connectors : Edge mount SMA connector connects between the pad 1 and the GROUND PLANE as shown. Connectors J1 100 mil pitch right hand bend female connectors, connect to the adjacent module (energy storage and management module) to be shown subsequently. Development details: Copper Thickness 35 um Substrate FR4 Board thickness 1.6 mm. Number of layers 2 Routing Layer TOP Assembly and mounting details: Reow soldering of all SMD components. Manual soldering of all through hole components and the SMA connector.

4.5.2

Module Testing

Equipment Required Portable Network Analyzer High Gain Transmitting Antenna (to simulate GSM tower) High Impedance Multimeter Oscilloscope Procedure Check S11 of Rectier module Connect Rectier module to receiving antenna Check rectier output Connect rectier to the harvesting module Check input voltage waveform in oscilloscope for input voltage ripple Check current owing into the battery Results Open Circuit voltage 350 mV at a temperature dierential of 50 degree Celsius Vinitial= 160mV Vnal= 200mV

Aditya Mitra,M S Chaitanya CH

41/57

June 2012

4.5. Ambient RF Harvester Design Time of charging= 250 msec. Power level= -7 dBm Average current into the battery = 4.82 u A Eciency= 59

Chapter 4. Engineering And Fabrication

Figure 4.8: Input waveform of thermal harvester at 50 degree dierential

Figure 4.9: Input waveform of thermal harvester at 50 degree dierential

Aditya Mitra,M S Chaitanya CH

42/57

June 2012

4.6. Harvester Board

Chapter 4. Engineering And Fabrication

4.6

Harvester Board

Fig[4.9] shows the entire harvester board (excluding the transducers)

Figure 4.10: Harvester Board Physical dimensions 2 inch X 3 inch Mount holes 2 Mount holes Drill size 110 mil Mount hole Pitch 1800 mil Connectors:Connector J4, J5. 100 mil pitch Right hand bend Male connectors, connect to the RF-DC module shown in the previous hardware module. A 12 pin connector at the edge of the board provides an easy access to program and monitor critical signals of the microcontroller. The mapping of the microcontroller signals to the connector pins is shown in Fig[4.13] Development details: Copper Thickness 35 um Substrate FR4 Board thickness 1.6mm. Number of layers 2 Assembly and mounting details: Reow soldering of all SMD components except supercapacitor. Manual soldering of through hole components and the super-capacitor.

Aditya Mitra,M S Chaitanya CH

43/57

June 2012

4.6. Harvester Board

Chapter 4. Engineering And Fabrication

4.6.1

Module testing

Equipment Required All the energy transducers mentioned in previous sections Portable Network Analyzer and high Gain Antenna for simulating a indoor GSM tower Connectors and wires A gateway consisting of IEEE 15.04 standard Jennic receiver and a bluetooth module android mobile with a bluetooth application running on it Multimeter And Oscilloscope Procedure For testing individual module follow the testing procedure mentioned in previous section Monitor all the voltage variations in the input side. Setup the gateway. to form a receiving section Connect the module to power up a Jennic transmitter Run bluetooth application on the android mobile to receive the data on the mobile Software requirements for Jennic Module Eclipse: For source code compilation. Flash programmer: To fuse the code into the ash present on board.

The entire software development tool kit can be downloaded from http://www.jennic.com/support/softwar Testing procedure for Jennic MCU+Radio Ensure supply voltage of at least 2.5V. To set the microcontroller in programming mode Connect power and ground on pins 24 (VDD), 25 (GND) and 26 (VSSA). Ensure that pins 9 (SSZ) and 13 (SSM) are tied together. This enables the on board ash. To enter programming mode, pin 7 (MISO) must be held LOW at power up or reset and then released. Fuse the code which activates the RF module and transmits data. Follow the same procedure and fuse a receiver code on another microcontroller. Once both are programmed, reset the devices. Monitor the receiver to verify reception of data packets.

Aditya Mitra,M S Chaitanya CH

44/57

June 2012

4.7. Component Chart

Chapter 4. Engineering And Fabrication

4.7

Component Chart

Figure 4.11: Component Chart

Aditya Mitra,M S Chaitanya CH

45/57

June 2012

4.8. Universal Harvester Board Characterization

Chapter 4. Engineering And Fabrication

4.8
4.8.1

Universal Harvester Board Characterization


Performance Results of Boost Converter for Ambient RF

Steps for characterization of boost convertor for ambient RF The rectier module was directly connected to spectrum analyzer Input power level was set Input voltage ripple and charging time of capacitor, output average current, output voltage is noted The eciency is calculated by : Ein = 1 2 2 C (v2 v1 ) 2 (4.1) (4.2) (4.3)

Eout = VBat IOavg tcharge Ef f iciency = Eout Ein

The eciency is plotted against the input power. Figure shows the plotted results.

Figure 4.12: Boost Converter eciency for Ambient RF

Aditya Mitra,M S Chaitanya CH

46/57

June 2012

4.8. Universal Harvester Board Characterization

Chapter 4. Engineering And Fabrication

Figure 4.13: Eciency vs Input Power level Plot for Ambient RF Harvestor

4.8.2

Performance Results of Boost Converter for Thermal Gradient

The thermal harvester as shown in gure 2.8 was lled with hot water. Harvester output is given to the input of the board. Input voltage ripple and charging time of capacitor, output average current, output voltage is noted The eciency is calculated by Equations 4.1 to 4.3 The eciency is plotted against the input power. Figure shows the plotted results. Performance characterization of solar harvester cannot be evaluated since there is no power management circuit in it and it is directly connected. Performance characterization of pulsed RF cannot be evaluated due to random nature of input RF pulse amplitude and time and also due to lack of equipment which can display time and duration of RF pulses.

Aditya Mitra,M S Chaitanya CH

47/57

June 2012

4.8. Universal Harvester Board Characterization

Chapter 4. Engineering And Fabrication

Figure 4.14: Boost Converter eciency for Thermal gradient

Figure 4.15: Eciency vs Temperature Plot for Thermal Harvester

Aditya Mitra,M S Chaitanya CH

48/57

June 2012

Chapter 5

Concluding Remarks
5.1 User instructions

Below are the specications of the device. Minimum Ambient RF input power at which the circuit is functional = -18 dBm. RF frequency = 930-960MHz Minimum Temperature dierence at which the circuit is functional = 25 deg. Minimum Indoor light intensity at which the circuit is functional = 200 lux. High Power burst mode for quick charging. On board MCU operating voltage is 3V to 4.1V. Other useful information of the device are listed below. Two push button switches are provided to connect the battery to the boost converter circuit to power-up the comparator. The Matching circuit for RF is tuned to the frequency band 930Mhz - 960Mhz. At other frequency bands appropriate matching circuit has to be used. Other Energy harvesting transducers whose output voltage is dc can be connected to the any low voltage input terminals of the Harvester Board.

5.2

Suggestion for Future Generation

Implementing a multi hop wireless sensor network Implementing on chip harvester with inductors and input capacitor as o chip components. Adding a wake up receiver circuit (which consumes less than 500nA) with the radio to reduce the energy consumption by the load during sleep period

49

Chapter 6

APPENDIX
6.1 PCB Layers OF Universal Harvester Board

Figure 8.1 and 8.2 shows the top and bottom layer of the Universal Harvester Board along with top and bottom silkscreen layers

Figure 6.1: Top layer Of Harvester Board

50

6.1. PCB Layers OF Universal Harvester Board

Chapter 6. APPENDIX

Figure 6.2: Bottom Layer Of harvester Board

Aditya Mitra,M S Chaitanya CH

51/57

June 2012

6.2. Design Status And Simulation Results

Chapter 6. APPENDIX

6.2

Design Status And Simulation Results

Figure 6.3: Simulation result of rectier circuit

Aditya Mitra,M S Chaitanya CH

52/57

June 2012

6.2. Design Status And Simulation Results

Chapter 6. APPENDIX

Figure 6.4: Inductor Current waveform

Figure 6.5: Simulation result of output capacitor charging

Aditya Mitra,M S Chaitanya CH

53/57

June 2012

6.2. Design Status And Simulation Results

Chapter 6. APPENDIX

Figure 6.6: Eciency with percentage change of ripple on input capacitor at 0.15V

Figure 6.7: Eciency with percentage change of ripple on input capacitor at 0.2V

Aditya Mitra,M S Chaitanya CH

54/57

June 2012

6.2. Design Status And Simulation Results

Chapter 6. APPENDIX

Figure 6.8: Eciency with percentage change of ripple on input capacitor at 0.3V

Figure 6.9: Simulation result of voltage doubler circuit

Aditya Mitra,M S Chaitanya CH

55/57

June 2012

Chapter 7

Acknowledgements
First of all, we would like to thank our advisors, Prabhakar T.V and Dr. K.J Vinoy, for their encouragement and unfailing support throughout this ambitious project. Thanks also to our Industrial Design advisor Chalapati Rao N.V for his support, and Mr B.K.A.N Singh for guiding us in manufacturing issues. We also thank Mrs. Vasanta K B and Mr. Anthonisamy C for PCB manufacturing. We Would also like to thank our colleagues at IISC for all the intellectual discussions, especially I.Hiteshwar Rao (CEDT) for helping with the board assembly. We extend our sincere gratitude to the following organizations for their kind support in form of samples, technical support and reference designs. Wurth Electronics, Coilcraft for all the free samples at a very short notice. Linear Technologies for introducing a wonderful chip LTC3108 at just the right time, and samples.

56

Chapter 8

Bibliography
Thurein Paing, Erez Falkenstein, Regan Zane, Zoya Popovic, Custom IC for Ultra-low Power RF Energy Scavenging in IEEE power electronics Letters, Nov 13, 2010. Triet Le, Karti Mayaram, Ecient Far-Filed Radio Frequency Energy Harvesting for Passively Powered Sensor Networks, in IEEE Journal of Solid-State Circuits, vol 43, No.5, May 2008. Arseny Dolgov, Regan Zane, Zoya Popovic, Power Management System for Online Low Power RF Harvesing Optimization, in IEEE Transactions on Circuits and Systems, vol.57, No.7, July 2010. Energy Harvesting Chip and the Chip Based Power Supply Development for a Wireless Sensor Network. Sensors 2008, 8, 7690-7714. Christopher J. Love, Shuguang Zhang, Andreas Mershin Source of Sustained Voltage Dierence between the Xylem of a Potted Ficus benjamina Tree and its Soil PLoS ONE, Issue 8, vol 3, Aug 2008. T. S. Paing, J. Morroni, A. Dolgov, J. Shin, J. Brannan, R. A. Zane, and Z. B. Popovic, Wirelessly-powered wireless sensor platform, in Proc. IEEE 37th Eur. Microw. Conf.,Munich, Germany, Oct. 2007, pp. 1-4. Chao Shi, Brian Miller, Kartikeya Mayaram, and Terri Fiez,A Multiple-Input Boost Converter for Low-Power Energy Harvesting,in IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 58, no. 12, pp. 827-831, Dec. 2011. S. Dhople, J. Ehlmann, A. Davoudi, and P. Chapman, Multiple-input boost converter to minimize power losses due to partial shading in photovoltaic modules, in Proc. IEEE Energy Convers. Congr. Expo., Sep. 2010, pp. 2633-2636. Triet Le, Karti Mayaram, Efcient Far-Field Radio Frequency Energy Harvesting for Passively Powered Sensor Networks in IEEE JOURNAL OF SOLID STATE CIRCUITS,VOL. 43, NO. 5, MAY 2008.

57

Das könnte Ihnen auch gefallen