Sie sind auf Seite 1von 22

UNIVERSIDADE FEDERAL DE SANTA MARIA CENTRO DE TECNOLOGIA DISCIPLINAS: CIRCUITOS E SISTEMAS DIGITAIS

GUIA PRTICO MAX-PLUS II VERSO 7.21 - ESTUDANTE

Prof. Dr. Jos Renes Pinheiro Prof. Dr. Hlio Lees Hey Monitor: Robinson Figueiredo de Camargo

01

NDICE DE LOCALIZAO

O Software MAX+PLUS II VERSO 7.21 .................................................... 02 Arquiteturas da ALTERA .................................................................................... 02 AHDL (Altera Hardware Description Language) ....................................... 04
APLICATIVOS DO GERENCIADOR MAX+PLUS II ............................................ 05 EXEMPLO PARA A CONSTRUO DE UM CIRCUITO DIGITAL ................. 07 ADICIONANDO UM NOVO COMPONENTE ......................................................... 16 CRIANDO SEU PRPRIO COMPONENTE ............................................................ 16 OPO CLOCK ........................................................................................................... 20 DESEJA AUMENTAR TEMPO DE SIMULAO ................................................. 21 REFERNCIAS BIBLIOGRFICAS ........................................................................ 21

Prof.Tit. Jos Renes Pinheiro, Dr.Eng.

renes@ctlab.ufsm.br

02

O Software MAX+PLUS II VERSO 7.21


O software Altera MAX+PLUS II (Multiple Array matriX Programable Logic User System) verso 7.21, trata-se de uma edio estudantil da verso comercial do software MAX+PLUS II 8.0 possuindo muitos aspectos em comum. um pacote provido de programas para Edio, compilao, verificao (simulao e anlise no tempo) e gravao de PLDs altera de todas as famlias Altera. Este software suporta esquemas e textos baseados em linguagem de descrio de hardware incluindo Altera linguagem de descrio de hardware (AHDL) e (VHDL). O software MAX+PLUS II um software constitudo de uma multiplataforma, ambiente de arquitetura - independente a fim de que seja facilmente adaptvel as necessidades do usurio. Oferecendo fcil implementao do projeto, processamento inteligente, e como sada obtm-se a programao do chip (PLD). Um projeto completo constitudo de vrios arquivos de entrada (arquivo projeto), cada arquivo contm um conjunto de informaes, que so compartilhadas entre os demais arquivos da hierarquia formada no momento da compilao ou simulao, de tal forma que os arquivos da hierarquia em conjunto descrevem o projeto desde a linguagem de descrio hardware (AHDL ou VHDL) at a especificao dos pinos de I/O do PLD a ser programado. Para isso o MAX+PLUS II composto de trs editores de entrada: editor grfico, editor de texto e editor de formas de onda e dois editores auxiliares: o editor de planta baixa e editor de smbolos. Atravs dos quais so criados os arquivos projeto que formaro a rvore (hierarquia).

Arquiteturas da ALTERA
A Altera prope uma srie de arquiteturas programveis as quais nomeia CPLD (Complex Programmable Logic Devices). As CPLDs utilizam matrizes de interconeco onde os atrasos nas conexes so previsveis e, uma vez os blocos alocados, independem do seu roteamento. Os tipos de roteamento implementados nas arquiteturas Altera so os seguintes: - Global Interconnect; - PIA Programmable Logic Array e Enhanced Programmable Logic Array; - Fast Track Interconnect. Do ponto de vista da implementao das funes lgicas no interior dos blocos lgicos, as arquiteturas podem ser classificadas em dois grupos: - Arquiteturas MAX (Multiple Array Matrix): so EPLDs onde a estrutura do bloco lgico est baseada em soma de produtos (SOP);

Prof.Tit. Jos Renes Pinheiro, Dr.Eng.

renes@ctlab.ufsm.br

03

- Arquiteturas FLEX (Flexible Logic Elements Matrix): so EPLDs onde a estrutura do bloco lgico est baseada em Look-up Tables (LUT). Do ponto de vista da Tecnologia de programao dos componentes, as arquiteturas podem ser classificadas em quatro grupos: - SRAM; - FLASH; - EEPROM; - EPROM. As arquiteturas de circuitos programveis da Altera so: - Arquitetura Classic; - Arquitetura MAX 5000; - Arquitetura MAX 7000; - Arquitetura MAX 9000; - Arquitetura FLEX 8000; - Arquitetura FLEX 10000; - Arquitetura FLASHlogic.

Evoluo das Arquiteturas da Altera

Prof.Tit. Jos Renes Pinheiro, Dr.Eng.

renes@ctlab.ufsm.br

04

AHDL (Altera Hardware Description Language)


uma linguagem desenvolvida sobre declaraes que definem uma lgica de funcionamento, na qual o circuito construdo. Os elementos e declaraes desta linguagem so poderosos versteis e fceis de usar. Voc pode criar todo seu projeto em AHDL ou mescl-lo com outros tipos de arquivos de projeto. Embora voc possa utilizar qualquer editor de texto ASCII para criar um projeto em AHDL, o editor de texto do sistema MAX+PLUSII permite uma srie de vantagens devido a suas caractersticas de construo que tornam o seu projeto muito mais fcil e simples. Estas facilidades, e todo o suporte sobre o seu funcionamento esto contidos em seu HELP, que pode ser acessado on-line.

Vantagens da utilizao de HDL (Hardware Description Language) Desenvolver o projeto em linguagem descritiva de hardware permite inmeras vantagens. Podemos dizer que o projetista no necessita possuir conhecimentos profundos em circuitos e sistemas digitais para desenvolver o seu projeto. O projeto em linguagem descritiva de hardware facilita modificaes e correes de erros, podendo assim, o projetista ampliar facilmente o seu projeto com apenas algumas modificaes em algumas linhas de comando.

Passos Bsicos para Formao de um Projeto Abaixo sero relacionados os passos bsicos na formao do projeto completo: 1 Criao de um novo arquivo de projeto ou uma hierarquia para mltiplos arquivos de projeto em uma combinao dos trs editores de entrada.(TEXT EDITOR, GRAPHIC EDITOR ou WAVEFORM EDITOR). 2 Especificar o arquivo de projeto que ser o topo da hierarquia. 3 Especificar a famlia lgica para o projeto. Se o usurio no especificar o compilador se encarrega de determinar a famlia mais adequada para o projeto. Abrir a janela do compilador e acionar a compilao do projeto. Se voc desejar, voc pode acionar o Timing SNF Extrator Module para que o compilador crie um arquivo netlist para simulao e anlises no tempo. Uma vez obtendo sucesso na compilao pode-se observar a o desempenho atravs da simulao e analise no tempo. Para rodar a anlise basta abrir a janela ANLYZER, selecionar um modo de anlise e acionar o boto start. J para a simulao primeiro deve-se criar um vetor de entradas Simulator Chanel File (.scf) atravs do waveform editor ou um Vector File atravs do text editor.

Prof.Tit. Jos Renes Pinheiro, Dr.Eng.

renes@ctlab.ufsm.br

05

A janela do gerenciador MAX+PLUS II aberta automaticamente quando voc inicia o software, esta janela possui alguns comandos comuns a todas as verses do software MAX+PLUSII, conforme figura 1,por exemplo abrir um arquivo, compilar ou simular o projeto corrente ou mudar para um outro projeto, bem como comandos especficos da verso mais atualizada se for o caso. Para a verso 7.21 ou 8.0 o gerenciador constitudo de 11 programas aplicativos.

Figura 1

Estes mesmos programas aplicativos tambm podem ser acionados por cones fora dos menus do gerenciador, conforme descrio abaixo:

Aplicativos do gerenciador MAX+PLUS II: cones Hierarchy Display - mostra a hierarquia atual que se est trabalhando onde pode ser visualizada a rvore hierrquica e o tipo de cada arquivo que ela compe se texto, diagrama esquemtico ou arquivo de forma de onda. Tambm possvel abrir ou fechar um ou mais arquivos da hierarquia diretamente para modificar o seu contedo. Graphic Editor - abre o editor grfico para a construo do diagrama esquemtico onde blocos so interligados para formar o circuito lgico, cada
Prof.Tit. Jos Renes Pinheiro, Dr.Eng. renes@ctlab.ufsm.br

bloco representa primitivas, macrofunes e megafunes presentes nas bibliotecas Altera ou pode haver um ou mais blocos criados pelo prprio usurio.

06

Symbol Editor - permite a edio de smbolos existentes nas bibliotecas Altera ou criao de um novo.

Text Editor - o editor de texto permite criar ou editar textos escritos em linguagem de descrio de hardware AHDL ou VHDL, bem como os demais arquivos de texto que compem um projeto.

Waveform Editor - este editor pode servir para duas finalidades: como ferramenta para um arquivo de entrada ou para entrar com vetores de teste e verificar os resultados na simulao.

Floorplan Editor - permite ao programador designar a lgica para o pino de sada do chip e a disposio das clulas lgicas no chip graficamente, possibilitando ao usurio a visualizao dos pinos no chip para eventualmente efetuar a ligao deste clula lgica contida no logic array block. Compiler - processa projetos lgicos das famlias Altera j mencionadas, podendo-se utilizar partes ou todo o processo de compilao do projeto.

Simulator - utilizado para testar operaes lgicas e temporizao interna (tempo de setup, hold,...) do circuito lgico.

Timing Analyzer - Analisa a performance do circuito lgico otimizando-o para a compilao.

Programmer - possibilita a programao, verificao, e teste do chip.

Message Processor - mostra os erros, perigos, e mensagens sobre o estado do projeto.

Prof.Tit. Jos Renes Pinheiro, Dr.Eng.

renes@ctlab.ufsm.br

07

EXEMPLO PARA A CONSTRUO DE UM CIRCUITO DIGITAL 1 Passo) Aps entrar no programa Max+Plus II, abrir um novo arquivo, conforme figura 2 abaixo;

FIGURA 2 2 Passo) Selecionar o tipo de arquivo, para nosso exemplo selecionamos o Graphic Editor File, conforme figura 3;

FIGURA 3

Prof.Tit. Jos Renes Pinheiro, Dr.Eng.

renes@ctlab.ufsm.br

08

3 Passo) Selecionar no menu Symbol, o item Enter Symbol, conforme figura 4;

FIGURA 4 4 Passo) Ento dentro da livraria de smbolos (Symbol Libraries), escolha a livraria (prim, mf, mega ou edit) onde se encontra o dispositivo desejado, para este exemplo selecionaremos a livraria c:\maxplusii\max2lib\prim, digite ento OK e aparecero os dispositivos contidos nesta livraria;

Prof.Tit. Jos Renes Pinheiro, Dr.Eng.

renes@ctlab.ufsm.br

FIGURA 5

09

5 Passo) Selecionamos a porta lgica And2, digite OK, observe a figura 6 abaixo;

FIGURA - 6 6 Passo) Selecionar na mesma livraria prim dois pinos de entrada (input), que sero referenciados aos sinais de entrada da porta and2 e um pino de sada (output) , que ser referenciado a sada da porta and2.Conforme a figura 7 abaixo.

FIGURA - 7

7 Passo) De nome aos pinos de entrada e sada, clicando em PIN_NAME, conforme figura 8 ;

Prof.Tit. Jos Renes Pinheiro, Dr.Eng.

renes@ctlab.ufsm.br

Figura 8

10

8 Passo) Selecione no menu a opo File, e nesta a opo Project e dentro desta a opo Save & Check, observe figura 9. Onde abrir-se- uma janela onde deve-se dar um nome ao seu projeto, onde este ser agora um arquivo com extenso .gdf , e ento o programa far a checagem do circuito, para verificao de erro, conforme a figura 10 abaixo.

FIGURA 9

Prof.Tit. Jos Renes Pinheiro, Dr.Eng.

renes@ctlab.ufsm.br

FIGURA 10

11

OBS: Em caso de erro, selecione a mensagem de erro em vermelho, conforme figura 11 e ento verifique no seu projeto, a cor vermelha que destaca a parte do circuito que precisa ser alterado, conforme figura 12.

FIGURA 11

FIGURA 12

Prof.Tit. Jos Renes Pinheiro, Dr.Eng.

renes@ctlab.ufsm.br

12

9 Passo) Selecione no menu a opo Max+Plus II, e ento dentro desta a opo Waveform Editor, observe figura 13; onde neste voc deve clicar no boto direito do mouse e escolher a opo inserir n (insert node), conforme figura 14. Na opo insert node escolha o nome do n, que dever corresponder ao nome que voc j atribui aos pinos no 7 passo, escolha tambm seu valor e se ele de entrada (input pin) ou de sada (output pin), conforme figura 15.

FIGURA 13

FIGURA - 14
Prof.Tit. Jos Renes Pinheiro, Dr.Eng. renes@ctlab.ufsm.br

13

FIGURA 15 10 Passo) Selecione novamente a opo File , opo Project dentro desta, a opo Save, Compile & Simulate, conforme figura 16.

FIGURA 16
Prof.Tit. Jos Renes Pinheiro, Dr.Eng. renes@ctlab.ufsm.br

14

11 Passo) Selecione a opo Open SCF do Simulator : Timing Simulation, conforme figura 17 abaixo, onde ser verificado o sinal de resposta do circuito, conforme figura 18.

FIGURA - 17

Figura 18
Prof.Tit. Jos Renes Pinheiro, Dr.Eng. renes@ctlab.ufsm.br

Est pronto! Seu circuito foi basicamente simulado.

15

Dica importante : Para selecionar o valor desejado para o sinal, conforme figura 19, no intervalo tambm desejado, selecione o pino desejado e clique no cone referenciado aqui ao lado e depois no intervalo de tempo desejado e ento selecione o valor do sinal 0 (zero), 1(um), X, Z, Inv (inverter o sinal), etc..., conforme figura 20.

FIGURA - 19

Prof.Tit. Jos Renes Pinheiro, Dr.Eng.

renes@ctlab.ufsm.br

FIGURA - 20

16

ADICIONANDO UM NOVO COMPONENTE Para adicionar uma nova porta lgica ao seu circuito digital, selecione novamente no menu Symbol, o item Enter Symbol ( 3 Passo) , ento dentro da livraria de smbolos (Symbol Libraries), escolha a livraria (prim, mf, mega ou edit) onde se encontra o dispositivo desejado, para este exemplo selecionaremos a livraria c:\maxplusii\max2lib\prim novamente, digite ento OK e aparecero os dispositivos contidos nesta livraria (4 Passo), ou ento de dois cliques com mouse sobre a tela onde est seu circuito.

OBS: Para ligar dois ou mais componentes, basta marcar com a seta do mouse sobre a(s) entrada(s) ou sada(s) da(s) porta(s) lgica(s) e arrastar a seta at o componente desejado, conforme figura 21.

FIGURA 21

CRIANDO SEU PRPRIO COMPONENTE Muitas vezes desejamos criar nosso prprio componente, algumas vezes por questes estticas outras devido ao circuito ser grande demais para projetado na tela de seu programa Max+PlusII, tendo em vista desses fatos a ferramenta Max+PlusII oferece a voc usurio condies de criar seu componente, bastando apenas dirigir-se ao menu principal, salvar seu projeto (baseado na figura 21) com outro nome, fazer nova checagem em Save & Check ( conforme 8 passo), aps escolher a opo File e nesta a opo Create Default Symbol, conforme figura 22.

Prof.Tit. Jos Renes Pinheiro, Dr.Eng.

renes@ctlab.ufsm.br

17

FIGURA 22

Ento depois de realizar a instruo, dirija-se ao menu Symbol, Enter Symbol e seu projeto estar j registrado no menu Enter Symbol, pasta maxplusii, em nosso exemplo o nome de nosso componente exemplo1, conforme figura23.

Prof.Tit. Jos Renes Pinheiro, Dr.Eng.

renes@ctlab.ufsm.br

18

FIGURA 23 Selecione seu componente clique em OK, e ENTO A EST SEU COMPONENTE, figura 24.

Prof.Tit. Jos Renes Pinheiro, Dr.Eng.

renes@ctlab.ufsm.br

FIGURA 24

19

Se desejar verificar as portas que esto dentro de seu componente clique sobre ele duas vezes, ento poder v-las, observe figura 25.

FIGURA 25

OBS: Seu componente exemplo1, necessitar de novas portas de entradas e sada, para poder realizar a simulao deste, ver figura 26. No necessariamente as entradas e sadas precisam Ter o mesmo nome das do componente que voc criou.

Prof.Tit. Jos Renes Pinheiro, Dr.Eng.

renes@ctlab.ufsm.br

20

FIGURA 26

OPO CLOCK Muitas vezes desejamos que uma entrada seja o clock do circuito, para implementarmos isto em nosso circuito, aps selecionamos a opo Waveform, clique sobre sua entrada (input A em nosso caso) que j foi criada, ela ficar marcada com preto (no consta na figura abaixo), ento selecione o cone clock, e voc poder escolher se ele partir (starting Value) do valor zero ou um (1) e o fator de multiplicao de seu clock (Multiplied By), ver figura 27.

Prof.Tit. Jos Renes Pinheiro, Dr.Eng.

renes@ctlab.ufsm.br

FIGURA 27 21

DESEJA AUMENTAR TEMPO DE SIMULAO Para aumentar seu tempo aps concluir sua simulao com a observao dos resultados e ressalto que somente aps, entre no menu File, Project em End Time, conforme figura 28 e ento altere seu tempo de simulao e aps refaa novamente a escolha dos valores para sua entradas porque seno ele simular apenas at o tempo de simulao anterior. Aps simule novamente.

FIGURA 28

REFERNCIAS BIBLIOGRFICAS 1) Manual Max+Plus II Altera - Getting Starded - verso 5.0, julho 1994. 2) Everton Glaser Corra da Conceio - Relatrio de Estgio Supervisionado 3) Mario Junbeck - Trabalho Cricte 1999.

Prof.Tit. Jos Renes Pinheiro, Dr.Eng.

renes@ctlab.ufsm.br

Das könnte Ihnen auch gefallen