Sie sind auf Seite 1von 10

Prctica #1.

Compuertas y Multiplexores con VHDL

Objetivos: Familiarizarse con el programa Galaxy para la creacin de proyectos. Obtener las bases necesarias del lenguaje VHDL para la programacin de sistemas digitales. Familiarizarse con el programa Active-HDL Sim para la simulacin de sistemas digitales. Aplicar los conocimientos tericos de Arquitectura de computadoras

Esta gua los llevar paso a paso en la creacin de proyectos en VHDL, as como en la simulacin del sistema digital.

Para la creacin y simulacin de sistemas digitales utilizaremos el programa Galaxy. El primer paso es crear el proyecto en el cual realizaremos el diseo en VHDL. Esto se muestra en las figuras 1 y 2.

Figura 1. Creacin de nuevo proyecto con Galaxy

Figura 2. Nuevo proyecto con Galaxy (2).

Dr. Marco Aceves, PhD

Prctica #1. Compuertas y Multiplexores con VHDL

En este caso, debido a que se pretende programar un dispositivo GAL se seleccionara Project [Target Library]

En el siguiente cuadro de dilogo, se seleccionar el nombre de la librera, el nombre del proyecto y el tipo (VHDL es ms completo que Verilog, por lo que se usar ste). El cuadro de dilogo de la informacin del proyecto se muestra en la figura 3.

Figura 3. Informacin del proyecto VHDL en Galaxy

Para iniciar a trabajar con VHDL simplemente es necesario agregar un archivo de texto usando el mismo procedimiento anteriormente mencionado. Sin embargo, en lugar de seleccionar Project se seleccionar text file. Nota importante: es necesario que al grabar su archivo de texto se ponga manualmente la extensin vhd, de otra forma no podr ser agregado al proyecto.

Para agregar archivos vhd al proyecto recin creado, seleccione add files en el men Project, como lo muestra en la figura 4.

Dr. Marco Aceves, PhD

Prctica #1. Compuertas y Multiplexores con VHDL

Figura 4. Archivo VHDL agrega al proyecto.

Como ejemplo, a continuacin se muestra una compuerta OR programada en VHDL. library ieee; use ieee.std_logic_1164.all; ENTITY Compuerta_OR IS PORT (a, b : IN std_logic; x : OUT std_logic); END Compuerta_OR; ARCHITECTURE algoritmica OF Compuerta_OR IS BEGIN x <= a OR b; END algoritmica;

Una vez terminado su programa en VHDL, el siguiente paso es compilarlo. En la siguiente figura (Figura 5, se muestra cmo compilarlo.

Dr. Marco Aceves, PhD

Prctica #1. Compuertas y Multiplexores con VHDL

Figura 5. Compilacin de un programa en VHDL. En la pestaa de Compiler aparecern los errores en caso de haberlos, como lo muestra la figura 5.

Una vez que tanto el diseo como la compilacin son correctas, el siguiente paso es la simulacin del programa VHD.

Para la simulacin se utilizar el programa Active-HDL Sim. Una ves abierto el programa para simulacin se tiene que abrir el archivo VHD, como lo muestra la figura 6.

Dr. Marco Aceves, PhD

Prctica #1. Compuertas y Multiplexores con VHDL

FIgura 6. Active-HDL Sim.

Lo siguiente es agregar las seales de entrada y salida que se requieren simular. Para esto se selecciona add signals del men waveform. En el siguiente cuadro de dilogo, se seleccionarn todas las entradas y salidas como se muestra en la figura 7.

Figura 7. Seales por se agregadas en Active-HDL Sim.

A continuacin se necesitar definir el comportamiento de las entradas para poder simular la salida(s). A este comportamiento se le llama stimulus.

Dr. Marco Aceves, PhD

Prctica #1. Compuertas y Multiplexores con VHDL

Seleccionar las entradas y con click derecho, seleccionar stimulators. Esto se muestra en la Figura 8.

Figura 8. Creacin de Stimulus para las seales de entrada.

Es recomendable utilizar para estos ejercicios el tipo de simulador reloj. En este ejemplo la frecuencia de la seal a es de 1MHz y la de la seal b es de 10MHz (Se pueden utilizar KHz y Hz).

La creacin de los stimulus se muestra en la Figura 9.

Dr. Marco Aceves, PhD

Prctica #1. Compuertas y Multiplexores con VHDL

Figura 9. Ejemplo de stimulators de una compuerta OR. Por ltimo, iniciar la simulacin oprimiendo el botn que se muestra en la figura 10.

Figura 10. Inicio de la simulacin y observabilidad de la salida x para una compuerta OR

Dr. Marco Aceves, PhD

Prctica #1. Compuertas y Multiplexores con VHDL

Figura 11. Inicio de la simulacin y observabilidad de la salida x para una compuerta AND

El siguiente paso es hacer algo ligeramente ms complejo ms relacionado con la materia arquitectura de computadoras: un multiplexor. El siguiente cdigo muestra un comparador de 2 bits en el cual si a y b son iguales el resultado de C es verdadero, de lo contrario arrojar falso. Basado en dicho cdigo, desarrolle un multiplexor como lo muestra la figura 12

Figura 12. Diagrama de un Multiplexor se 4 entradas de 2 bits cada una.

El multiplexor funcionar de la manera siguiente: a, b, c y d sern de 2 bits cada una, lo mismo que la salida y Existir una seal de seleccin sel de 2 bits de tal forma que si

Dr. Marco Aceves, PhD

Prctica #1. Compuertas y Multiplexores con VHDL

sel = 00 sel = 01 sel = 10 sel = 11

y=a y=b y=c y=a

Lo anterior da una gua paso a paso de cmo disear un sistema digital en VHDL. Habiendo terminado su gua, estn listos para su siguiente prctica. Su prctica consiste en lo siguiente:

1) Disear la lgica en VHDL de las siguientes compuertas: OR (ya fue mostrada), AND, NOT, NAND y XOR en diferentes archivos (si es posible proyectos). 2) Comprobar mediante simulacin el diseo para dichas compuertas (OR, AND, NOT, NAND y XOR). 3) Disear y simular en VHDL un multiplexor de 4 entradas y 2 bits cada una.

La realizacin de esta prctica es por equipos, y deber de ser documentada el diseo, la simulacin, los resultados y las conclusiones de la misma de manera individual, incluidas en el mismo reporte.

El reporte deber ser enviado por e-mail en la fecha que se indique, en formato .doc (de compatibilidad 2003) o pdf.

Dr. Marco Aceves, PhD

Prctica #1. Compuertas y Multiplexores con VHDL

La calificacin para esta prctica se dar de la siguiente manera:

Objetivo e Introduccin Diseo de las compuertas en VHDL Simulacin de las compuertas en Active-HDL Sim Explicacin de la simulacin Diseo del multiplexor Simulacin y explicacin de dicha lgica Conclusiones de su prctica Archivos completos

10% 15% 15% 10% 20% 20% 10% 0% (pero deben de ser enviados)

La prctica deber de ser enviada por mail en la fecha acordada. Los archivos utilizados vhd, rpt y de proyecto debern de ser compactados (zip o rar sin contrasea) y enviados por mail al igual que su reporte. El retraso de la entrega de su prctica demeritar en un 15% del total por da. Despus de 3 das de retraso, no se admitirn prcticas

10

Dr. Marco Aceves, PhD

Das könnte Ihnen auch gefallen