Sie sind auf Seite 1von 41

UNIVERSIDADE DE PERNAMBUCO Escola Politcnica de Pernambuco

Keila Silva dos Santos Suzane de Souza Silva

Memria
Eletrnica Digital

Recife 2011

Keila Silva dos Santos Suzane de Souza Silva

Memria
Eletrnica de Potncia Turma:

Este trabalho uma abordagem geral sobre Memrias realizado no perodo de Novembro/Dezembro de 2011 que foram orientadas e solicitadas pelo professor Antnio Samuel Neto como requisito parcial na disciplina de Eletrnica de Potncia. .

Recife 2011

As equaes so mais importantes para mim, porque a poltica para o presente, mas uma equao algo para a eternidade. Albert Einstein.

Sumrio
1. 2. 3. 4. 5. 6. Tabela de Figuras....................................................................................5 Introduo................................................................................................6 Classificao das Memrias..................................................................7 Terminologia............................................................................................9 Princpios de Operao da Memria...................................................13 Read Only Memories (ROMs).....15 6.1. Tipos de ROMs............................................................................15 6.2. Utilizao das ROMs..................................................................16 6.3. Estrutura de uma ROM...............................................................16 6.4. Funcionamento de uma MROM.................................................17 6.5. Descodificao coincidente......................................................19 6.6. Smbolos das ROMs...................................................................20 6.7. Temporizaes na leitura de uma ROM....................................22 6.8. Expanso de ROMs....................................................................25 7. Random Access Memories (RAMs).................................................28 7.1. Tipos de RAMs............................................................................28 7.2. Smbolos das RAMs...................................................................29 7.3. Estrutura de uma RAM esttica (SRAM)..................................31 7.4. Ciclos de leitura e de escrita numa SRAM..............................36 7.5. Expanso de RAMs...................................................................38 8. Concluso............................................................................................40 9. Bibliografia...........................................................................................41

Tabela de Figuras
Figura 1- Arquitetura de um Computador Figura 2- Tabela de Endereos de Memria Figura 3-Bloco de Memria Figura 4- Principais tipos de ROMs Figura 5- Modelo matricial de uma ROM com n linhas de endereo e p linhas de dados, ou ROM de 2n p. Esta ROM possui 2n p clulas, umas programadas com nveis H (a cinzento), outras a L (a branco). Figura 6-Estrutura de cada uma das clulas de uma MROM em tecnologia nMOS, admitindo que a palavra (linha) i est selecionada. (a) Caso em que a ligao e deixada na mscara da MROM, obtendo-se um H na coluna j. (b)Caso em que a ligao e removida na mscara da MROM, obtendo-se um L na coluna j Figura 7- Uma ROM de 2564 vem, na prtica, organizada como uma matriz de 3232, com um descodificador de coluna e um descodificador de linha, para alm do circuito de sada controlado por duas linhas, CS L e OE L Figura 8-Smbolo IEC simplificado de uma ROM tpica de 256 4, com sadas tri-state e duas entradas de controlo ativas a L correspondente dimenso. Figura 9-Parte das temporizaes na operao de leitura de uma palavra de uma ROM tpica Figura 10-Outra parte das temporizaes na operao de leitura de uma palavra de uma ROM tpica Figura 11-Expansao de ROMs que duplica a dimenso de cada palavra, de 8 para 16, mantendo o nmero de palavras em 1k Figura 12-Expansao de ROMs que quadruplica o nmero de palavras, de 1kpara 4k, mantendo a dimenso de cada palavra em 8 bits Figura 13-Tabela com os endereos ocupados por cada uma das ROMs da Figura 12 Figura 14-Smbolo IEC de uma RAM de 1k 8 com dois barramentos de dados unidirecionais, um de entrada e um de sada, e sadas tri-state Figura 15 -Smbolo IEC de uma RAM de 1k 8 com um nico barramento de dados, bidirecional, e com sadas tri-state Figura 16-Estrutura de uma clula de memria esttica em tecnologia MOS, com um total de 6 transistores Figura 17- Equivalente funcional de uma clula de Memria SRAM. De notara existncia de um latch D controlado, precedido por uma lgica de controlo da sua entrada de Enable e seguido por uma lgica de controlo da sua sada Q H. Figura 18-Estrutura de uma SRAM de 42 com barramentos independentes unidirecionais para a entrada e sada de dados Figura 19-Uma SRAM com um barramento tri-state bidirecional usa um circuito de entrada/sada com um Buffer bidirecional comandado pelas 3 linhas de controlo Figura 20-Ciclo de escrita numa palavra de uma SRAM

Introduo
A principal vantagem dos sistemas digitais sobre os analgicos a capacidade de armazena, facilmente, grandes quantidades de informao e/ou dados por perodo longos ou curtos de tempo. Essa capacidade de memria o que torna os sistemas digitais to versteis e adaptveis s situaes. Por Exemplo, em um computador digital a memria principal armazena instrues que informam ao computador o que fazer sob qualquer circunstncia possvel, de modo que o computador realizar sua tarefa com um mnimo de interveno humana. O flip- flop, que um dispositivo eletrnica de memria. Tambm analisamos como grupos de FFs, chamados de registradores, podendo ser utilizados para armazena informao e como esta informao pode ser transferida para outros lugares. Registradores sa o elementos de memo ria de alto desempenho que so muito usados nas aes internas de um computador digital, no qual a informao digital est sendo continuamente transferida de um local para outro. Os avanos na tecnologia LSI (Large Scale Integration) e VLS (Very Large Scale Integration) foram possveis obteno de um grande nmero de FFs, nico chip, organizados em vrios arranjos de memria. Ento as memrias so os dispositivos que armazenam informaes, essas por sua vez codificadas, digitalmente, atravs de um cdigo binrio qualquer. Essas informaes podem ser nmeros, letras, caracteres quais quer, comandos de operaes, endereos ou ainda qualquer outro tipo de dado.

Essas informaes armazenam dados para endereamento, programaes e para constituir o conjunto de funes internas para a funcionalidade do prprio sistema. Outro tipo de aplicao consiste em utilizalas para executarem quaisquer funes de circuitos combinacionais, e ainda, com o auxlio de contadores comuns e conversores, gerar formas de onda de diversas maneiras de modo mais simples.

Classificao das Memrias


Antes de analisarmos os diversos tipos de memrias, vamos conhecer sua classificao. Podemos classificar as memrias em vrios itens diferentes. So os principais: Acesso Volatilidade Escrita/Leitura ou apenas de leitura Tipo de armazenamento

Vamos analisar cada item: 1. Acesso: As memrias armazenam informaes em lugares denominados localidade de memria. Cada um das localidades de memria possui um conjunto de bits que nos permite o seu acesso, a esse conjunto de bits damos o nome de endereo. Esse conceito de fcil compreenso, pois como o prprio nome diz, o conjunto de bits representa o endereo da localidade onde est armazenada uma informao. O tempo de acesso de uma memria o tempo necessrio desde a entrada de um endereo at o momento em que a informao aparea na sada. Para as memrias de escrita/leitura tambm o tempo necessrio para a informao ser gravada. Podemos ter acesso a uma dada localidade de mem_oria de duas maneiras diferentes: acesso sequencial; acesso aleatrio. 2. Volatilidade: Quanto volatilidade, as memrias podem ser volteis ou no volteis. As memrias volteis so aquelas que ao ser cortada a alimentao perdem as informaes armazenadas. So memrias feitas, geralmente, a partir de semicondutores e na maioria das vezes, possuem como elemento de memria o flip-flop. Um exemplo tpico, j citado, o da memria RAM. As memrias no volteis so aquelas que mesmo sem alimentao continuam com as informaes armazenadas. Dentre essas se destacam as memrias magnticas e eletrnicas: ROM, PROM e EPROM.

3. Memrias de escrita/leitura ou memrias apenas de leitura: As memrias de escrita/leitura so aquelas que permitem acesso a uma localidade qualquer para escrevermos a informao desejada, alm disso, permitem o acesso tambm para a leitura do dado. As memrias RAM tambm se enquadraram nessa situao. As memria apenas de leitura, como o prprio nome diz, so aquelas em que a informao fixa, s podendo efetuar-se a leitura. So tambm conhecidas como ROM (Read Only Memory). A anlise desses tipos de memrias ser feita mais adiante atravs dos seminrios. 4. Tipos de armazenamento: Quanto ao tipo de armazenamento as memrias classificam-se em estticas e dinmicas. As memrias de armazenamento esttico so aquelas em que uma vez inserido o dado numa dada localidade, este l permanece. As memrias de armazenamento dinmico so aquelas em que necessitamos inserir a informao de tempos em tempos, pois de acordo com as caractersticas de seus elementos internos perdem essas informaes aps um determinado tempo. As memrias de armazenamento esttico apresentam a vantagem de possuir uma utilizao da maneira mais fcil que as dinmicas.

Figura 21- Arquitetura de um Computador

Terminologia
O estudo dos sistemas e dos dispositivos de memria est repleto de termos. de grande valia que voc possa compreender o significado de alguns termos mais bsicos, que so eles: 1. Clula de Memria Um dispositivo ou circuito eltrico utilizado para armazenar um nico bit (0 ou 1). Exemplos de clula de memoria incluem: um flip-flop, um capacitor carregado e um pequeno local numa fita ou disco magntico. 2. Palavra de memria: Um grupo de bits (clulas) em uma memria que representa instrues ou dados de algum tipo. Por exemplo, um registrador de oito FFs pode ser considerado uma memria que esta armazenando uma palavra de 8 bits. Os tamanhos de palavra nos computadores modernos variam tipicamente de 4 a 64 bits, dependendo do porte do computador. 3. Byte: Um termo especial usado para um grupo de oito bits. Um byte sempre e constitudo de 8 bits. Tamanhos de palavra podem ser expressos em bytes assim como em bits. Por exemplo, uma palavra de 8 bits e tambm uma palavra de um byte; uma palavra de 16 bits tem dois bytes, e assim por diante. 4. Capacidade: Uma maneira de especificar quantos bits podem ser armazenados em um determinado dispositivo de memria ou num sistema de memria completo. Para ilustrar, suponha que temos uma memria capaz de armazenar 4.096 palavras de 20 bits. Isto representa uma capacidade total de 81.920 bits. Poderamos tambm expressar essa capacidade de memria como 4.096 X 20. Quando representada desse modo, o primeiro nmero (4.096) o nmero de palavras, e o segundo nmero (20) o nmero de bits por palavra (tamanho da palavra). O nmero de palavras em uma memria frequentemente um mltiplo de 1.024. comum usar a designao "1K" para representar 1.024 = 210 quando nos referimos a capacidade de memria. Logo, uma memria com uma capacidade de armazenamento de 4K X 20 e na verdade uma memria de 4.096 X 20. O desenvolvimento de memrias maiores trouxe a designao "1M"ou "1 mega" para representar 220 = 1.048.576. Assim, uma Memria que possui uma capacidade de 2M X 8 tem na verdade uma capacidade de 2.097.152 x 8. A designao "giga" se refere a 230 = 1.073.741.824.

5. Densidade: Outro termo para capacidade. Quando dizemos que um dispositivo de memria tem uma densidade maior do que outro, queremos dizer que ele pode armazenar mais bits no mesmo espao, ou seja, ele mais de denso. 6. Endereo: um nmero que identifica a posio de palavra na memria. Cada palavra armazenada em um dispositivo ou sistema de memria possui um endereo nico. Endereos sempre existem num sistema digital como um nmero binrio, embora, por convenincia, nmeros em octal, hexadecimal e decimal sejam frequentemente utilizados para representar esses endereos.

Figura 22- Tabela de Endereos de Memria

A figura 2 ilustra uma pequena memria constituda de oito palavras. Cada uma destas oito palavras tem um endereo especfico representado por um nmero de trs bits que varia de 000 at 111. Sempre que nos referimos a uma posio especfica na memria, utilizamos seu cdigo de endereo para identific-la. 7. Operao de Leitura: Operao na qual a palavra binria armazenada numa determinada posio (endereo) de memria detectada e ento transferida para outro dispositivo. Por exemplo, se desejamos utilizar a palavra 4 da memria da figura anterior para algum propsito, devemos realizar uma operao de leitura no endereo 100. A operao de leitura frequentemente chamada de operao de busca, pois a palavra est sendo buscada da memria. Utilizaremos os dois termos indistintamente. 8. Operao de Escrita: Operao na qual uma nova palavra colocada numa determinada posio de memria. Tambm chamada de operao de armazenamento. Sempre que uma nova palavra escrita numa posio de memria, ela substitui a palavra que estava previamente armazenada l.

10

9. Tempo de Acesso: Uma medida da velocidade de operao de um dispositivo de memria. o tempo necessrio para realizar uma operao de leitura. Mais especialmente, o tempo entre a memria receber uma nova entrada de endereo e os dados se tornarem disponveis na sada da memria. O smbolo utilizado para tempo de acesso. 10. Memria Voltil: Qualquer tipo de memria que necessita da aplicao de energia para poder armazenar informao. Se a energia eltrica removida, todas as informaes armazenadas na memria so perdidas. Muitas das memorias semicondutoras so volteis, enquanto todas as memorias magnticas so no-volteis, o que significa que elas podem armazenar informao sem energia eltrica. 11. Memria de Acesso Aleatrio (RAM -Random Access Memory): Memria na qual a posio fsica real de uma palavra da memria no tem efeito sobre o tempo necessrio para ler ou escrever nesta posio. Em outras palavras, o tempo de acesso o mesmo para qualquer endereo na memria. A maioria das memorias semicondutoras de acesso aleatrio. 12. Memria de Acesso Sequencial (SAM -Sequence Access Memory) Um tipo de memria no qual o tempo de acesso no constante mas varia dependendo do endereo. Uma determinada palavra armazenada encontrada percorrendo todos os endereos at que o endereo desejado seja alcanado. Isto produz tempos de acesso que so muito maiores do que os das memorias de acesso aleatrio. Um exemplo de dispositivo de memria de acesso sequencial uma fita magntica. Para ilustrar a diferena entre SAM e RAM, considere a situao na qual voc gravou 60 minutos de musica numa fita cassete de udio. Quando desejar alcanar uma msica em particular, voc ter que retroceder ou avanar a fita at a encontrar. O processo relativamente lento, e o tempo necessrio depende de onde a msica desejada est gravada na fita. Isto SAM, j que voc percorreu atravs das informaes registradas at encontrar o que estava procurando. A contrapartida RAM para isso seria um CD ou MD de udio, no qual voc pode rapidamente selecionar qualquer msica informando o cdigo apropriado, e ele gasta aproximadamente o mesmo tempo, mo importando a msica selecionada. As memorias de acesso sequencial so utilizadas onde os dados a serem acessados sempre veem numa longa sequncia de palavras sucessivas. A memria de vdeo, por exemplo, deve fornecer seu contedo na mesma ordem repetidamente para manter a imagem na tela.

11

13. Memria de Leitura e Escrita (RWM -Read/Write Memory): Qualquer memria que possa. Ser lida ou escrita de maneira igualmente fcil. 14. Memria Somente de Leitura (ROM - Read-Only Memory): Uma vasta classe de memorias semicondutoras, projetadas para aplicaes nas quais a razo entre as operaes de leitura e escrita muito alta. Tecnicamente, uma ROM pode ser escrita (programada) apenas uma vez, e esta operao normalmente _e realizada na fbrica. Depois disso, as informaes podem ser somente lidas da memria. Outros tipos de ROM so na verdade RMM (read-mostly memories), nas quais se pode escrever mais de uma vez; porm a operao de escrita mais complicada do que a de leitura, e no realizada frequentemente. Os vrios tipos de ROM sero apresentadas em forma de seminrios. Todas as ROMs so no-volteis e armazenam dados quando a energia removida. 15. Dispositivos de Memria Esttica: Dispositivos de memria semicondutora nos quais os dados permanecem armazenados enquanto a energia est presente, sem a necessidade de reescrever periodicamente os dados. na memria. 16. Dispositivos de Memria Dinmica: Dispositivos de memria semicondutora nos quais os dados no permanecem armazenados, mesmo com a energia presente, a menos que os dados sejam periodicamente reescritos na memria. Esta ltima operao denominada refresh. 17. Memria Principal: Tambm chamada de memria de trabalho do computador. Ela armazena instrues e dados que a CPU est acessando no momento. a memria mais rpida num computador e sempre uma memria semicondutora. 18. Memria Auxiliar: Tambm chamada de memria de massa porque ela armazena grandes quantidades de informao externamente memria principal. mais lenta do que a memria principal e sempre no-voltil. Discos magnticos e CDs so dispositivos comuns de memria auxiliar.

12

Princpios de Operao da Memria


Embora cada tipo de memria seja diferente na sua operao interna, certos princpios bsicos so comuns a todas elas.

Figura 23-Bloco de Memria

Todos os dispositivos de memria necessitam de diversos tipos diferentes de linhas de entrada e de sada para realizar as seguintes funes: I. II. III. IV. V. Selecionar o endereo na memria que est sendo acessada para uma operao de leitura ou escrita; Selecionar uma operao de leitura ou escrita que ser realizada; Fornecer os dados de entrada a serem armazenados na memria durante uma operao de escrita; Manter os dados de sada vindos da memria durante uma operao de leitura; Habilitar (ou desabilitar) a memria de modo que ela responda (ou no) s entradas de endereamento e ao comando de leitura/escrita.

1. Entradas de Endereo Utilizando o bloco anterior como exemplo, a memria armazena 32 palavras, ela tem 32 posies de armazenamento diferentes, e portanto possui 32 endereos binrios diferentes, variando de 00000 at 11111 (0 a 31 em decimal). Logo, existem cinco entradas de endereo, A0 at A4. Para acessar cada uma das posies de memria para uma operao de leitura ou escrita, o cdigo de endereamento de cinco bits para essa posio aplicado nas entradas de endereo. De um modo geral, N entradas de endereo so necessrias para uma memria que possui uma capacidade de 2N palavras. Podemos visualizar a memria da figura como um arranjo de 32 registradores, no qual cada registrador guarda uma palavra de quatro bits, conforme mostra o mesmo. Cada posio mostrada contendo quatro clulas de memria que guardam 1s ou 0s, que formam a palavra de dados armazenada nesta posio.

13

Vejamos o seguinte exemplo, a palavra 0110 est armazenada no endereo 00000, a palavra de dados 1001 est armazenada no endereo 00001, e assim por diante. 2. A Entrada R/ Esta entrada controla qual operao deve ser realizada na memria: leitura (R - read) ou Escrita (W - write). A entrada identificada por R/, e, como no existe a barra sobre R, isto indica que a operao de leitura ocorre quando R/=1. A barra sobre indica que a operao de escrita acontece quando R/=0. Outros identificadores (nomenclaturas de outros autores) so usados frequentemente para essa entrada. Dois dos mais comuns so (escrita) e (write enable-habilitao de escrita). Novamente, a barra indica que a operao de escrita ocorre quando a entrada est em BAIXO. Fica subentendido que a operao de leitura ocorre para nvel alto. 3. Habilitao da Memria Muitos sistemas de memria tem algum modo de desabilitar completamente uma parte ou toda a memria, de modo que ela no possa responder s outras entradas. Isto representado na figura anterior pela entrada ME, embora ela possa ter nomes diferentes nos vrios tipos de memrias, tais como chip enable (CE) ou chip select (CS). Na figura, ela mostrada como uma entrada ativa em ALTO que habilita a memria, de modo que ela no responder s entradas de endereo e de R/W. Esse tipo de entrada til quando vrios mdulos de memria so combinados para formar uma memria maior.

14

Read Only Memories (ROMs)


1. Tipos de ROMs A designao Read Only Memory ou ROM aplica-se, na realidade, a um conjunto diversificado de memrias, em que se incluem vrios tipos, como mostra na Figura 4.

Figura 24- Principais tipos de ROMs

A medida que caminhamos da esquerda para a direita na figura obtemos memrias que so progressivamente mais flexveis em matria de programao (escrita), aproximando-se cada vez mais das caractersticas das RAMs ou memrias de leitura/escrita. Por outro lado, obtemos memrias com um custo por bit em geral mais elevado. Assim, as MROMs ou Mask-programmed ROMs (por vezes tambm designadas, incorretamente, simplesmente por ROMs) so circuitos integrados projetados de origem pelo fabricante com o contedo pretendido pelo utilizador. No podem ser programadas pelo utilizador, e o seu contedo no altervel. As PROMs (ou Programmable ROMs) so variantes de ROMs que podem ser programadas uma nica vez pelo utilizador, por fuso de pequenos fusveis. So compradas com todos os fusveis intactos, e o utilizador recorre a um programador de PROMs para fundir alguns dos fusveis e deixar outros intactos, ficando assim a memria programada com 1s e com 0s. No caso de ser necessrio alterar o contedo da PROM, h que programar um novo dispositivo e o anterior fica inutilizado. As EPROMs (ou Erasable PROMs) so memrias que podem ser programadas diversas vezes pelo utilizador (com recurso ainda a um programador de PROMs), e que podem ser apagadas submetendo-as a radiao ultra-violeta durante um intervalo de tempo relativamente prolongado. As EPROMs podem ser regravadas um certo nmero de vezes (dezenas a milhares). As EEPROMs (Electrically Erasable PROMs, ou ainda E 2PROMs) so EPROMs que podem ser apagadas e reprogramadas eletricamente, uma palavra de cada vez (em geral, uma palavra tem a dimenso de um byte), sem necessidade de as retirar do circuito em que esto inseridas. Do mesmo modo, so alterveis um nmero limitado de vezes. As memrias Flash ou Flash ROMs so variantes de EEPROMs que podem ser apagadas ou reprogramadas eletricamente, em blocos de palavras

15

(um bloco de cada vez). Tal como acontece com as memrias anteriores, tambm as memrias Flash so alterveis um nmero limitado de vezes. So as memrias ROM que mais se aproximam das memrias RAM sem, contudo, possurem a mesma facilidade de leitura e de escrita que so caractersticas das RAMs.

2. Utilizao das ROMs As ROMs podem servir para varias aplicaes: Suporte a programas em sistemas embebidos, programas esses que no iro ser alterados (uso de ROMs ou de PROMs) ou que podem ser atualizados um nmero pequeno de vezes (uso de EPROMS, de EEPROMS ou de memrias Flash); Memorizao de tabelas Mquinas de estados, ou ainda em aplicaes em que se pretende fazer uma converso de determinados dados (por exemplo, converses de cdigos) ou em que se querem gerar certas funes analgicas (formas de onda sinusoidais, triangulares, em dentes de serra, etc.); Implementao de lgica combinatria. 3. Estrutura de uma ROM Uma ROM pode ser modelada por uma matriz com 2n linhas ( palavras) e p colunas (p bits de dados por palavra), conjuntamente com um descodificador binrio de n bits e sadas ativas a H (Figura 5). De forma simplificada, diz-se que estamos em presena de uma ROM de 2n por p ou de uma ROM de 2np, porque possui 2np clulas, uma por cada interseco linhacoluna. Recorrendo a uma mscara fotogrfica, o fabricante da ROM insere um nnvel H ou um nvel L (respectivamente, um 1 ou um 0 em lgica positiva) em cada uma das clulas, no processo de fabrico da ROM. As n linhas de entrada do descodificador designam-se colectivamente por barramento de endereos da ROM, `as quais se aplicam endereos (quantidades booleanas gerais). Para cada endereo aplicado `as entradas vai ser ativada uma e s uma sada do descodificador, isto e, uma e so uma palavra da ROM. Apenas a palavra que foi endereada pode debitar para as p sadas do dispositivo (o barramento de dados) o que nessa palavra tiver sido programado pelo fabricante.

16

Dizemos, ento, que se leu para as sadas o contedo da palavra da ROM com esse endereo, ou que foi feita a leitura de uma palavra da ROM, ou ainda, e mais simplesmente, que foi feita uma leitura da ROM. Como `a aplicao de um endereo vai corresponder uma operao de leitura da palavra correspondente, com o consequente envio para o exterior dos bits programados nessa palavra (naturalmente, aps um certo tempo de propagao), segue-se que o comportamento da ROM e combinatrio.

Figura 25: Modelo matricial de uma ROM com n linhas de endereo e p linhas de dados, ou ROM de 2n p. Esta ROM possui 2n p clulas, umas programadas com nveis H (a cinzento), outras a L (a branco).

Assim sendo, no de estranhar que se possam utilizar ROMs na implementao de funes booleanas gerais (uma funo booleana simples por sada) das variveis booleanas gerais aplicadas `as entradas de endereo. 4. Funcionamento de uma MROM Vamos agora ver como constituda cada uma das clulas de uma MROM (Figura 6). Como podemos observar na figura, uma clula formada por um transistor nMOS (se a MROM for unipolar, como o caso; no caso de uma MROM bipolar teremos um transistor bipolar por clula) e por uma ligao que, ou e deixada, ou e retirada no processo de gerao da mscara da MROM (no caso de uma PROM, a ligao e substituda por um fusvel, e a ausncia de ligao significa que o programador da PROM fundiu o fusvel). O transistor est ligado `a tenso de alimentao, Vdd, e `a linha correspondente. Admitamos ento que, na clula que se encontra na interseco da linha i com a coluna j, o fabricante da MROM deixou ficar a ligao [Figura 6(a)].

17

Quando a palavra i for selecionada pelo endereo aplicado `as entradas, o nvel H gerado na sada i do descodificador binrio torna o transistor condutor, pelo que a tenso Vdd (aproximadamente) aparece na coluna j. Ou seja, obtemos um nvel H nessa coluna.

Figura 26:Estrutura de cada uma das clulas de uma MROM em tecnologia nMOS, admitindo que a palavra (linha) i est selecionada. (a) Caso em que a ligao e deixada na mscara da MROM, obtendo-se um H na coluna j. (b)Caso em que a ligao e removida na mscara da MROM, obtendo-se um L na coluna j

Pelo contrrio, admitamos agora que na interseco da linha i com a coluna j o fabricante da MROM removeu a ligao [Figura 6(b)]. Nessas condies, quando a palavra i for selecionada o transistor conduz, porm a ausncia de ligao significa que a tenso Vdd no pode passar para a coluna j. Ento, o que aparece na coluna j o nvel L proveniente da ligao da coluna `a massa, GND, atravs da correspondente resistncia de pull-down, R. Ou seja, obtemos um nvel L (praticamente 0 V) na coluna j. Se a linha i no tiver sido selecionada pelo endereo presente `as entradas, a sada correspondente do descodificador est a L e nenhum dos transistores nessa linha conduz, pelo que as correspondentes contribuies se traduzem por nveis L em todas as colunas. Consideremos agora uma coluna j qualquer. Duas situaes podem ocorrer. 1. Na sada j apenas temos contribuies de nveis L dos diversos transistores ligados `a coluna com o mesmo nmero: (i) porque existe um nvel L proveniente do transistor na palavra i que foi endereada, estando o transistor desligado da linha; e (ii) porque existem nveis L provenientes dos restantes transistores nessa coluna, pertencentes a palavras no endereadas (relembremos que apenas uma palavra vem endereada, ou selecionada, de cada vez). Neste caso a sada j vem a

18

L, nvel esse que resulta da leitura do bit a L armazenado na clula que se encontra na interseco da coluna j com a linha i que foi endereada. 2. Na sada j temos contribuies de nveis L e um (e s um) nvel H, proveniente do transistor condutor na linha i que estiver, nesse momento, a ser endereada. Neste caso a sada j vem a H, sendo este nvel resultante da leitura do bit a H armazenado na clula que est na interseco da coluna j com a linha i que foi endereada. Em resumo, uma ligao deixada na mscara, na interseco de uma linha com uma coluna, significa um nvel H na coluna e na sada, enquanto que uma ligao removida significa um nvel L. Finalmente, se a entrada de Enable da MROM (vd. a Figura 5) estiver inativa, ento todas as sadas do descodificador esto inativas (a L) e todos os transistores da MROM esto em no conduo, pelo que as sadas vm todas a L. Podemos, ento, afirmar que a ROM da Figura 5 tem sadas ativas a H, que vm desativadas se fizermos o Disable do dispositivo. 5. Descodificao coincidente O grande inconveniente da estrutura das ROMs na Figura 17.2 e a dimenso do descodificador utilizado na seleo de uma linha (palavra). Por exemplo, se pensarmos numa ROM de 2564, esse descodificador possuir 256 sadas, uma por cada palavra, e necessitar de 256 ANDs de 8 entradas (mais uma entrada para o Enable). O descodificador vai, nessas circunstancias, ocupar um espao considervel no circuito integrado, porque necessita de muitas portas e porque cada uma delas possui um elevado nmero de entradas. Por essa razo, os fabricantes de ROMs utilizam um outro tipo de descodificao que recorre a dois descodificadores mais pequenos, numa estrutura designada por descodificao coincidente. Desta forma ganham em rea ocupada no circuito integrado, o que lhes permite incluir ROMs de maiores dimenses para a mesma rea. Por exemplo, a ROM anterior, de 256 4, em vez de vir organizada como uma matriz de 256 linhas por 4 colunas, vem, na pratica, organizada com uma matriz de 32 32, sendo cada linha constituda por 8 palavras de 4 bits, como mostra a Figura 7. Um descodificador de linha de 5 bits seleciona, de cada vez, uma das 32 linhas (8 palavras) da matriz `a custa das linhas de endereco de menor peso, A0 a A4. Por seu turno, um descodificador de coluna de 3 bits utiliza as outras 3 linhas de endereo as de maior peso, A5 a A7 para selecionar

19

uma de entre as 8 palavras de 4 bits que esto disponveis na linha da matriz selecionada pelo descodificador de linha. Os 4 bits dessa palavra so lidos, ento, para o exterior da matriz e, da, para as 4 linhas de sada, se as entradas de controlo permitirem. Apesar de esta soluo necessitar de dois descodificadores, eles so consideravelmente menores do que o descodificador nico: o descodificador de linha necessita de 32 ANDs de 5 entradas, e cada um dos 4 descodificadores de coluna requere 8 ANDs de 3 entradas. 6. Smbolos das ROMs Os smbolos das ROMs sao relativamente simples. Ilustremos com o smbolo IEC simplificado de uma ROM tpica, de 2564 como a anterior, na Figura 8. O qualificador geral ROM * identifica uma ROM, com o asterisco substitudo pela

Figura 27 Uma ROM de 2564 vem, na prtica, organizada como uma matriz de 3232, com um descodificador de coluna e um descodificador de linha, para alm do circuito de sada controlado por duas linhas, CS L e OE L

20

Figura 28Smbolo IEC simplificado de uma ROM tpica de 256 4, com sadas tri-state e duas entradas de controlo ativas a L correspondente dimenso.

As 8 linhas de endereo, A0 H a A7 H, permitem enderear (seleccionar) uma das 256 palavras para ser lida, desde que estejam ativadas as entradas de controlo uma delas designada por Chip Select (CS L) ou, em alternativa, por Chip Enable (CE L), e a outra designada por Output Enable (OE L). Decorrido um certo tempo de propagao, nas sadas D0 H a D3 H aparece o contedo dessa palavra (a questo dos tempos de propagao ser examinada na Subseco 7). No smbolo, a chaveta indica que as linhas de endereo devem ser tomados em conjunto, com os qualificadores de entrada A0 a A255 a configurar uma dependncia de Endereo (A significa Address ou endereo). Esta dependncia vem manifestada pelos qualificadores A0 a A255, que afetam as sadas com o qualificador de sada A. A palavra selecionada numa operao de leitura da ROM, por aplicao de certos nveis de tenso `as entradas de endereo, ser aquela que tem o endereo decimal que resulta da soma das potencias de 2 das entradas ativas (desde que as entradas de controlo permitam). Ora como vimos atrs, esta ROM possui duas entradas de controlo que permitem a leitura de uma palavra. Quando uma delas, ou as duas, vm desativadas, as sadas da ROM ficam todas em alta impedncia. O facto de as sadas serem do tipo tri-state permite ligar mmltiplas ROMs, ou ainda ROMs e outros dispositivos com sadas tri-state (RAMs, microprocessadores, etc.), a barramentos comuns de transporte de dados entre os diversos dispositivos. Em cada sada aparece ainda um qualificador de sada [i], opcional, que identifica o peso dessa sada relativamente s outras.

21

7. Temporizaes na leitura de uma ROM Antes de passarmos ao estudo das temporizaes que podemos observar na leitura de uma palavra de ROM, vamos considerar com algum cuidado os significados das variveis de controlo CS e OE que encontramos nas Figuras 7 e 8 A entrada de Chip Select permite selecionar uma ROM em particular de entre um conjunto de memrias (ROMs ou RAMs), em esquemas de memria do gnero dos que estudaremos na Subseco .8 em que coexistem, no mesmo sistema, diversos circuitos de memria. Nesses casos, a ativao ou inativao de CS ser controlada por um descodificador de endereos externo, cuja funo e selecionar um circuito de memria de cada vez, consoante o endereo pretendido. Existir, assim, um espao de endereamento global, com cada circuito de memria afetado a certa zona de memria, que subconjunto do espao de endereamento. Se o CS L da ROM estiver ativa, e porque queremos aceder a uma palavra com um endereo afetado `a zona de memria ocupada pela memria. Nesse caso queremos que a palavra lida da ROM seja colocada no barramento de dados comum aos diversos circuitos de memria, a fim de poder ser lida por outro circuito qualquer. Pelo contrrio, se CS L estiver inativa queremos retirar a ROM do barramento de dados, porque queremos enderear outro circuito de memria que no esta ROM em particular. Isto e, queremos, neste caso, aceder a uma palavra contida noutro circuito de memria, ou seja, a uma palavra com um endereo pertencente `a zona de memria que foi afetada a esse outro circuito. Quanto a` entrada de Output Enable, OE L, permite colocar a sada em alta impedncia (no caso de no querermos ler uma palavra da ROM), impedindo a memria de enviar dados para o barramento de dados, ou, pelo contrrio, deixar passar para o barramento o contedo de uma palavra lida da ROM. Passemos, ento, `a questo das temporizaes num acesso `a ROM. Uma ROM possui um certo tempo de propagao (ou de atraso), desde o instante em que se aplica um novo endereo `as entradas e o instante em que, nas sadas, aparece o contedo da palavra lida desse endereo. Este tempo de propagao toma a designao especfica de tempo de acesso a partir do endereo, tAA, tal como descreve a Figura 9 para uma ROM ttpica como a da Figura 8.

22

Figura 29:Parte das temporizaes na operao de leitura de uma palavra de uma ROM tpica

A forma de onda de cima representa os sinais aplicados `as entradas de endereo (independentemente do nmero de linhas de endereo), a segunda representa a linha de Chip Select, CE L, a terceira apresenta a linha de Output Enable, OE L, ativada em permanncia, e a de baixo representa os dados de sada (independentemente do nmero de linhas de sada). No instante t0 as linhas de endereo esto com determinados nveis de tenso, umas a H e outras a L (notar a forma de representao desses nveis). Nesse instante as entradas de Chip Select e de Output Enable esto ativas, pelo que as sadas da ROM exibem um dado anterior, resultante de uma leitura prvia da ROM (o dado correspondente ao endereo anterior). Em t1 so aplicados `as linhas de endereo novos nveis de tenso, que correspondem a um novo endereo. Algumas dessas linhas mudam de nvel, e outras no. Contudo, e impossvel garantir que as linhas que mudam de nvel o fazem em simultneo. Ou seja, durante um intervalo de tempo representado a cinzento na figura, as linhas de endereo esto instveis, a ajustar-se ao novo endereo a partir do endereo anterior. At que em t2 o novo endereo fica estvel. A partir desse instante a ROM comea a descodificar o novo endereo e a selecionar a palavra correspondente. Em t3 os dados de sada comeam a mudar para refletir o novo dado que e lido da ROM. Naturalmente, as mudanas nas linhas de dados no ocorrem simultaneamente, pelo que entre t3 e t4 as sadas da ROM mantm-se instveis. Finalmente, em t4 as sadas passam a refletir de forma estvel o dado que estava memorizado na palavra que acabou de ser endereada. O intervalo de tempo entre t2 e t4, desde que o novo endereo est estvel at

23

que aparece de forma estvel nas sadas o dado correspondente, e o tempo de acesso a partir do endereo, tAA. Para uma ROM bipolar tAA e da ordem de grandeza de 30 a 90 ns, para uma ROM nMOS e da ordem de 35 a 500 ns, e para uma ROM CMOS e da ordem de 20 a 60 ns. Quando se afirma que temos uma ROM de 100 ns, por exemplo, estamos a referir-nos a este tempo. Outro parmetro temporal importante e o tempo de Output Disable, tOZ, medido entre o instante em que o Chip Select fica inativo e o instante em que as sadas entram em alta impedncia, entre t5 e t6. Notemos como se representa, no diagrama temporal, uma situao de alta impedncia nas sadas (nem a H nem a L). Na Figura 10 apresenta-se outra situao possvel e diferente da anterior em que se ativa o Chip Select depois de um endereo ficar estvel, admitindo ainda que o Output Enable se mantm ativado em permanncia.

Figura 30:Outra parte das temporizaes na operao de leitura de uma palavra de uma ROM tpica

Neste caso, as sadas que estavam em alta impedncia pelo facto de o CS estar desativados, saem da situao de alta impedncia depois de decorrido um tempo de Output Enable, tOE. Se fosse a linha OE L a ficar ativada em vez da linha CS L, teramos a mesma situao. No fundo, tOE mede o intervalo de tempo que decorre desde que CS L ou OE L ficam ativos at que as sadas saem da situao de alta impedncia. Se os endereos estiverem estveis h tempo suficiente, o dado que se obtm nas sadas e estvel. Caso contrrio, e instvel. O tempo de acesso a partir do CS, tACS mede o tempo de acesso a partir do instante em que o CS fica ativo at que as linhas de sada ficam estaveis (em algumas ROMs o CS tem um efeito ligeiramente diferente do de OE, ao contrrio do que sugere a Figura 17.4, e nesses casos o tACS e diferente do tOE, como mostra a figura anterior.) Enquanto os tempos de acesso tACS e tAA no forem cumpridos, no podemos esperar no barramento de dados o dado correspondente `a palavra endereada, mesmo que as sadas da ROM tenham deixado de estar na

24

situao de alta impedncia por j ter decorrido o tempo tOE. Ou seja, depois de decorrido tOE mas antes de tACS e tAA terem terminado, obtemos no barramento de dados algo que nao tem a ver com o dado pretendido (da estar indicado a cinzento). 8. Expanso de ROMs Quando se pretendem obter ROMs com dimenses maiores do que as ROMs de que dispomos, podemos distinguir dois problemas fundamentais: (i) queremos aumentar a dimenso das palavras lidas para cada endereo, mantendo o nmero de palavras; ou (ii) queremos aumentar o nmero de palavras, mantendo a dimenso das palavras; ou (iii) queremos fazer as duas coisas. A expanso do nmero de bits por palavra realiza-se com grande simplicidade, por simples justaposio de ROMs. Exemplifica-se na Figura 17.8 com um sistema de 1k 16 bits, formado a partir de duas ROMs de 1k 8. Ou seja, formamos uma ROM que, globalmente, possui 1k palavras de 16 bits.

Figura 31-Expansao de ROMs que duplica a dimenso de cada palavra, de 8 para 16, mantendo o nmero de palavras em 1k

Como podemos verificar pela figura, a ROM 0 contm a parte menos significativa de uma palavra, D0 D7, enquanto que a ROM 1 contm a parte mais significativa, D8 D15 mas, obviamente, podamos ter escolhido colocar na ROM 0 as partes altas das palavras e na ROM 1 as partes baixas. A operao de leitura e feita simultaneamente nas duas ROMs (o CS e comum `as duas) e para o mesmo endereo (as linhas de endereo so

25

comuns). Nessas condies, cada uma das ROMs envia para o barramento de dados a metade da palavra que nela foi previamente escrita. Na figura ilustrada tambm uma representao simplificada para os barramentos de dados, D0D15, e de endereos, A0A9, e correspondentes interligaes `as ROMs. Para a expanso do nmero de palavras da memria, h que colocar os diversos integrados em paralelo e prolongar para o exterior da memria a funo de descodificao atravs de um descodificador. Esse descodificador interage com os diversos integrados atravs das respectivas linhas de Enable. O exemplo que se segue corresponde `a implementao de um sistema de memria ROM com a dimenso de 4k 8, usando os integrados anteriores e um descodificador binrio de 2 bits (Figura 12).

Figura 32:Expansao de ROMs que quadruplica o nmero de palavras, de 1kpara 4k, mantendo a dimenso de cada palavra em 8 bits

Porque vamos usar 4k endereos, precisamos de 12 linhas de endereo, A0 a A11. Os bits de endereo que no podem ir para as ROMs, A10 e A11,

26

so utilizados pelo descodificador externo, que ativa a entrada de CS (Chip Select) de cada uma das ROMs presentes no sistema, obviamente uma de cada vez. Por exemplo, se A10 = H e A11 = L, a ROM 1 vem ativada. Mas se A10 = H e A11 = H, ento e a ROM 3 que vem ativada. Neste sistema de memria as diversas ROMs ocupam os endereos (em hexadecimal) que se indicam na Tabela 17.1 Tabela 13: Tabela com os endereos ocupados por cada uma das ROMs da Figura 12

Figura 33: Tabela com os endereos ocupados por cada uma das ROMs da Figura 12

Naturalmente, podamos, se assim entendssemos, aumentar o nmero de palavras e a dimenso de cada uma delas, conjugando as expanses das Figura 11 e 13.

27

Random Access Memories (RAMs)


1. Tipos de RAMs As RAMS so memrias de leitura/escrita, isto e, memrias em que as operaes de leitura e de escrita so igualmente frequentes do ponto de vista estatstico e que, por essa razo, so efetuadas aproximadamente com os mesmos tempos de atraso. Desta forma as RAMs distinguem-se das ROMs, j que para estas ltimas temos uma das seguintes situaes: a operao de escrita no pode ser de todo efetuada pelo utilizador (como acontece com as MROMs); a operao de escrita s pode ser efetuada uma nica vez (e o que sucede com as PROMs); a operao de escrita pode ser efetuada mais do que uma vez, mas torna-se necessrio retirar a memria do circuito para a reprogramar externamente (no caso das EPROMs); a operao de escrita pode ser efetuada mltiplas vezes sem retirar a memria do circuito, mas e uma operao pouco eficiente do ponto de vista temporal (comparativamente com a operao de leitura), para alm de necessitar de circuitos especiais; ou seja, as operaes de escrita apenas ocorrem em circunstncias muito especiais como acontece, por exemplo, nas memrias Flash e nas EEPROMs quando se pretende substituir um programa armazenado na memria. Existem RAMs de dois tipos: estticas, ou SRAMS, e dinmicas, ou DRAMs. As RAMs estticas so dispositivos em que os diversos bits so armazenados em dispositivos do tipo latch controlado (ainda que estruturalmente muito simplificados) que podem manter indefinidamente o seu contedo enquanto estiverem alimentados eletricamente. As RAMs dinmicas so dispositivos em que cada bit e representado pela carga eltrica de um pequeno condensador. Como todos os condensadores, estes tm fugas, pelo que apenas conseguem manter a carga durante um intervalo de tempo muito limitado. Da que seja preciso, quando se utiliza uma RAM dinmica, incluir um circuito de refrescamento de constante reescrita do contedo da RAM, de forma a que todos os condensadores vejam periodicamente reposta a respectiva carga. Neste trabalho vamos analisar apenas com as RAMs estticas.

28

2. Smbolos das RAMs Uma RAM e um dispositivo de memria com uma estrutura idntica `a de uma ROM exceto que as clulas so agora constitudas por latches modificados. As RAMs so, ento, organizadas em palavras de um certo comprimento (nmero de bits), que podem ser acedidas em operaes de escrita ou de leitura. A palavra pretendida referenciada por um endereo aplicado a um barramento de endereos. A operao de leitura de uma palavra da RAM ou de escrita numa palavra da RAM e referenciada por duas linhas separadas ou, noutros casos, por uma nica linha. Os dados a escrever so introduzidos por um barramento de entrada e os dados lidos podem ser acedidos num barramento de sada. Em alguns casos apenas existe um nico barramento de dados, naturalmente bidirecional. Consideremos, na Figura 17.10, o smbolo IEC de uma RAM tpica de 1k 8 (isto e, com 1 024 palavras de 8 bits), com barramentos separados para a entrada e para a sada de dados. O smbolo semelhante ao de uma ROM, pelo que a seguir apenas mencionaremos as principais diferenas. A primeira tem a ver com a existncia de um bloco de controlo comum, que o smbolo simplificado da ROM da Figura 17.5 no possui (por isso, o smbolo da ROM e simplificado). Neste caso existem dois barramentos de dados, um de dados de entrada designado por (DATAIN0 7) H, e outro de dados de sada, (DATAOUT 0 7) H. Trata-se, em ambos os casos, de barramentos de 8 bits. Quanto ao barramento de endereos, tem as linhas necessrias para aceder a todas as palavras de memria no caso, 10 linhas de endereos, (ADDR0 9) H. A linha READ H permite efetuar uma operao de leitura da RAM, enquanto que a linha WRITE H permite realizar uma operao de escrita na RAM. Naturalmente, nestes casos e da responsabilidade do utilizador da memria garantir que apenas uma das operaes vem ativada de cada vez (mas podem estar as duas inativas, e ento no se faz nem a leitura nem a escrita numa palavra da memria).

29

Figura 34: Smbolo IEC de uma RAM de 1k 8 com dois barramentos de dados unidirecionais, um de entrada e um de sada, e sadas tri-state

De notar o qualificador de entrada G1 que, quando ativo, permite que essas operaes possam ser efetuadas, atravs do qualificador 1 (dependncia And). A esta entrada ligamos, habitualmente, uma linha com a designao CS L (CS significa Chip Select). Quando esta entrada vem inativa, inibe o funcionamento da RAM, colocando as sadas em alta impedncia atravs do qualificador EM (impedindo, deste modo, a memria de enviar dados para o barramento de dados de sada numa operao de leitura), e impedindo as operaes de escrita por meio do qualificador 2. Existem vrias estruturas alternativas `a ilustrada. A variante mais comum utiliza um nico barramento de dados, bidirecional. Naturalmente, nestes casos no h necessidade de controlar independentemente a escrita e a leitura. Por isso, existe apenas uma linha de controlo que, ora promove a operao de leitura, ora promove a operao de escrita. comum designar a linha de controlo por READ H/WRITE L, sendo que existir uma operao de leitura se se aplicar um nvel H `a linha, e uma operao de escrita se se aplicar um nvel L. Notemos que, neste caso, no conseguimos controlar esta linha por forma a impedir uma operao de eleitora ou de escrita,

30

ao contrrio do que sucede quando as variveis de READ e de WRITE so separadas. Para que no se efetue nenhuma das operaes, teremos de controlar o Chip Select da memria. A Figura 15 ilustra uma memria RAM com essa arquitetura e com a dimenso da memria anterior.

Figura 35 Smbolo IEC de uma RAM de 1k 8 com um nico barramentode dados, bidirecional, e com sadas tri-state

3. Estrutura de uma RAM esttica (SRAM) Na prtica, uma clula de memria esttica em tecnologia MOS (mas tambm h memrias bipolares TTL e BiCMOS) e constituda por um latch SR simplificado, formado por dois inversores entrecruzados, e por dois transistores nMOS, ligado como mostra a Figura 16

Figura 36:Estrutura de uma clula de memria esttica em tecnologia MOS, com um total de 6 transistores

31

`As clulas encontram-se ainda associados outros circuitos para as operaes de escrita e de leitura, para alm dos descodificadores de linhas e de colunas. Como, porm, os alunos no possuem os conhecimentos necessrios de Eletrnica Digital para podermos analisar o comportamento da clula e dos circuitos auxiliares, vamos recorrer ao seu equivalente funcional, apresentado na Figura 17.

Figura 37: Equivalente funcional de uma clula de Memria SRAM. De notara existncia de um latch D controlado, precedido por uma lgica de controlo da sua entrada de Enable e seguido por uma lgica de controlo da sua sada Q H.

O bit a escrever e apresentado `a entrada D de um latch controlado, mas s e escrito se a sua linha de Enable estiver ativa, o que quer dizer que a linha de Seleo da clula, SEL L, deve estar ativa, e a linha de Escrita, WR L, tambm deve estar ativa. A linha SEL L controla no apenas a escrita no latch, mas tambm a leitura do seu contedo. Se na linha SEL L aplicarmos um nvel H, a sada da clula vem em alta impedncia. As SRAMs utilizam clulas deste tipo numa estrutura matricial idntica `a da Figura 5, como se afirmou atrs. A Figura 17.14 ilustra, de forma simplificacada, a estrutura interna de uma SRAM com 4 palavras de 2 bits, no caso em que se usam dois barramentos unidirecionais e independentes para a entrada e sada da dados. A utilizao de Buffers tri-state nas sadas permite que vrias destas memrias (ou ainda ROMs, microprocessadores, etc, com capacidade tri-state) possam partilhar o mesmo barramento de sada. Naturalmente, podemos de maneira fcil expandir esta estrutura para SRAMs de maiores dimenses. Por outro lado, veremos mais `a frente como modificar a estrutura desta SRAM para o caso em que se usa um nico barramento bidirecional para a entrada e sada de dados.

32

Como se pode ver na Figura 17.14, a seleo de uma palavra da SRAM e feita `a custa de um descodificador, que ver uma das suas linhas de sada ativada (a L) de cada vez, consoante o endereo aplicado `a memria (no devemos, contudo, esquecer que as RAMs reais utilizam descodificadores de linha e de coluna em descodificao coincidente, em vez de um descodificador nico). Repare-se que, para que haja escrita de um bit na clula que se encontra na interseco de uma dada linha e coluna, ter de vir selecionada a palavra correspondente (ativando-se a entrada SEL L da clula), e tambm a coluna correspondente (ativando-se a entrada WR L da clula).

Figura 38-Estrutura de uma SRAM de 42 com barramentos independentes unidirecionais para a entrada e sada de dados

Deve tambm notar-se que as clulas das palavras que no so selecionadas pelo descodificador (todas as palavras exceto a que e endereada) ficam com

33

as suas sadas em alta impedncia, ou seja, no interferem com as sadas das clulas da palavra selecionada. Consideremos uma operao de escrita na SRAM. Quando a linha READ H/WRITE L vem a L (e desde que a memria tenha sido selecionada pela ativao do seu Chip Select, CS L), as entradas WR L de todas as clulas vm ativadas, mas apenas e operada uma escrita na palavra endereada, j que apenas as clulas dessa linha possuem as suas entradas SEL L ativas. Nestas condies, escrevem-se nessa palavra, e apenas nessa, os bits presentes no barramento DATAIN H. Consideremos agora uma operao de leitura da SRAM. Neste caso a linha READ H/WRITE L vem a H, o que inativas todas as entradas WR L das clulas, pelo que no pode escrever-se nos latches (naturalmente). A palavra selecionada pela linha SEL L debita para o barramento de sada o seu contedo (se a entrada de Output Enable, OE L, estiver ativa e o Chip Select tambm estiver ativo), e as restantes palavras, no selecionadas, tm as suas sadas em alta impedncia, como vimos anteriormente. Finalmente, constatemos que, para impedir que numa operao de escrita se leia igualmente para o exterior a palavra selecionada (porque, estando SEL H activo, os bits da palavra selecionada aparecem nas sadas das clulas da palavra), devemos assegurar-nos que o Output Enable est inativo durante a escrita. Vamos considerar em seguida as modificaes a fazer ao circuito de entrada/sada para acomodar um barramento tri-state bidirecional.

34

Figura 39-Uma SRAM com um barramento tri-state bidirecional usa um circuito de entrada/sada com um Buffer bidirecional comandado pelas 3 linhas de controlo

Como podemos observar na Figura 17.15, colocam-se Buffers de sada, do tipo tri-state, no caminho das linhas de sada das clulas de memria (OUT H) para o barramento de dados, e Buffers de entrada simples no caminho do barramento de dados para as linhas de entrada das clulas da memria (IN H). A entrada de Enable dos Buffers de sada vem comandada por CS L e por OE L, como no caso anterior (com dois barramentos diferenciados), e ainda pela linha READ H/WRITE L. Quando e aplicado um L `a linha READ H/WRITE L (operao de WRITE, se CS L e OE L estiverem ativos), a sada OUTEN L da porta AND inferior vem inativa, e e feito o Disable das sada dos Buffers de sada, o que impede a SRAM de enviar dados para o barramento. Ou seja, nestas condies apenas pode ser feita uma escrita na SRAM do dado proveniente do barramento. Quando se aplica um H `a linha READ H/WRITE L (operao de READ, se CS L e OE L estiverem ativos), a sada da porta inferior, OUTEN L, vem ativa e e feito o Enable das sada dos Buffers de sada, o que permite que o dado contido na palavra selecionada seja lido para o barramento.

35

Se CS L ou OE L ou ambos estiverem inativos, no pode haver leitura da nem escrita na SRAM. Com efeito, embora o dado que estiver no barramento passe atravs dos Buffers de entrada e esteja presente `as entradas de todas as clulas, ele no podem ser escrito nas clulas porque a linha WR L est inativa. Por outro lado, porque OUTEN L tambm est inactiva, a SRAM no envia nada para o barramento. 4. Ciclos de leitura e de escrita numa SRAM A execuo da escrita numa palavra em memria, faz-se num ciclo de escrita e, do mesmo modo, a leitura e feita num ciclo de leitura. Um ciclo de leitura de uma RAM esttica e igual `a operao de leitura de uma ROM. Por essa razo no apresentaremos aqui esse ciclo.

Figura 40-Ciclo de escrita numa palavra de uma SRAM

O ciclo de escrita na SRAM est dependente da ativao simultnea dos sinais de controlo CS e WRITE (como sabemos, este ultimo e conseguido colocando a linha READ H/WRITE L a L). Ora estes dois sinais no tm que ficar ativos em simultneo e ficar inativos em simultneo. Assim, na Figura 20 apresentam-se duas situaes distintas, a primeira em que o WRITE fica ativo depois do CS, e a segunda em que o CS fica ativo depois do WRITE. No primeiro caso o ciclo de escrita comea a partir do instante t1 em que os dois sinais vm ativos, e termina quando os dois ficam inativos, em t2.

36

Trata-se de um ciclo de escrita controlado pelo WRITE porque, depois do CS ficar ativo, o ciclo s se inicia quando o WRITE vem ativo. No segundo caso temos a situao contrria: o ciclo de escrita comea a partir do instante t3 em que os dois sinais vm ativos, e termina quando os dois ficam inativos, em t4. Trata-se de um ciclo de escrita controlado pelo CS porque, depois do WRITE ficar ativo, o ciclo s se inicia quando o CS vem ativo. Consideremos ento um ciclo de escrita controlado pelo WRITE, com a seguinte sequncia de operaes: o endereo onde se quer escrever uma palavra e colocado no barramento de endereos; antes, as linhas de endereo esto instveis porque mudaram para o novo endereo; o CS vem ativado; como os endereos foram alterados h pouco tempo, o que est no barramento de dados no e garantidamente vlido (pode ser o contedo do endereo anterior, ou podem ser dados sem sentido, provocados pela transio de endereos); atua-se em seguida o WRITE; a partir daqui inicia-se o ciclo de escrita; h que esperar o tempo necessrio para que a escrita se efetue com sucesso; desativa-se o WRITE e, simultaneamente ou no, tambm o CS; em todo o caso, o ciclo de escrita termina; aps algum tempo, podem ser retirados os dados do barramento; a operao e completada com a mudana do endereo para um novo ciclo, seja ele de leitura ou de escrita. Num ciclo de escrita controlado pelo CS temos uma situao semelhante, tambm descrita na Figura 20. Quanto `as temporizaes nos ciclos de escrita, devemos ter em ateno que as clulas de memria da SRAM so constitudas por latches. Logo, devemos assegurar os correspondentes tempos de preparao ou de set-up, tsu, e de manuteno ou de hold, th, quer em relao aos endereos, quer em relao aos dados. Os tempos de preparao e de manuteno dos endereos so definidos relativa- mente aos incios e aos fins dos ciclos de escrita. So eles o tempo de preparao ou tempo de set-up do endereo, tAS, antes de se dar incio ao ciclo, e o tempo de manuteno ou tempo de hold do endereo, tAH, depois de terminar o ciclo.

37

Quanto aos dados, os tempos de preparao e de manuteno so definidos relativamente aos fins dos ciclos. Ou seja, h que assegurar que um determinado dado est estvel no barramento antes e depois de terminar o ciclo que o escreve na memria. Temos, por conseguinte, um tempo de preparao ou tempo de set-up do dado, tDS , antes de terminar o ciclo, e um tempo de manuteno ou tempo de hold do dado, tDH, depois de terminar o ciclo. Finalmente, os impulsos de WRITE e de CS devem ter uma durao mnima, respectivamente o tempo de durao do WRITE, tWP, e o tempo de durao do CS, tCSW 5. Expanso de RAMs A questo da expanso de RAMs segue a par e passo com o processo de expanso das ROMs. Ou seja, podemos expandir a dimenso de cada palavra colocando RAMs em paralelo, alimentadas por um barramento de endereos comum e com cada uma dss RAMs a recolher ou a enviar uma parte dos dados para o barramento de dados comum. Por exemplo, se quisermos formar palavras de 16 bits com RAMs de 4 bits, ligamos 4 RAMs em paralelo, com uma delas ligada a D0D3, outra a D4D7, outra a D8D11, e a ultima a D12D15 do barramento de dados D0D15. Para expandir o nmero de palavras, arranjamos um descodificador externo que atua os CE (ou CS) de cada uma delas `a custa das linhas de endereo suplementares necessrias ao nmero total de palavras. Ou seja, todas as RAMs so alimentadas em paralelo pelas linhas de endereo comum, e as linhas de endereo suplementares constituem as entradas do descodificador. Por exemplo, se possuirmos RAMs de 1k8 e quisermos ocupar uma zona de memria com 4k, usamos 4 RAMs, com cada uma delas a ocupar uma zona de 1k. Como as RAMs possuem 10 entradas de endereo, aplicamos `as 4 RAMs as linhas de endereo A0 A9. Mas como a zona total a descodificar possui 4k, so precisas mais 2 linhas de endereo, A10 e A11, que so as entradas de um descodificador binrio de 2 bits. As 4 sada do descodificador constituem os 4 sinais de CS, um por cada RAM.

38

Finalmente, podemos aumentar simultaneamente a dimenso e o nmero de palavras, utilizando as duas ttcnicas anteriores, tal como fazemos com as ROMs.

39

Concluso
Com o passar do tempo, a evoluo das tecnologias de memrias no somente as torna mais rpidas, mas tambm faz com que passem a contar com maior capacidade de armazenamento de dados. Memrias ROM do tipo Flash, por exemplo, podem armazenar vrios gigabytes. No que se refere s memrias RAM, o mesmo ocorre. Por conta disso, a pergunta natural : quanto utilizar? A resposta depende de uma srie de fatores, no entanto, a indstria no para de trabalhar para aumentar ainda mais a velocidade e a capacidade desses dispositivos. Portanto, no se espante: quando menos voc esperar, vai ouvir falar de uma nova tecnologia de memria que poder se tornar um novo padro de mercado :)

40

Bibliografia
IDOETA, I. V.; CAPUANO, F. G. Elementos de Eletrnica Digital. [S.l.]: Editora _Erica, 1984. IDOETA, I. V.; CAPUANO, F. G. Sistemas Digitais-Princpios e Aplicaes. [S.l.]: Editora _Erica, 1984 ARROZ, Guilherme; Srro, Carlos, Apostila de Sistemas Digitais; Instituto Superior Tcnico Departamento de Engenharia Eletrotcnica e de Computadores.

41

Das könnte Ihnen auch gefallen