Sie sind auf Seite 1von 32

Circuitos Secuenciales Sncronos

Luis Entrena, Celia Lpez, Mario Garca, Enrique San Milln

Universidad Carlos III de Madrid

ndice
l l

Introduccin Mquinas de estados finitos

Modelo de Moore Modelo de Mealy

l l l

Anlisis de circuitos secuenciales sncronos Sntesis de circuitos secuenciales sncronos Ejemplos

Luis Entrena, Celia Lpez, Mario Garca, Enrique San Milln. Universidad Carlos III de Madrid, 2008

Introduccin
l

Esquema general de un circuito secuencial sncrono:


Entradas Funciones de salida (COMB)) Salidas

Funciones de Estado (COMB)

Estado (SEC.)

El bloque Estado est formado por biestables, todos ellos sincronizados con la misma seal de reloj

Luis Entrena, Celia Lpez, Mario Garca, Enrique San Milln. Universidad Carlos III de Madrid, 2008

Mquinas de estados
l

El comportamiento de un circuito sncrono se puede representar mediante una mquina de estados (FSM, o Finite State Machine) Una mquina de estados tiene los siguientes elementos:

X = Entradas Y = Salidas Z = Estados (valores de los biestables, cambian con cada flanco de reloj) = Funciones de estado (funciones combinacionales de entrada de los biestables) = Funciones de salida (combinacionales)

Una FSM se define como una secuencia de eventos en tiempos discretos. El estado Z cambia en cada evento (el cambio est definido por ).

Luis Entrena, Celia Lpez, Mario Garca, Enrique San Milln. Universidad Carlos III de Madrid, 2008

Modelo de Moore
l

En el modelo de Moore las salidas dependen nicamente de los estados (no de las entradas) Mquina de estados de Moore:

Z = (X, Z) Y = (Z)

Estructura de un circuito asociado a un modelo de Moore:


Entradas Funciones de salida (COMB)) Salidas

Funciones de Estado (COMB)

Estado (SEC.)

Luis Entrena, Celia Lpez, Mario Garca, Enrique San Milln. Universidad Carlos III de Madrid, 2008

Modelo de Moore
l

El reloj y el reset no aparecen en las mquinas de estados, la asociacin entre estas seales en un circuito y la mquina de estados es:

En cada flanco de reloj se produce una transicin o cambio de estado El reset se utiliza nicamente para establecer el estado inicial

En las mquinas de estados de Moore las salidas cambian nicamente si hay un cambio de estado:

Las salidas estn sincronizadas con el reloj

Luis Entrena, Celia Lpez, Mario Garca, Enrique San Milln. Universidad Carlos III de Madrid, 2008

Modelo de Moore
l

Una FSM se puede representar tambin mediante un diagrama de estados (STG o State Transition Graph):

Cada estado se representa con un crculo Cada transicin de estado se representa con una flecha Los diferentes valores de las entradas se representan en las flechas En el caso del modelo de Moore, las salidas se representan dentro de cada estado
Entrada 1 Estado 2 Salida 2 Estado 1 Salida 1 Entrada 2 Estado 3 Salida 3

Diagrama de estados (Moore):

Luis Entrena, Celia Lpez, Mario Garca, Enrique San Milln. Universidad Carlos III de Madrid, 2008

Modelo de Mealy
l

En el modelo de Mealy las salidas dependen tanto de los estados como de las entradas (caso general) Mquina de estados de Mealy:

Z = (X, Z) Y = (X, Z)
Entradas

Estructura de un circuito asociado a un modelo de Mealy:


Funciones de salida (COMB)) Salidas

Funciones de Estado (COMB)

Estado (SEC.)

Luis Entrena, Celia Lpez, Mario Garca, Enrique San Milln. Universidad Carlos III de Madrid, 2008

Modelo de Mealy
l

Diagrama de estados de Mealy:

Cada estado se representa con un crculo Cada transicin de estado se representa con una flecha Los diferentes valores de las entradas se representan en las flechas En el caso del modelo de Mealy, las salidas se representan tambin en las flechas (dependen del estado y de las entradas)
Estado 2 Entrada 1 / Salida 1 Estado 1

Estado 3 Entrada 2 / Salida 2

Luis Entrena, Celia Lpez, Mario Garca, Enrique San Milln. Universidad Carlos III de Madrid, 2008

Modelo de Mealy
l

Igual que en Moore, el reloj y el reset no aparecen en el STG, estn implcitos En las mquinas de estados de Mealy las salidas pueden cambiar en cualquier momento (basta con que cambie una entrada del circuito):

Las salidas no estn sincronizadas con el reloj NOTA: Aunque las salidas no estn sincronizadas con el

reloj, el circuito sigue siendo sncrono (todos los biestables estn sincronizados con el mismo reloj)

Luis Entrena, Celia Lpez, Mario Garca, Enrique San Milln. Universidad Carlos III de Madrid, 2008

10

Anlisis y Sntesis de Circuitos Secuenciales Sncronos


l

Anlisis: A partir de un circuito obtener su funcionalidad

Circuitos Combinacionales:

Obtener diagrama de estados, o funciones de estado y salidas ( y ) Sntesis: Dada una funcionalidad, obtener la implementacin de un circuito

Obtener tablas de verdad o funciones booleanas de las salidas

Circuitos Secuenciales:

Circuitos Combinacionales:

Obtener expresiones boolenas, implementar con puertas lgicas, multiplexores, decodificadores, etc. Obtener diagrama de estados e implementar las funciones de estado y de salida ( y ) con puertas lgicas, multiplexores, decodificadores

Circuitos Secuenciales:

Luis Entrena, Celia Lpez, Mario Garca, Enrique San Milln. Universidad Carlos III de Madrid, 2008

11

Anlisis de Circuitos Secuenciales Sncronos


l

Anlisis: Obtener tabla de transiciones, calcular y , y obtener diagrama de estados. Ejemplo:


Tabla de transiciones: Q1 0 0 0 0 1 1 1 Q0 0 0 1 1 0 0 1 1 In 0 1 0 1 0 1 0 1 D1 0 1 0 1 0 0 0 0 D0 1 0 0 0 1 0 0 0 Q1+ Q0+ Out 0 1 0 1 0 0 0 0 1 0 0 0 1 0 0 0 0 0 1 1 0 0 0 0

D0 = Q0 + In
D1 = Q1 In

Out = Q1 Q0
12

Luis Entrena, Celia Lpez, Mario Garca, Enrique San Milln. Universidad Carlos III de Madrid, 2008

Anlisis de Circuitos Secuenciales Sncronos


Diagrama estados (Mealy): 0/0 01 Tabla de transiciones: Q1 0 0 0 0 1 1 1 1 Q0 0 0 1 1 0 0 1 1 In 0 1 0 1 0 1 0 1 D1 0 1 0 1 0 0 0 0 D0 1 0 0 0 1 0 0 0 Q1+ Q0+ Out 0 1 0 1 0 0 0 0 1 0 0 0 1 0 0 0 0 0 1 1 0 0 0 0 00/0 1 1 10/0 0 0 Diagrama estados (Moore): 0 01/1 1/0 00 1/0 10 0/1 0/0 1/1

Luis Entrena, Celia Lpez, Mario Garca, Enrique San Milln. Universidad Carlos III de Madrid, 2008

13

Sntesis de Circuitos Secuenciales Sncronos


l

A partir de la descripcin de la funcionalidad de un circuito secuencial, los pasos a seguir para obtener la implementacin son:
1. 2. 3. 4. 5. 6. 7.

Obtener diagrama de estados Codificacin de estados Obtener Tablas de salidas y de transiciones de estados Tabla inversa de biestables (o tabla de excitacin) Obtener funciones de salida Obtener funciones de estado Implementacin

La diferencia entre Moore y Mealy est en las funciones de salida

Luis Entrena, Celia Lpez, Mario Garca, Enrique San Milln. Universidad Carlos III de Madrid, 2008

14

Tabla de excitacin (o tablas inversas) de biestables


R-S latch Q l Q+ 0 1 0 1 S 0 1 0 X R X 0 1 0 0 0 1 1 J-K flip-flop Q Q+ 0 1 0 1 J 0 1 X X K X X 1 0

Tablas inversas o tablas de excitacin:


l

0 0 1 1

Describen todas las posibles de combinaciones de entradas que permiten pasar del estado actual Q al estado siguiente Q+

D flip-flop Q 0 0 1 1 Q+ 0 1 0 1 D 0 1 0 1

T flip-flop Q 0 0 1 1 Q+ 0 1 0 1 T 0 1 1 0

Luis Entrena, Celia Lpez, Mario Garca, Enrique San Milln. Universidad Carlos III de Madrid, 2008

15

Sntesis de Circuitos Secuenciales Sncronos


l

Problema: Disear un circuito secuencial sncrono que permita detectar una secuencia de tres o ms unos consecutivos a travs de una entrada serie.
l l

La entrada se lee en cada flanco ascendente de reloj La salida se activa cuando se detecta la secuencia

In Clock Reset
l

Out

Ejemplo de secuencia de entradas y salidas:


l l

X:001101111100111 Z:000000011100001

Luis Entrena, Celia Lpez, Mario Garca, Enrique San Milln. Universidad Carlos III de Madrid, 2008

16

Sntesis de Circuitos Secuenciales Sncronos


l

Ejemplo 1: Mealy con biestables D:


1. Diagrama de estados:
1/0 0/0 S0 0/0 0/0 S2 1/1 1/0

2.

Codificacin de estados:
Estado S0 S1 S2 Q1 Q0 0 0 1 1 0 1 1 0
Estado no alcanzable

S1

Luis Entrena, Celia Lpez, Mario Garca, Enrique San Milln. Universidad Carlos III de Madrid, 2008

17

Sntesis de Circuitos Secuenciales Sncronos


l

Mealy con biestables D :


3. Tabla de transiciones y tabla de salidas (combinadas juntas):
In Q1 Q0 Q1+ Q0+ Out 1/0 0/0 00 0/0 0/0 11 1/1 01 1/0 0 0 0 0 1 1 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 0 0 X 0 0 1 X 1 0 0 X 0 1 1 X 1 0 0 X 0 0 0 X 1

Luis Entrena, Celia Lpez, Mario Garca, Enrique San Milln. Universidad Carlos III de Madrid, 2008

18

Sntesis de Circuitos Secuenciales Sncronos


l

Mealy con biestables D :


4. Tabla inversa de biestables (biestables D):
In Q1 Q0 Q1+ Q0+ Out D1 D0 0 0 0 0 1 1 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 0 0 X 0 0 1 X 1 0 0 X 0 1 1 X 1 0 0 X 0 0 0 X 1 0 0 X 0 0 1 X 1 0 0 X 0 1 1 X 1
In In In

5.
Q1 Q0 00 0 1

Funcin de salida:
01 11 10 X 1 X

Out = Q1In

6.
Q1 Q0 00 0 1

Funciones de estado
01 11 10 X 1 1 X

D1 = Q0 In

Q1 Q0 00 0 1 1

01

11

10 X

D0 = In

Luis Entrena, Celia Lpez, Mario Garca, Enrique San Milln. Universidad Carlos III de Madrid, 2008

19

Sntesis de Circuitos Secuenciales Sncronos


l

Mealy con biestables D:


7. Implementacin

Out = Q1In
D1 = Q0 In

D0 = In

Luis Entrena, Celia Lpez, Mario Garca, Enrique San Milln. Universidad Carlos III de Madrid, 2008

20

Sntesis de Circuitos Secuenciales Sncronos


l
4.

Ejemplo 2. Mealy con biestables J-K :


Tabla inversa de biestables (biestables J-K):
In Q1 Q0 Q1+ Q0+ Out J1 K1 J0 K0 0 0 0 0 1 1 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 0 0 X 0 0 1 X 1 0 0 X 0 1 1 X 1 0 0 X 0 0 0 X 1 0 0 X X 0 1 X X X X X 1 X X X 0 0 X X X 1 X X X X 1 X 1 X 0 X 0
In In Q1 Q0 00 0 1 1 01 11 X X 10 X X In

5. 6.

Funcin de salida: Funciones de estado

Out = Q1In

Q1 Q0 00 0 1 X X

01 X X

11 1

10 X X

J1 = Q0 In
Q1 Q0 00 0 1 1 01 X X 11 X X 10 X X In Q1 Q0 00 0 1 X X

K1 = In
01 1 11 1 10 X X

J 0 = In

K 0 = In
21

Luis Entrena, Celia Lpez, Mario Garca, Enrique San Milln. Universidad Carlos III de Madrid, 2008

Sntesis de Circuitos Secuenciales Sncronos


l

Mealy con biestables J-K:


7. Implementacin

Out = Q1In J 0 = In K 0 = In J1 = Q0 In K1 = In

Luis Entrena, Celia Lpez, Mario Garca, Enrique San Milln. Universidad Carlos III de Madrid, 2008

22

Sntesis de Circuitos Secuenciales Sncronos


l
4.

Ejemplo 3. Mealy con biestables T :


Tabla inversa de biestables (biestables T):
In Q1 Q0 Q1+ Q0+ Out T1 T0 0 0 0 0 1 1 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 0 0 X 0 0 1 X 1 0 0 X 0 1 1 X 1 0 0 X 0 0 0 X 1 0 0 X 1 0 1 X 0 0 1 X 1 1 0 X 0
In In Q1 Q0 00 0 1 1 01 11 1 10 X X

5. 6.

Funcin de salida: Funciones de estado

Out = Q1In

T1 = Q1 In + Q1Q0 In
Q1 Q0 00 0 1 1 01 1 11 1 10 X X

T0 = InQ0 + InQ0 = In Q0
Luis Entrena, Celia Lpez, Mario Garca, Enrique San Milln. Universidad Carlos III de Madrid, 2008 23

Sntesis de Circuitos Secuenciales Sncronos


l

Mealy con biestables T:


7. Implementacin

Out = Q1In
T1 = Q1 In + Q1Q0 In T0 = InQ0 + InQ0 = In Q0
Luis Entrena, Celia Lpez, Mario Garca, Enrique San Milln. Universidad Carlos III de Madrid, 2008 24

Sntesis de Circuitos Secuenciales Sncronos


l

Ejemplo 4: Mealy, otra codificacin diferente:


1. Diagrama de estados:
1/0 0/0 S0 0/0 0/0 S2 1/1 1/0

2.

Codificacin de estados:
Estado S0 S1 S2 Q1 Q0 0 0 1 1 0 1 0 1
Ahora codificamos S2 de forma diferente

S1

Luis Entrena, Celia Lpez, Mario Garca, Enrique San Milln. Universidad Carlos III de Madrid, 2008

25

Sntesis de Circuitos Secuenciales Sncronos


l

Mealy con biestables D (codificacin diferente):


3. Tablas de transiciones y salidas (combinadas en una sola):
In Q1 Q0 Q1+ Q0+ Out 1/0 0/0 00 0/0 0/0 10 1/1 01 1/0 0 0 0 0 1 1 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 0 0 0 X 0 1 1 X 0 0 0 X 1 0 0 X 0 0 0 X 0 0 1 X

Luis Entrena, Celia Lpez, Mario Garca, Enrique San Milln. Universidad Carlos III de Madrid, 2008

26

Sntesis de Circuitos Secuenciales Sncronos


l

Mealy con biestables D :


4. Tabla inversa de biestables (biestables D):
In Q1 Q0 Q1+ Q0+ Out D1 D0 0 0 0 0 1 1 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 0 0 0 X 0 1 1 X 0 0 0 X 1 0 0 X 0 0 0 X 0 0 1 X 0 0 0 X 0 1 1 X 0 0 0 X 1 0 0 X
In

5.
Q1 Q0 00 0 1

Funcin de salida:
01 11 X X 1 10

Out = Q1In

6.

Funciones de estado
In Q1 Q0 00 0 1 1 01 11 X X 1 10

D1 = Q0 In + Q1In = In(Q0 + Q1 )
In Q1 Q0 00 0 1 1 01 11 X X 10

D0 = Q1 Q0 In
Luis Entrena, Celia Lpez, Mario Garca, Enrique San Milln. Universidad Carlos III de Madrid, 2008 27

Sntesis de Circuitos Secuenciales Sncronos


l

Mealy con biestables D (codificacin diferente):


7. Implementacin

Con esta otra codificacin sale ms complejo y se requieren ms puertas lgicas para la implementacin

Out = Q1In D1 = In(Q0 + Q1 ) D0 = Q1 Q0 In


Luis Entrena, Celia Lpez, Mario Garca, Enrique San Milln. Universidad Carlos III de Madrid, 2008 28

Sntesis de Circuitos Secuenciales Sncronos


l

Ejemplo 5: Moore con biestables D:


1. Diagrama de estados:
1 S0/0 0 0 S3/1 1 1 1 0 S2/0 S1/0

2.

Codificacin de estados:

Estado S0 S1 S2 S3

Q1 Q0 0 0 1 1 0 1 1 0

Luis Entrena, Celia Lpez, Mario Garca, Enrique San Milln. Universidad Carlos III de Madrid, 2008

29

Sntesis de Circuitos Secuenciales Sncronos


l

Moore con biestables D :


3. Tablas de transiciones y salidas:

0 S0/0

1 S1/0 0 0 S3/1 1 1 1 0 S2/0

In Q1 Q0 Q1+ Q0+ 0 0 0 0 1 1 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 0 0 0 0 0 1 1 1 0 0 0 0 1 1 0 0

Q1 Q0 Out 0 0 1 1 0 1 0 1 0 0 1 0

Luis Entrena, Celia Lpez, Mario Garca, Enrique San Milln. Universidad Carlos III de Madrid, 2008

30

Sntesis de Circuitos Secuenciales Sncronos


l

Moore con biestables D :


4. Tabla inversa de biestables (biestables D):
In Q1 Q0 Q1+ Q0+ D1 D0 0 0 0 0 1 1 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 0 0 0 0 0 1 1 1 0 0 0 0 1 1 0 0 0 0 0 0 0 1 1 1 0 0 0 0 1 1 0 0

5.

Funcin de salida:
Q1 0 0 1 1 Q0 0 1 0 1 Out 0 0 0 1

Out = Q1Q0

6.
In

Funciones de estado
Q1 Q0 00 0 1 Q1 Q0 00 0 1 1 1 1 1 1 01 11 10

D1 = Q0 In + Q1 In = = (Q0 + Q1 ) In

In

01

11

10

D0 = Q1In
31

Luis Entrena, Celia Lpez, Mario Garca, Enrique San Milln. Universidad Carlos III de Madrid, 2008

Sntesis de Circuitos Secuenciales Sncronos


l

Moore con biestables D:


7. Implementacin

Out = Q1Q0

D1 = (Q0 + Q1 ) In D0 = Q1In

Luis Entrena, Celia Lpez, Mario Garca, Enrique San Milln. Universidad Carlos III de Madrid, 2008

32

Das könnte Ihnen auch gefallen