Sie sind auf Seite 1von 46

Rep. Prog. Phys. 53 (1990) 1049-1094.

Printed in the U K

Manufacturing techniques for complex shapes with submicron accuracy

J Franse
Philips Research Laboratories, PO Box 80 000, 5600 JA Eindhoven, The Netherlands

Abstract

In the introduction, applications of parts with submicron accuracy are shown. The demands with regard to the accuracy of these parts are discussed. The main characteristics of various precision-machining processes are outlined. Specific advantages and problems associated with these processes are indicated. In section 2 different aspects of part accuracy and surface quality are treated in more detail. Many parts with submicron accuracy have an optical function, and special attention is paid to the requirements with regard to form accuracy and roughness of optical elements. In this section a distinction is made between machine- and processrelated problems. The machining operation is introduced as an overall system containing the machine and process as interacting subsystems. Section 3 discusses techniques used to minimise machine-related part errors in the design of precision machine tools. The sensitivity of various processes to particular types of machine- and environment-induced disturbances is outlined. Recent developments in the investigations of material removal mechanisms are described in section 4. These include the mechanical modes of material removal on which diamond turning, grinding and polishing (to some extent) are based as well as the basic chemical phenomena in processes involving etching. After these descriptions of the machine and process aspects, the influence of the interaction between these subsystems on the surface quality is outlined in section 5 . The emphasis here is on the coupled dynamic behaviour in diamond turning and grinding. The article concludes with an executive summary outlining the areas in which significant progress has been made in recent years and the main unresolved problems.
This review was received in its present form in March 1990.

0034-4885/90/081049+46$14.00 @ I 1990 IOP Publishing Ltd

1049

1050

J Frame

Contents
1. Introduction

2.

3.

4.

5.

6.

Applications of complex parts with submicron precision Precision-machining processes Systems approach to precision machining Measurements; process control for fabrication with submicron precision Part accuracy 2.1. Dimensions, form and roughness of a part 2.2. Surface integrity 2.3. Accuracy specifications for axisymmetric optical elements Machines and tools for precision machining 3.1. Introduction; the machine as subsystem 3.2. Machine-induced part errors; error reduction techniques 3.3. Principles for design of precision instruments 3.4. Errors due to imperfect geometries of machine components 3.5. Tools for precision machining 3.6. Static aspects of machine tool mechanics 3.7. The thermal loop of a machine tool 3.8. Dynamics of machine tools (open-loop) 3.9. Measurement and control; dynamics of controlled machines 3.10. The error budget concept Tool-part interaction 4.1. Introduction; mechanisms of material removal 4.2. Modes of mechanical material removal 4.3. Plasticity as a mechanism of material removal 4.4. Chip formation and cutting forces in metal cutting 4.5. Material aspects in mechanical tool-part interaction 4.6. Fracture versus plasticity; ductile regime grinding 4.7. Electrochemical aspects of material removal Dynamic behaviour of the closed loop (machine + process) 5.1. Introduction 5.2. Surface quality in diamond turning and grinding Conclusion References
1.1. 1.2. 1.3. 1.4.

Page 1051 1051 1053 1057 1058 1058 1058 1060 1061 1062 1062 1063 1064 1067 1067 1068 1070 1071 1073 1077 1077 1077 1078 1078 1080 1081 1083 1085 1087 1087 1088 1090 1091

Manufacturing techniques for complex shapes

1051

1. Introduction
1.1. Applications of complex parts with submicron precision

This article discusses the state of the art and current research topics in precision machining. A definition of precision machining is not easily formulated. A working rule (at this moment) seems to be the fabrication of complex-shaped surfaces with a form accuracy of one micrometre ( p m ) or less and roughness under 10 nanometres m = 0.039 pin). The term complex surface (nm) (1 p m = 1 x m, 1 nm = 1 x excludes operations which result in highly accurate surfaces with simple shapes. These can be fabricated with procedures that naturally tend to yield the desired accuracy. Examples are the polishing of spherical lenses or flat surfaces such as silicon wafers for the semiconductor industry. In these processes the averaging over time and the larger material removal rate at high spots (deviations from the desired shape) mean that the desired shape will eventually be created. The fabricated shape is considered complex if nature does not help us and special precautions have to be taken to achieve it. Good examples are the production of aspheric lenses and polygon mirrors. In 1978 Saito presented an overview of the achievable accuracy and the limiting factors in diamond turning. At that time, parts could be made with form accuracies of around 1.5 p m , The main limitations were imposed by the machine tool accuracy. Taniguchi (1983) presented a simplified overview of the progress made in precision machining with various processes during the last decade (figure 1). The evolution of
Achievable Machining accuracy

Machine tools (processing equipment)

Measuringmstruments ~(inspection equipment)

,
x '
(Electric or pneumatic micrometers) Optical comparators

0 Ipm, 10

'-------

O.Olpm. 10 >--.

0.001pm. lnm.

,I-ii t i

O.hm, (Atomic lattice distance)1900

(Substance synthesizing)
, I

1920

1940

1960

1980

2000

!ear

X.ray micro analyzers, Auger analyzers. ESCAR

Figure 1. The progress made during the last decade in machining technology using various processes (after Taniguchi 1983).

1052

J Frame

precision engineering as a multidisciplinary field has been summarised by Evans (1987), and the relation between progress in precision engineering and other fields has been described by Atkinson et a1 (1988). At this moment, form accuracy of precision-ground and diamond-turned parts between 0.1 and 1 p m is state of the art. Research in precision engineering and machining today is aiming for the next decimal: 10 nm form accuracy and roughness even less. The majority of complex parts with accuracy to a micron or better are used in optical systems (Sanger 1983). Typical optical applications include components for laser interferometer systems, lenses for compact disc players, telescopes, mirrors to reflect x-rays, scanners in copiers and laser printers. There are also non-optical products for which submicron precision is required. Examples of non-optical applications are (McKeown 1987): bearing surfaces for a variety of applications, parts of video recorders, hard discs for computers, connectors for fibre optics and moulds for very precise injection-moulded components. These parts are manufactured in plastics, soft and hard metals, glasses, ceramics and layered systems of dissimilar materials. Very accurate parts of a particular material can be absolutely necessary to make a design work or desirable for economic reasons. Accuracy of a part is quantified in a way that depends on the function of the part. A more thorough discussion of this subject can be found in section 2. Here it will be illustrated by looking at the accuracies required for some optical applications. Polygonal mirrors are used in laser scanners and printers with high resolution. Saraf (1987) described the specifications for these components (table 1).
Table 1. Specifications of polygonal mirrors (Saraf 1987).
a. Dynamic facet-to-facet radius error

b. Pyramid angle error c. Facet-to-facet angle error d. Facet reflectance e. Reflectance variation (facet-to-facet) f: Reflectance variation (within one facet) g. Scratch and dig h. Flatness variation (facet-to-facet) i. Flatness variation (within a facet) j . Total integrated scattering

10.001 in (25.4 p m run-out) iz5.0 arcsec (non-cumulative) 3~15.0 arcsec (non-cumulative) >92% (630-830 nm wavelength) < I % (non-cumulative) <0.1% (non-cumulative) <lo-5 <wavelength/lO = 63 nm <wavelength/2 = 315 nm <0.1%

The run-out of the mirror, the angle between and the flatness of any one facet are specified. These are demands with respect to the form of the polygon. The form specifications ensure that the laser beam is directed to the correct position on the document. Light scattering has to be limited to avoid artefacts arising from, for instance, the interaction of light scattered from different positions on a facet. This is determined by the roughness of the facet surfaces. These polygons were traditionally assembled from polished glass components. Currently they are made in aluminium using a diamond fly-cutting technique. To realise the form accuracy, an accurate divider head to rotate over angles of tens of degrees, accurate to within a few arc seconds (1 in 10 parts), and a thermally very stable machine are required. The facet roughness is a function of the tool cutting edge quality, the rate of sideways motion of the tool (feed rate), which determines the distance

Manufacturing techniques for complex shapes

1053

between adjacent cutting marks on a facet, and the level of vibrations of the machine tool. Compact disc optical systems usually consist of a collimator and an objective lens. At least one lens surface is not exactly spherical (aspheric). This is necessary to reduce optical aberrations associated with spherical optics without using more elements. The aspheric form has to be made to within 0.1 p m of the ideal shape. The statistical average ( R M S ) roughness of the lens surfaces has to be less than 20 nm to limit light scattering (Bouwhuis et al 1985). Traditional fabrication techniques to produce spherical optics involve polishing. Although it is difficult to control the radius of curvature of a lens made this way, the process tends to diminish any deviations from a sphere and will produce a spherical surface. To produce aspheres, processes have to be used that are able to remove or apply any specified amount of material at an arbitrary location on the surface. Compact disc players are mass products and the aspheres are made by replication techniques (Zwiers and Dortant 1985, Andrea 1987). The moulds for these processes are made of materials that range from diamond-turnable metals such as copper and aluminiumbased alloys to brittle quartz and carbides and oxides that can only be ground.

1.2. Precision-machining processes

An overview of the process characteristics in terms of typical removal rates and accuracy achievable was given by Stowers (1988). Sanger (1983) gave a list of materials that can be diamond-turned. Lately, silicon appears to be diamond-turnable at low depth of cut (Blake 1988). Other materials are ground, and sometimes polishing or other processes are required. Most processes remove material to produce the required shape. Some optical components with shapes very close to spherical have been produced by deposition of material on a spherical body to achieve the desired asphericity. The most notable difference between the processes is the parameter that is controlled to influence the interaction between the tool and the part (displacement, force, pressure, dwell time). In diamond turning (figure 2), slide motions are controlled, and with it the tool path (Gijsbers 1980). Forces due to the tool-part interaction are uncontrolled. Material removal is by mechanical action; thermal and chemical effects have secondary influences. The accuracy obtained depends upon the static stiffness, the dynamic and thermal behaviour of the machine tool, our ability to measure and control the tool path and the shape and condition of the cutting tool. These factors influence the difference

Figure 2. The main characteristics of diamond turning. The slide motions are controlled; the tool is intended to copy these motions as it cuts through the part. How truly these motions are copied depends upon the machine compliance.

1054

J Frunse

between the path the tool is meant to follow and the one it actually describes (section 3). Since the tool-part interaction occurs in a very small contact area, vastly different amounts of material can be removed from adjacent regions of the part. This makes the fabrication of small parts with complex-contoured surfaces possible. The configuration in figure 2 is used to cut complex contours using the combined motion of the two linear slides. Another configuration often used is that which combines a rotation and a linear translation of the tool. In that case only one point of the tool makes contact with the part all the time and the demands with regard to the required form accuracy of the tool are less stringent. In polishing (figure 3, after Small and Hoskins 1986), the load used to press the lap and the part together is the controlled variable. Lap and part &re moved relative to each other with an abrasive slurry between them. The abrasive-part interaction takes place over the relatively large area between the part and the lap. The material removal depends on the action of many particles in contact, averaged over a relatively long time span (dwell time). Material removal is by combined chemical and mechanical effects. Large differences in removal rates over small areas are difficult to realise. Since the load is adjusted and not the cutting depth, polishers in general need not be as rigid as diamond-turning machines. Polishing resembles a wear process (Brown 1978, Brown et u E 1981). The material removal rate is usually described by Prestons (1927) equation, which is similar to Archards equation (Archard and Hirst 1956) for mild wear situations: dh cPds _--d t - A dt Prestons equation implies that the local removal rate d h / d t is proportional to the applied load P per unit area of contact, A, and the stroke length which that particular point of the surface experiences in a time interval (ds/dt). It is proportional to the constant e, which is associated with mechanical properties of the lap, abrasive and part. The amount of material removed from an arbitrary location is determined by a convolution of the dwell time and pressure distribution under the lap. An advantage
PlVOt
Tool position adjustment

Tool stroke length adiustment


Tool drive eccentric

Weight

Tool

Ball & socket

Figure 3. A typical polishing configuration. The part rotates. The weight-loaded lap moves back and forth in the radial direction over the part. An abrasive slurry is trapped between them (after Small and Hoskins 1986).

Manufacturing techniques for complex shapes

1055

of polishing is the subtle tool-part interaction. The relative resilience of the polisher ensures that high local peak loads do not occur. This is important if crack formation or alteration of physical properties of the part top layer (degradation of surface integrity) has to be avoided. Wear rates are hard to predict and may vary owing to subtle chemical effects. Different regimes of dominant material removal mechanisms often exist (Brown 1987), which makes polishing less predictable than diamond turning (section 4). Polishing is mainly used as a finishing technique to reduce the roughness of a part with good form accuracy or to remove surface layers damaged in preceding operations. Care has to be taken not to degrade the form accuracy as a result of the pressure distribution and uneven dwell time of the lap over various areas of the part. Polishing is the traditional production technique used to manufacture spherical components and flats, and procedures are well established for these applications (Horne 1972, Fynn and Powell 1988). Precision grinding (figure 4) ranks in between diamond turning and polishing in many respects. A set of machine tool motions are controlled. Compared to diamond turning, the position of the cutting edge of the tool is less certain. At any time, anything from one to many grains are in contact with the part. Grinding wheels tend to be compliant and wear (Shaw 1972), effects which make it more difficult to achieve the desired form accuracy than with diamond turning. Besides these disadvantages, there are some notable advantages of precision grinding over diamond turning. With small wheels and depths of cut it can be used to work brittle materials such as ceramics and glass in a ductile fashion (chip removal by ductile shearing of material, as in metal cutting (Bifano 1988, Bifano et al 1988)). In some cases the surface finish obtained with precision grinding is so good that polishing is unnecessary. The grinding process has the advantage over polishing of higher removal rates and the ability to remove vastly different amounts of material from small areas. Thus the grinding operation is particularly suited to produce especially small complex shapes in materials that cannot be diamond-turned.
Grinding spindle
~

Figure 4. In precision contour grinding, machine tool motions are controlled as in diamond turning. The position of the cutting edge depends on the compliance of the tool and spindle and the wear of the grinding wheel.

Beam processes depend on the physical interaction of the ion beam with the surface. Both deposition and removal of material can often be achieved. Metals, glasses and ceramics can be worked. Ion beam milling relies on erosion of the part due to the impact of the impinging ions (Miyamoto 1987). A typical apparatus (ion shower) is shown in figure 5. Ions are produced in a plasma and subsequently move towards the part. Removal rates are low and depend upon the energy of the incident ions, the inclination angle of the impinging beam and the anisotropy of the worked surface.

1056

J Franse
Argas inlet Filament Magnetic flux

Diamond tool holder

1 /I

Rotation

1-1

Figure 5. Ion shower configuration used in ion beam machining (after Miyamoto 1987).

Numerous variations of physical vapour deposition techniques can be used to apply layers of materials on substrates. Low deposition rates, shadow effects, the difficulty in focusing the beam to a very small spot and deformation and sometimes cracking due to incompatibility of the applied layer material with the substrate hamper their application to produce (especially small) parts with complex-shaped surfaces. In elas?ic emission machining ( EEM, figure 6) a slurry of very fine particles (submicron size) is flushed through a bearing gap (microns in height) formed by a spinning resilient sphere that is moved over the surface. This has been suggested to alter the electron density distribution of the surface atoms, increasing the etching rate locally (Mori et a1 1988). Erosion of the surface by the impinging particles has also been suggested to aid the material removal (Loewenthal et al 1988). E E M is one representative of a class of processes (Maehata et a1 1987) that are based on locally increasing the etching rate by addition of mechanical, electrical or thermal energy.

Work

\Slurry (water + powder particles) State of fluid lubrication (no contact)

Figure 6. I n elastic emission machining, a slurry of very fine particles is forced into a relatively large bearing gap formed by the rotating weight-loaded sphere which is moved over the part (after Mori et al 1988).

Manufacturing techniques for complex shapes

1057

Concluding, we can say that the processes discussed above have their specific strengths and weaknesses. However, they have many problem areas in common when it comes to achieving submicron accuracy. A machine structure, moving components, a tool and an interaction between the tool and the part (process) are always involved. To understand how these and their interaction determine the results requires a systems approach.
1.3. Systems approach to precision machining

The manufacturing operation can be seen as a closed-loop system in which the machine tool and the process (tool-part interaction) are major subsystems (figure 7). Machines have mechanical and thermal characteristics. Quantities such as movement or force are measured and controlled using sensors with certain sensitivities and accuracies. These characteristics of a machine are fixed in the design and installation stage of a machine tool. Process variables that affect the tool-part interaction are chosen by the operator, and during the operation external disturbances act on the system. The machine behaviour and the tool-part interaction phenomena influence one another mutually and together they determine the surface quality of a part. This can be illustrated using a diamond-turning operation. The inputs of the overall system (machine + process) are the values of the controlled variables (desired tool and spindle movements); the overall output is the part. The output of the machine subsystem is the actual tool path. Within the machine subsystem various signal paths can be identified (Donaldson 1980) that determine the susceptibility of a machine to disturbances such as cutting forces and temperature effects (figure 8). In section3 these concepts are discussed in more detail. Another subsystem in the machining operation is the interaction between tool and part (removal process). The input in the case of diamond turning is the desired

machine behavior

. c

process

surface quality

@ Tool @ Mirror @ Interferometer

Spindle mounts

_ _ _ _ _ Structural and thermal loop


Metrology loop

Figure 8. In the machine structure, structural, thermal and metrology loops can be identified. Sometimes they coincide, in general they do not.

1058

J Franse

instantaneous depth of cut and slide velocities. The output is the cutting force acting on the machine structure and the amount of material removed (actual instantaneous cutting depth). The cutting process involves elastic-plastic deformation and sometimes crack formation. The phenomena in the material removal processes are treated in section 4.
1.4. Measurements; process control f o r fabrication with submicron precision

When submicron form accuracy is required, tool settings and movements have to be controlled to within nanometres. This requires high-quality distance measurements, actuators and guideways. Deflections due to forces must be kept to a minimum, which requires stiff structures and bearings. Compliances and dynamic properties of machine tools have to be known to be able to choose adequate process parameters. Often a division of the operation into multiple cutting passes is necessary. Thermal deformation of machine components should be kept to a minimum, which calls for correct design, precise temperature measurement and control. The products made are often so accurate that equally sophisticated machinery has to be built to measure them. Materials to be cut have to be selected carefully to avoid problems with anisotropy, inclusions and porosity. Heat treatments and microscopic investigation of the microstructure are often necessary. Process control for precision machining requires systematic attention to the effects of even the most minute detail. Disciplines involved are: solid and fluid mechanics, physics, optics, materials science, electronics, computer science, metrology and control engineering. Precision machine tool operators should have much experience and feeling for how little a nanometre is. 2. Part accuracy 2.1. Dimensions, f o r m and roughness of a part The specified dimensions of a part usually ensure that it can be positioned and moved relative to other components. A detailed discussion of how to measure/interpret dimensions of various shapes is given by Foster (1980). An intuitive definition of part size is: the largest distances between points on the part that ensure that it nowhere extends beyond the boundaries of a part with a perfect shape (figure 9). It is determined by comparison with gauge blocks, by coordinate-measuring machines (Moore 1970) or by laser interferometry. Commercially available machines feature accuracies around 1 p m over measurement volumes of the order of 0.5 m3. The f o r m speciJication o f a part usually assures that the part will perform its primary function. It places acceptable bounds on all the parameters used to describe the shape of the part surface(s) and the relations between the various boundaries of the part. Examples are given in figure 9. If the description of a surface profile is complicated, a worst-case acceptable error band is often specified. The part profile has to fall within this band (Haisma and Gijsbers 1983). The measuring process used to evaluate a contour always requires a choice of the spatial interval between measurement data. This also determines the shortest wavelength of a form distortion that can be measured (Nyquist criterion). Form accuracy is measured with mechanical stylus techniques, capacitive probes, interferometric methods (Downs er a1 1985, Wyant et a1 1985), Schlieren optical

Manufacturing techniques for complex shapes


Section A - A Roughness <*O " Surface profile should I be within 0.1 um of specified profile Size of holes specified: (form reauirement)

1059

Flatness to within 0 01 (form specification)


1 x 45- chamfer

4
L

Diameter size specification part nowhere extends beyond the boundaries of a perfect circle with this diameter

Figure 9. Some illustrative size, form and roughness specifications for a part and their meaning. The drawing is not complete; more specifications would be necessary to define the part unambiguously.

techniques and optical stylus devices similar to the laser pen used in compact disc players (Kohno er a1 1985). The forms to be measured range from small-diameter (6 mm) deep aspheres to very shallow oblong shapes (0.5 m) used as x-ray mirrors (Beckstette 1986). Sometimes dedicated equipment is built to inspect one type of product (Sastra 1984). In extreme cases the machine tool is validated as a measuring machine and used to evaluate the products made on it (Donaldson and Patterson 1983). The roughness o f a part consists of small deviations of the surface around the ideal profile. These irregularities (asperities) have wavelengths and amplitudes (Thomas 1982). Roughness determination always involves filtering out the low-frequency content (form contribution) of the measured signal. Care has to be taken to include that part of the (wavelength) spectrum of roughness in the specifications that relates to the function of the part. The literature contains descriptions of parameters that depend upon roughness amplitude (amplitude parameters), wavelength (spatial parameters) or both (hybrid parameters). Roughness ( R M S amplitude value) of precision-machined parts is often best expressed in angstroms (1 A = 1 x lo-'' m). Laser interferometers are used to measure amplitudes accurately to within nanometres. Mechanical methods have been much improved in recent years (Lindsey et al 1988); their amplitude resolution has been extended into the nanometre range. The intensity distribution of light scattered in different directions upon reflection from

1060

J Franse

smooth surfaces essentially images the spectrum of the part roughness (Vorburger er a1 1986, Brodmann 1986). This can also be used to determine the surface roughness spectrum and the R M S roughness value. Lately, scanning tunnelling microscopy ( STM) has been used to explore surface roughness features of precision-turned surfaces. Stedman (1987) presented an overview of the amplitudes and wavelengths that can be measured with various instruments (figure 10). In these diagrams horizontal lines indicate the resolution and range limits of the instruments. Sloped boundaries stem from limitations with respect to the slopes or curvatures of surface features that can be measured and limits imposed by the accuracy of the guideways of an instrument. The amplitude range and resolution of measurement instruments are usually coupled (larger range, lower resolution). Some instruments based on interferometry cannot measure surfaces on which relatively steep slopes are present. The spatial resolution of stylus instruments is limited by the stylus tip radius (and the sampling rate). For optical instruments this limit stems from factors such as the pixel distance on the detector or the numerical aperture and quality of the lenses of the optical system. The frequency content of signals measured with optical and mechanical instruments is different. Therefore different values of roughness parameters are determined with these instruments if the same surface is evaluated (Church et a1 1984, Vorburger 1987).

I
vi

"

vi

1-

$ c

100-

s ._
w

,"

pm 1 0 1-

u 10010

nm

1-

0.1 -

0.01I 0.1

10

100

--?-

10

100

,
100 1000

10

nm

Pm

mm

Wavelength of roughness

--+

Figure 10. Resolution and range of various roughness measurement instruments. Form Talysurf (FTS), Talystep (rs)and Nanosurf ( N S ) are mechanical stylus instruments; He-Ne sss is a laser-scattering measurement device; STM stands for scanning tunnelling microscope.

2.2. Surface integrity

Many applications demand that some physical property of the material under the machined surface is not degraded by the machining operation. An example is the

Manufacturing techniques for complex shapes

1061

magnetic properties of ferrites used in recording heads. Glass lenses used in applications involving powerful pulsed lasers zapping the surface have to be free of cracks and other defects under the surface to avoid damage resulting from the stress cycling associated with the thermal gradients in the material during a laser pulse (Marion 1986). Processes that involve more chemical than mechanical action to remove material generally induce less structural damage under the surface. Often the surface integrity of a part can be influenced by the choice of the process parameters. In grinding of amorphous metals the infeed of the grinding wheel per revolution is kept low to avoid high temperatures in the contact zone that might cause an undesirable phase transformation in the material. An overview of calculation and experimental techniques to determine the temperature in the contact zone during grinding and cutting was given by Snoeys et a1 in 1978. In glass grinding, material is usually removed in a brittle mode and cracks extend under the surface. At very low depths of cut (0.1 F m typically) and small forces per grinding grain the material can be removed by a shear process involving plasticity rather than crack formation (ductile regime grinding, see section 4). Experimental techniques used to evaluate surface integrity depend upon the features considered critical. The electromagnetic integrity of recording heads is tested with read-write tests involving signals of appropriate magnitude and frequency. Transmission electron microscopy, taper polishing (Brown 1987) and microhardness tests (Polvani and Evans 1988) have been proposed to measure structural damage introduced by machining.
2.3. Accuracy specijcations for axisymmetric optical elements

Lenses in general give rise to imperfect images because of two broad classes of errors: geometrical aberrations and diffraction effects. Geometrical distortions (aberrations) of the image are caused by form errors of the optics (and by material inhomogeneities in transmissive optics). An example is the error in focal point (power of the lens) that arises from the inaccurate radius of curvature of a spherical optic. Another common error is called coma. It arises, for example, if two optical elements are not properly aligned so that their optical axes (although parallel) do not coincide. These errors can be minimised in the fabrication stage and often the optical system allows one to correct for these errors by alignment of the optical elements. There are, however, geometrical errors that cannot be corrected this way. Spherical aberrations are among these. They can be avoided (Wasserman and Wolf 1949) by the use of more elements that correct each others errors or by using lenses with surfaces that deviate from an exactly spherical form (aspheres) (Braat 1983). To limit the geometrical errors in the imaging system, the optical designer specifies a desired form of the lens surfaces. This is often done using a description of the surface as a series of polynomials. The coefficients of these polynomials determine the form; the allowable deviations of these coefficients determine the allowable form errors in the part. The geometrical quality of a lens is often quantified in terms of the amount of phase distortion it causes when imaging a particular type of wavefront of monochrome light. If the wavefront distortion caused by a lens (system) owing to geometrical errors is below one-quarter of the light wavelength, the lens is said to be diffractionlimited since diffraction effects now limit the image quality. The required form tolerances of a diffraction-limited lens are inversely proportional to the smallest wavelength of light the lens has to image. The tolerances of optical systems in general become

1062

J Franse
(NA).

more stringent for optical systems with a larger numeric aperture aperture of a lens is defined as
NA=

The numeric

n sin u

where U is the angle indicated in figure 11 and n is the refractive index of the medium between lens and object.
Airy pattern around focal point
1

0.8

R : 4mm

h : 785nm
0.6

0.4

0.2
n "

-8

-6

-4

-2

Distance from focal point (microns)

Figure 11. The light intensity distribution around a focal spot, known as the Airy pattern. This diffraction pattern is caused by the finite aperture of the lens.

Difraction due to the finite aperture of even a geometrically perfect lens causes a light intensity distribution around the focal spot (Malacara 1988) known as the Airy pattern (figure 11). It consists of alternating light and dark circles around the focal point. The smaller the distance between the central spot and the first dark ring, the higher is the resolution or information density that can be reached with the optical system. The resolution therefore depends on the wavelength of the light and on thc numeric aperture of the lens. The formula in figure 11 is valid for a circular lens with diameter D. The roughness of the lens surfaces scatters light in all directions, leading to loss of contrast between the light and dark rings. To keep the diffraction effects within acceptable bounds, the designer will specify a maximum allowable RMS value for the roughness of the lens. 3. Machines and tools for precision machining
3.1. Introduction; the machine as subsystem

Section 1 introduced the concept of the machining operation as a complex system with the machine and process as interacting subsystems. Within the machine subsystem, various signal paths (loops) can be identified (figure 8). These loops determine the response of the machine to inputs (desired motions) and disturbances such as cutting forces and thermal effects.

Manufacturing techniques for complex shapes

1063

For example, cutting forces are transmitted through components of the machine tool and the part. Mechanical properties determine the (dynamic) deflection of the machine structure in this signal path, which is called the structural loop. A thermal loop can also be identified (McClure 1969). It consists of all components that contribute to part errors if they deform due to temperature variations. A third signal path is the metrology loop, which determines how the distance between tool and part is measured. It determines what can be measured and corrected by the servo system with regard to the path the tool describes. Depending on the lay-out of the machine, some loops may (partly) coincide. To understand and reduce the limitations which machine behaviour imposes on the maximum achievable form accuracy and smoothness of parts, a careful error analysis is required. In the next subsection the nature of various errors will first be classified. Then some guidelines commonly used in the design of precision instruments to avoid and minimise errors will be discussed. Next some topics in machine behaviour will be treated in greater detail (sections 3.4-3.8). The section ends with a discussion of the error budget technique, which can be used to analyse the overall accuracy of a machine after the different aspects of its behaviour have been investigated. 3.2. Machine-induced part errors; error reduction techniques The classification of errors in different categories is important to be able to determine how to handle them and to indicate their importance and the way they may interact. Machine-induced errors can be classified in four different ways. (i) The timescale of errors can be compared to the time that tool and part are in contact. Errors that vary at a similar rate to or slower than the contact time are called quasi-static. On the other hand, errors characterised by fluctuations that are rapid compared to the contact time are called dynamic errors (Hocken 1980, Weck et a1 1988). Examples of quasi-static errors are the error motions of slides introduced by the imperfect geometry of machine components, deformations due to the shifting of weights as components move, and thermally induced deformations. Examples of dynamic errors are spindle error motions and self-induced or forced vibrations between tool and part with frequencies of the order of a few hundred hertz and above. (ii) Fixed or variable errors can be distinguished. The magnitude of some errors is fixed in the design stage of the machine. Others are influenced by the choice of the process parameters. Examples of fixed errors are the straightness of a reference mirror and the resolution of a position measurement system, chosen in the conceptual stage of the machine. So are the compliances of the machine components, but the actual deflections due to the cutting forces depend on the depth of cut and therefore this error is considered variable. (iii) Reproducible and random errors can be identified. Reproducible errors are reliably repeated time and again. Slide and spindle error motions are generally almost fully repeatable provided thermal and gravity effects do not cause disturbances. Vibrations between tool and part due to ground motions not rejected by the vibration isolation system are random errors. The distinction between random and repeatable is also very important for the last method to classify errors. (iv) Classification with respect to possible error reduction method(s) (Blaedel 1980). Some errors can be eliminated. Others can be calibrated and compensated for using the controller (repeatable slide error motions). Real-time determination and compensation of errors can also be done (in process feedforward correction for measured angular

1064

J Franse

motion of a spindle, for example). Error contributions may be reduced by decreasing the source strength (better temperature control, for example). The effect of a given error source can often be minimised by improvement of the coupling mechanism through which it results in a part error (use of low-expansion material) (Tsutsumi et a1 1988). Feedback sensors and control can also be used to provide disturbance rejection of the system with respect to a particular source (Kanai et a1 1988). Some errors are corrected in an iterative way. They are determined after a first cut and corrected in a second (tool radius, initial positioning errors with respect to the axis of rotation of the part, for example). Clearly the strategy to limit the various error contributions starts with identification and classification of errors. Next their effects have to be quantified to see which are dominant and if individually or combined they are acceptable. This is called making an error budget. If some errors are found to be unacceptable, a reduction strategy has to be chosen. In general, elimination is best, minimising source or effect and feedforward or feedback control compete for second place, and iterative correction is the least viable alternative. In the next subsections, general principles used in the design of precision machine tools and other instruments will first be presented. Using these guidelines, the errors introduced by the machine behaviour can be kept to a minimum.
3.3. Principles for design of precision instruments

The principles outlined in this subsection have been applied in the design of machine tools, measuring devices and other precision instruments. It is not always clear when and where these guidelines were invented; some of them were applied long before they were explicitly stated as design guidance. In the last decade they have emerged explicitly in courses on precision engineering given at CUPE (Cranfield Unit for Precision Engineering) and by the ASPE (American Society for Precision Engineeringshort course by Teague and Evans (1988)). The principles (sometimes called patterns) are not strict laws in the sense that they provide rules which if followed will lead to a good design. They can be used as a checklist to help make key decisions with regard to common problems encountered in many precision instruments. Elaborate descriptions of the principles are given in the courses mentioned and this article contains many illustrations of their application. Here only a brief overview is presented. Some of the guidelines provide directions which seem at odds with each other. In those cases a compromise is necessary. (i) Repeatability. As long as errors are repeatable, their cause can be determined, or at least their effect can be corrected for. (ii) Isolation. The system boundaries should be set up so as to prevent disturbances from the environment from influencing the system (temperature, pressure, humidity, acoustic or electrical noise, mechanical vibrations). (iii) Kinematic mounting versus elastic averaging. With respect to rigid body motion, an object has six degrees of freedom (figure 12). Each constraint on the object prevents motion in one degree of freedom. A kinematic mount constrains a body by the minimum amount of constraints necessary (Pollard 1929, Whitehead 1954, Slocum 1988). If more constraints are applied, the body will be stressed and deformed as a result. In general, its exact location and orientation also becomes uncertain. If two bodies are coupled kinematically, movement or deformation in one of them results in rigid body movement

Manufacturing techniques for complex shapes


no constraints 6 degrees o f freedom

1065

1 constraint 2 constraints 3 constraints 5 degrees o f freedom 4 degrees o f freedom 3 degrees of freedom

4 constraints

2 degrees of freedom

5 constraints 1 degrees of freedom

6 constraints no degree o f freedom

Practical implication using balls b nv grooves to precisely locate two parts

Figure 12. Each constraint placed on an object prevents motion in one of the six degrees of freedom (three translations and three rotations).

of the other, without inducing deformation of the second. Directly opposed to this principle is another one that is sometimes preferred to connect parts: elastic averaging. This can be used if high loads have to be carried or the averaging action of having many contact areas evens out local effects in part of the contact area, giving better repeatability, also after some (wear) time. (iv) Alignment (Abbe')principle. The line of measurement and controlled movement should coincide to avoid offset errors caused by angular motion of the moving body (Bryan 1979). If this is impossible, they should at least be parallel and multiple measurements should be considered to account for offset errors. The error introduced if the design goes against this principle is illustrated in figure 13. A tool is mounted a
Metrology hame. kinematically mounted to machine base

--- --- -

Figure 13. Error motions of the slides and distortion of the machine base are partly corrected by the measurement system A owing to the A b b t offset. System B measures at centreheight using a metrology frame and completely corrects these errors.

1066

J Franse

finite distance above the line of measurement system A, which in turn is located above the centre of gravity of the slide. This offset error results in amplification of slide error motions and larger displacements between the tool and the part. The slide error motions are only partially corrected by the position measurement system A. If measurement system B is used, the slide error motions are completely corrected since the two measurements BI and B2 are performed at centreheight. (v) Metrology frame or metrology loop. Ideally, measurements should be done using a reference frame that is unaffected by time, environmental disturbances and machine motions (figure 13, measurement system B). Typically, such a metrology frame is used on large machines (Donaldson and Patterson 1983). All position measurements are in that case made between references mounted (kinematically) to this frame and points on the tool and part. An advantage of the metrology frame is that its only purpose is to sit still in space. It does not have to move accurately, be stiff or fulfil another function. In general, this principle of separation of functions between components is favourable from a design point of view, although it is usually not economically desirable. On small machines a relative measurement between moving components is often used to control motions (figure 13, measurement system A). In that case the metrology loop should be as short as possible, yet the maximum amount of critical disturbances should be observed by the measurement system to be able to reject them. (vi) Materials selection optimiJed forfunction. Components of a machine tool should ideally each have to fulfil one function only. In that case the materials selection can be optimal for that one function. Methods to assign figures of merit for the various properties of materials with respect to functions such as stiffness, thermal expansion, etc for components in which multiple functions are combined are given, for example, by Chetwynd (1987). (vii) Short structural loop containing few elements and joints. The structural loop in a machine tool consists of all those components on the path through the machine over which cutting forces are transmitted. The relative tool-part compliance of machines is kept to a minimum using a number of techniques. The structural loop has to be kept short (small number of elements). Joints are associated with uncertain contact areas and should be avoided. Bearings and geometries and materials of structural elements in the loop should be optimised for stiffness (and damping). (viii) Kinematic drive mechanisms. To avoid the introduction of undesired motions and deformations, only that degree of freedom in which motion is desired should be coupled between the drive mechanism and the driven component. (ix) Tool (or probe) knowledge. In both machining and inspection the ultimate accuracy is always limited by the accuracy of the tool (or probe) and its interaction with the fabricated (or inspected) part. The tool and machine accuracy have to match. (x) Heat balance, stationary energy flow. The heat balance of the instrument in relation to its environment has to be designed so as to create a stationary situation with respect to the temperature distribution over the machine in the operational situation. (xi) Error budget technique. Quantify all conceivable errors, combine them appropriately and predict the overall accuracy of a given design. This technique helps to judge a design and visualises which errors contribute most to the total error. This technique will be discussed in greater detail in section 3.10. (xii) Symmetry. As a result of gravity and thermal effects, symmetric constructions in general do not give rise to complicated distortions that cannot be compensated

Manufacturing techniques for complex shapes

1067

without much extra effort. The analysis of symmetric structures also requires less computational effort, and the predictability of behaviour will in general be better. 3.4. Errors due to imperfect geometries of machine components Intended movements on a precision machine tool are invariably combinations of perfect linear translations and rotations. The actual position of a point on a slide will differ from the intended position because of translation errors (the actual axis of translation does not coincide with the intended) and rotation errors (roll, pitch and yaw due to non-straightness of the guideways). Translation errors in the direction of motion depend mainly upon the drive mechanism used in the machine. Many slides are driven by means of a motor, a set of gears and a leadscrew. The rolling elements differ somewhat in size, and error motion with a period associated with the leadscrew pitch is often observed. A different class of drive systems are friction (capstan) drives. In these the angular motion of a motor is converted to linear movement by the friction in a contact area between the outgoing axis of the motor and a bar connected to the slide. These capstan drives can provide more constant speeds and better positioning accuracy of the slide. Techniques to measure translation and rotation errors of slides have improved significantly in the last decade (Hocken 1980, Tlusty 1980, Estler 1985a, b). The majority of slides used on precision-cutting machines have a travel of up to 0.5 m. It is not a trivial task to manufacture and mount such slides so as to keep the maximum straightness deviation within 0.1 p m over the length of travel. Some precision machines are still equipped with bearings based on rolling contact elements. Lately, rotational and linear motion has been increasingly realised using full-film oil and air bearings (see section 3.5). If more accurate translation is required than the slide can provide, repeatable errors can be compensated using look-up tables for the measured errors in the numerical controller software. An alternative is the use of references for the position measurement system mounted in a metrology frame. As illustrated before, the error motions of a slide may be amplified if the tool is mounted a finite distance above the centre of gravity of the carriage (figure 13). The accuracy of spindle motions has also greatly improved by the use of oil and air bearings. A state-of-the-art air bearing spindle typically has axial and radial run-outs of the order of 25 rim. Optical and capacitive techniques have been used to measure spindle error motions (Holster et a1 1984, Chapman 1985).

3.5. Tools for precision machining


The shape of the tool is directly mapped into the part surface in any contacting material removal process. Diamond-turning tools are made of gem-quality natural (and sometimes synthetic) diamonds. The diamonds are aligned so that the most favourable crystallographic orientation 'faces' the part. This is done to ensure minimal wear and homogeneous properties of the tool over that part of it that comes into contact during cutting. A contouring diamond tool can be made to have a maximum deviation of a prescribed radius less than 0.05 p m over an angular segment typically 90". This angle and the value of the radius are important if strongly curved surfaces have to be made. In that case the contact point between tool and part shifts along the tool tip and this has to

1068

J Franse

be corrected for to ensure that the desired shape is cut. A new tool has virtually no measurable roughness along the cutting edge. If the tool wears in an abrasive manner, the cutting edge becomes jagged and this roughness is directly engraved in the worked surface. The wear mechanisms of diamond are notably different (abrasion, graphitisation) when cutting different materials. A grinding wheel for precision grinding has to be brought into such a condition that it has minimal run-out (trueing). During grinding, the grains protruding from the wheel wear, the tool becomes clogged with material transferred from the part, and the tool has to be resharpened periodically (dressing). The scratches made in the part by the cutting grains determine the part roughness (Franse and de Jong 1987). Relatively hard wheels are first used to grind an accurate form; the roughness is then diminished using softer wheels. It is difficult not to distort the achieved form accuracy with these compliant softer grinding tools.
3.6. Static aspects of machine tool mechanics

Machine tool components in the structural loop deform when loaded by forces. There are many origins of forces acting on the machine components, the tool and the part. (i) Gravity provides a load on all components of the machine. As components move, weights shift and on the submicron level the machine deforms. Gravity-induced deformations lead to form errors of parts. (ii) Cutting forces are generated in processes involving mechanical contact between tool and part. Typical (static) cutting forces in diamond turning are of the order of 250 mN. Obviously, in this area non-contact machining techniques offer an advantage. (iii) Changing speeds, in other words accelerations, always involve forces. An example can be seen in grinding. If the rotational speed of the grinding spindle is changed, the centrifugal forces on the rotor and grinding wheel change in magnitude and they deform. The position of the cutting edge may change significantly due to this effect. (iv) UnbaZance of spindles leads to forces and moments that may result in error motions. In grinding with high-speed spindles the run-out of the grinding wheel can be affected by the unbalance introduced by the coolant sprayed over the grinding wheel. Unbalance of a part spindle may lead to form errors; grinding wheel unbalance usually leads to waviness or roughness on the part. (v) Partjxtures exert forces (pressure) on the part. Thin sections are easily distorted by vacuum chucks or vices. After machining, the part springs back and shows a fingerprint of the clamping device. Techniques to avoid these problems include the use of fixtures that only deform non-critical areas of the part. Gluing or potting parts to holders is also applied. Care has to be taken not to compromise the stiffness of the structural loop using these techniques. Vacuum chucks, sometimes using porous materials to distribute the vacuum load evenly over the part, are also common practice. Sometimes the springback effect is used deliberately to produce parts (Hedges and Parker 1988). The magnitude of the errors caused by forces is proportional to the relative compliance between the tool and the part (Tlusty 1972), which is the sum of all compliances in the structural loop. In the design stage, estimates can be made for these compliances using analytical models and numerical techniques such as finite elements.

Manufacturing techniques for complex shapes

1069

The stiffness of structural members can usually be found quite accurately. The stiffness (and damping) of joints is not easily estimated owing to uncertainty about the exact location and the pressure distribution and friction over the contact area. Bearing technology, especially the field of hydrostatic and aerostatic bearings, is an area in which much progress has been made over the last two decades (Fuller 1969, Muijderman 1979, Muijderman et a1 1980,1988, Gross et a1 1980, Rowe 1983, Shepherd 1985). In these bearings, separation of the bearing surfaces. is the result of pressure generated in the film fluidum. This pressure can be generated by wedge effects in the bearing gap and motion-induced viscous effects in the film (self-acting bearing). Alternatively it can be supplied from an external source (externally pressurised bearing). The pressure distribution in a bearing gap is governed by Reynolds (1886) differential equation. It was derived by considering equilibrium of forces and mass conservation as the fluidum flows through the narrow gap. The principle of operation of an externally pressurised bearing is illustrated in figure 14. The fluidum is supplied at pressure p s to the bearing gap through a supply restrictor, enters the bearing gap at pressure pr and leaves the bearing gap at ambient pressure pa.The bearing gap and supply restrictor are resistances from a fluid mechanics point of view that determine the fluidum flow. Their size and shape also determine the pressure drop over the supply restrictor and the pressure distribution over the bearing gap width. If the bearing gap height is made smaller, the flow decreases. This means that the pressure drop over the supply restrictor also decreases. Therefore the pressure over the bearing gap increases. Thus a decrease in the bearing gap height results in a force opposing the motion. The magnitude of this force depends on the supply restrictor and bearing gap geometry. The stiffness of the bearing is the derivative of the function describing the load-bearing gap relation. In principle, the use of bearing gaps or supply restrictors that change their flow resistance under load even makes it possible to design infinitely stiff bearings (de Gast 1966), but this technique has apparently not yet been widely applied in precision machine tools.
Supply pressure F s s u r e

Gap height

m
Pa

p / / / / A i v / / / A
I I

Ps

Ambient pressure

Pressure over gap length at gap height hg2 c h,,

&

M
Pressure over bearing gap at gap height h,l

Figure 14. Principle of operation of an externally pressurised full-film bearing. The pressure profile over the bearing gap is typical for a gas bearing.

The static stiffness of hydrostatic bearings can be calculated with reasonable accuracy, although complex geometries and thermal effects cause non-trivial computational problems. The static stiffness of oil and air bearings is optimised by the use of small clearances (typically 5- 15 p m gaps for externally pressurised aerostatic bearings). The stiffness

1070

J Franse

of oil-bearing slides is sometimes increased by the use of an overconstrained design. Components of such designs have to be made with tight tolerances and are difficult to assemble. Dynamic properties of hydrostatic bearings can also be influenced by the gap geometry and orifice design (Rowe 1983, Roblee and Mote 1986), but the calculation of dynamic properties is still under development (Vermeulen and de Schepper 1989). The compliances of a machine are often determined experimentally. Loads are applied and deflections are measured at various locations. Relative compliances between tool and part for ultra-precision machines range from 0.05 to 1 p m N--'(Uda et a1 1985, Falter and Dow 1987, Weck and Modemann 1987, Franse and Roblee 1989). These numbers have steadily decreased over the last decade. A low compliance is not only desirable from a static point of view. The dynamic behaviour of a stiffer machine is generally also better (section 3.8). 3.7. The thermal loop of a machine tool If the temperature distribution of the machine or its environment changes, the machine components deform. If this happens during cutting and the error motions remain uncorrected by the measurement system, it generally results in form errors. The change in linear dimension of a part (dL) depends on the thermal expansion coefficient a, the nominal dimension in question, Lo, and the temperature change d T : d L = aLodT Typical dimensions of concern are of the order of 1 x lo-'- 1 m; a for metals is of the order of 1 x "C-.'. Temperature deviations of 1 "C thus give rise to deviations of 0.1-10 p m . The temperature problems are proportional to part and machine size. Just like the structural loop, the thermal loop has both static and dynamic characteristics (McClure 1969). The thermal response of a component (no internal heat sources) is governed by the differential equation

Tt=,,(d,-+B+di )

dT

d'T

d2T d2T

This indicates that not only the size and conductivity ( A ) but also the heat capacity (pc,) of the object are important. The step response (change in temperature of the component after a sudden step in temperature of the environment) is found by solving the differential equation. A new equilibrium situation is generally approached exponentially. A dimensionless number typically appears as the exponent in the solution. It is called the Fourier number Fo:

FO =-

At

PCP2

( D is a characteristic dimension of the element considered). The time constant of a component can be found as the time t at which the Fourier number becomes three. Typical values for machine components range from seconds for small metal parts to many hours for a granite machine base. Thermal disturbances with periods comparable to and longer than the shortest time constant of components in the structural loop, or their effects, must be reduced to acceptable levels. A variety of methods have been used to do this. The temperature distribution over the machine tool can be kept constant using liquid (Bryan 1978) or air (Loewen 1978, Hansen 1983) showers or a combination

Manufacturing techniques for complex shapes

1071

(Roblee 1985). Sometimes, local heat removal is used to reduce the strength of a heat source (initially water-cooled grinding spindles, for example (McKeown 1987)). Measurement and correction for expansion is another strategy used. Lately, a number of investigators have reported the use of low-expansion ceramics as structural components in spindles and slides (Brehm et a1 1985, Furukawa et a1 1986). Thermal errors are in general random in nature. This makes it difficult to use mapping techniques to compensate for them, although in some cases this has been applied (Donmez et a1 1986).
3.8. Dynamics of machine tools (open-loop)

The dynamic behaviour of a machine tool determines which vibrations result from excitations (forces or ground motion, for example). Both the excitations and the responses (resulting motion) are characterised by magnitude, frequency and phase. For most cases it suffices to consider the machine as a linear system. Response investigations are done in the time domain or in the Laplace domain. The Laplace transformation provides the mathematical connection between the two domains if time is considered as continuous. A signal f ( t ) in the time domain is transferred to a signal L ( s ) in the Laplace domain (s is a complex number). This is appropriate for systems in which control is exerted continuously (analogue, for instance). If a digital control scheme is used or sampling occurs at such intervals that it notably influences the dynamics of the system, the so-called 2 transform (van de Vegte 1986) should be used. Usually, the open-loop (no control loop closed) response of the mechanical system is first considered. Next the closed-loop behaviour is investigated. The measurement and control loop generally also contains dynamic elements to optimise the dynamic behaviour of the machine tool. In this section a similar scheme is followed. This subsection continues with a consideration of the open-loop dynamics of the machine. The next subsection gives an overview of feedback control topics in precision machining. The machine tool is excited in different ways. These excitations act on various components and their magnitude and frequency contents are notably different. A number of vibration types are associated with these excitations. (i) Externally forced vibrations. To this category belong the low-frequency vibrations caused by ground motion of the environment. Precision machine tools are usually decoupled from the ground by a vibration isolation system. Various types are used, but they usually involve mounting the machine components on a heavy mass, and this mass in turn is set on a soft spring-damper system. Figure 15(a) shows the transmission ratio (ratio of table and ground motion) for the simplest possible system at different damping ratios. The idea is to let the isolation system have as low a natural frequency as possible. Above the natural frequency of such a system the transmission rate decays rapidly. Increasing the damping is seen to decrease the maximum transmission ratio at resonance. However, the high-frequency attenuation is also less. A more sophisticated system (Ruzicka and Derby 1971) is seen in figure 15(b). With the elastically coupled damper, increased damping also decreases the peak value of the transmission ratio at resonance, while the high-frequency attenuation stays virtually the same. Other sources of externally forced vibrations are air-conditioning systems, pressure variations due to oil and water pumps (Nakano et a1 1986), impact of coolants and excitations through cables and hoses connected to machine components. All these excitations have to be minimised and if possible isolated from the machine.

1072

J Franse
Transfer function X(s)/U(s)

10'

t ? ? Y
'

................ .-.- .....

J-\
q.

-.. t;....,:

.........

0.81

lo-'

lo-'

IO0
Frequency ratio w / w

IO'

Figure 15. Transmission ratio for ( a ) directly and ( b ) elastically coupled spring-damper combinations used in typical vibration isolation systems. Increased damping affects the m = 1 kg). maximum at resonance and the high-frequency roll-off ( k = 1 N firl-',

(ii) Internally forced vibrations. Forces due to unbalance of rotating spindles and the fluctuating forces from run-out of a grinding wheel are excitations that induce this type of vibration. The same holds for the forces and motions introduced by the servo system. (iii) Selfgenerared vibrations (chatter). This type of vibration stems from the interaction of the cutting process with the machine structure. It is essentially a closed-loop dynamical problem and will be discussed in greater detail in section 5. These types of vibrations having been identified, it remains to determine what kind of motion they induce between the part and the tool. This is determined by the transfer function (compliance) between the excitation and the relative tool-part motion. There is a tendency to build stiffer machine tools and improve their damping properties. The benefits of this approach can be explained using the two-degrees-of-freedom model shown in figure 16.

Manufacturing techniques for complex shapes


Transfer function X P ( s ) / F ( s )

1073

I
10'

x2I
I

1o2

1o3

1o4

Frequency ratio (radisec)

Figure 16. Two-degrees-of-freedom model to illustrate the problems associated with the dynamics of precision machine tools ( m I = 40 kg, m2 = 4 kg; case A-k, = 1 N pm-', k , = = =6 , = 0.02 [ & = c , / 2 J ( k , m ) ] ; case B-k, = 4 N ym-', k, = 5 N pm-', 5 N pm-', 0.02, ( 2 = 0.2).

A slide with mass m , is mounted on the drive system with a stiffness k , and a damping c, . A tool with stiffness k , , damping c, and mass m, is mounted on the slide. An excitation F ( s ) results in a response X,(s) (tool motion) of the linear system. In figure 16 the transfer functions between excitation force F ( s ) and resultant tool motion X , ( s ) (compliance) can be seen for two cases. The differences between the two are the values of the static stiffness k , and the damping coefficient c 2 . Below the first resonance frequency the compliance is mainly governed by the static stiffness of the system. The relative compliance has a local maximum at resonance frequencies. The associated vibration modes determine if the surface quality is degraded. Resonance peaks are broader and peak compliances are less for better-damped resonances. Resonances occur at higher frequencies if the static stiffnesses are higher and/or the moving masses are lower. A far more difficult question that has not yet been answered completely is: how stiff is enough? A sensible answer can only be found if the influence of the measurement and control system is taken into account. This discussion will be continued in section 5. In recent years an increasing amount of effort has been made to characterise the dynamical behaviour of machine tools both analytically and experimentally (Weck and Modemann 1987, Franse and Roblee 1990, Lo-A-Foe 1989) using lumped parameter models, finite element calculations and modal analysis techniques.
3.9. Measurement and control; dynamics of controlled machines

Part accuracy is directly related to our ability to prescribe, measure and control the relative position of tool and part. These aspects are discussed successively in this subsection.
3.9.1. Setpoint generation. The fabrication of complex contours requires coordinated continuous movement of a number of axes of motion of the machine. To realise this, the computer numerical controller ( C N C ) has to perform a number oftasks (an overview

1074

J Franse

on this subject was given by Koren in 1986). The basic components of a continuous path controller are indicated in figure 17. The so-called part program divides the desired path into segments and calculates the endpoint coordinates of these chords. The interpolator then determines which velocities of the axes of motion are required to make the desired contour and generates appropriate commands for the servo loops. The difference between the command signal and the actual position is called the position error signal. This signal is used to manipulate, for instance, the current through a DC motor and influence its rotational speed. The various functions indicated in figure 17 can be implemented in many different ways (Erdelyi et a1 1980, Masory 1986). 3.9.2. Measurements for control of precision machine tools. As in any measurement system, resolution, noise level, dynamic range, frequency response and accuracy are of interest. To measure speed, tachometers are employed, in which resolution and electrical noise are important issues. Position information is usually provided by linear scales (Ernst 1988) or laser interferometer systems (Koch 1975). Today, interferometer systems can provide resolutions in the 5 nm range; scales seldom go further than 0.1 p m . A laser interferometer distance measurement system is shown schematically in figure 18. A reference beam travels a fixed distance and then interferes with a beam that travels between the interferometer and a mirror mounted on the moving object. The interference results in a fringe pattern. If the object is moved, the fringe pattern moves as well and the detector counts fringes. The fringe spacing depends on the particular arrangement of the optical components (single- or double-path arrangement as depicted in figure 18). In reality the light intensity on the detector changes gradually and interpolation techniques are used to achieve amplitude resolutions of nanometres. Typically, 633 nm He-Ne lasers are used. However, the wavelength of the laser light depends upon the optical path length, which in turn is a function of the temperature and the partial pressures of gas components in the beam path. Motion of air and particles in the beam paths also causes diffraction, which can give noisy measurement signals and loss of fringe contrast (Estler 1985a, b). Compensation for some effects can be applied if temperature and pressure or the index of refraction are monitored in the beam path. Another strategy is to surround the beam path with a vacuum

Reference pulses planning, generation of velocity Axis nositinn Amplilier

1
I

ITachometer

I I I

Posilion

measurement

Figure 17. The control loop of a continuous path C N C machine consists of a part programmer, an interpolator and the servo loops driving the axes of the machine.

Manufacturing techniques for complex shapes


Single path interferometer Cube corner attached to moving object Double path interferometer

1075

Reference beam

2 v,

Reference beam

L L

e,

Measurement beam

Direction of returning beam and detector

Figure 18. Common laser interferometer arrangements used in precision machine tools. The fringe spacing is the movement that causes a path difference h / 2 between the reference beam and the measurement beam.

(Donaldson and Patterson 1983) or to make sure that the composition of the environment is constant (saturated with oil vapour or filled with helium, for example). For very-high-resolution measurements over limited ranges, capacitive and inductive methods can be used. Piezoelectric elements are useful to measure velocity and acceleration in a dynamic sense. Piezo elements are also used as very accurate actuators with limited range (Patterson and Magrab 1985). Piezo actuators can be made lightweight and stiff, which allows them to be controlled to high frequency bandwidth (1 kHz). Their accuracy in such applications is often determined by the stability of the power supply needed to drive them.

3.9.3. Dynamics of a controlled machine tool. The dynamic behaviour of the controlled machine tool (relative tool-part compliance, bandwidth, disturbance rejection) depends upon the combination of the dynamics of the mechanical elements, feedback sensors and controller components. The servo loops of precision machine tools usually employ velocity and position feedback. The controlled variable such as the motor current is regulated proportionally to the position error, the integral over this error and a velocity error signal generated in the inner (tacho) loop. Thus a combination of proportional, integral and derivative action is used. The gains of the proportional, integral and derivative action ( K p , Ki and Kd) determine how fast a servo system follows the command signal (speed of response), whether it overshoots the commanded position and if the final position deviates from the commanded position (steady state error Ess; see figure 19). The gains and dynamic elements in the loops also determine how well

1076

J Frame

and up to what frequency (bandwidth) the servo system will follow commanded inputs and resist disturbances such as forces (provided they are observed by the servo system). Obviously, a high bandwidth is desirable. However, in a machine tool it has to be kept well below the first resonance in the machine structure to ensure stability. For these reasons a stiff structural loop and high damping are also favourable from a control point of view. Knowledge of the dynamic behaviour of a controlled machine has been used to design electronic filters to compensate the transfer function of the machine. Using this technique, non-axisymmetric optical elements were fabricated containing wavelengths that could otherwise not have been realised (Schenz et a1 1988). Lately, the use of digital signal processors for control of machine tool components has also been reported (Luttrell et al 1987). The application of adaptive control and multivariable control techniques in precision machining are current topics of research at various institutes.

"' 1

Transfer function X(s)$U(s)


KO= K = 0

Stepresponse in time domain

B
C

8
1 10 0 10 ' L

i ?

10 .
Time (sec) Stepresponse in time domain

Frequency ratio w / w

Transfer function X!sl U(sl

w
-'-OI 1 0
Frequency ratio w / w

Kp= 12
06 04

Kp= 2 Ki
0
15

10'

10

20

25

Time (sec) Stepresponse in time domain

lo

Ko.4

Kl=3

5'

12
04
O2
Kg=4

Ki.3

Figure 19. T h e effects of p r o p o r t i o n a l , i n t e g r a l a n d d e r i v a t i v e g a i n in a s i m p l e servo system.

Manufacturing techniques for complex shapes


3.10. The error budget concept

1077

In the design of systems containing many optical elements it is common practice to specify the allowable errors and to divide them among the various contributing error sources. This idea has been adapted for precision machine tools. It will be illustrated here using figure 20, borrowed from Donaldson (1980). As a first step, a list is made of all possible error sources in a (proposed) machine design. Information is needed about the nature of the error sources, the physical properties and geometries of the design. These error sources will somehow (through a coupling mechanism) result in a displacement error between the tool and the part. The magnitudes of these errors in the critical directions in terms of relative tool-part motion are determined by calculation or from experiments. The time and spatial scale of the error contributions is also indicated (form or roughness error categories are distinguished). The contributions of all the errors combined (using a combinatorial rule) must stay within the desired tolerances. It is in this part of the concept (combination of errors) that uncertainties arise. Often error sources are related and the total error is, for instance, the sum of the maximum values of the individual errors. Sometimes, however, they counteract each other or they are uncorrelated. The expectation of the R M S value of random uncorrelated errors can be found as the R M S average of the R M S values of these errors. In practice, a limited number of errors dominate the budget; many smaller ones can usually be neglected. If a design does not meet the budget requirements, the contributing errors have to be reduced using the strategies mentioned in section 3.2.

Error source or

Error directions
~

Workpiece geometry Combinational rule Resultant dlsplacement EArerror e c eip kro & / & $ ,-error

Figure 20. Principle of the error budget concept (Donaldson 1980)

4. Tool-part interaction
4.1. Introduction; mechanisms of material removal

This section gives an overview of the material removal mechanisms in precisionmachining processes. In diamond turning and grinding, the tool-part interaction is

1078

J Franse

mechanical in nature and chemical effects are of second order. In polishing, both mechanical and chemical effects play an important role. In techniques based on etching, chemical reactions at the surface are clearly dominant. In that case mechanical action can be considered as one of many ways to enhance the etching rate. In the following subsections the mechanical tool-part interaction will first be considered; then material removal by chemical etching is discussed. 4.2. Modes of mechanical material removal Mechanical interaction can result in different types of material deformation and associated modes of material removal. The tool-part contact generates stresses and strains in the material. If these are elastic on a macroscopic scale, there are no lasting deformations unless fracture occurs. Fracture is one material removal mode often used in conventional grinding of brittle materials. Under repeated elastic load cycles, material may be removed by fatigue, a process involving repeated plastic flow in microscopically small regions in the material (Suh 1977). Fatigue is a common material removal mode in wear processes but unusual in precision machining. If the stresses exceed the yield strength of the material, plastic deformation results. In diamond turning and plastic flow grinding (ductile regime grinding), the contact between the tool and the part generally results in chip formation. In that case material is separated from the part. The separation involves intense plastic shear and ductile rupture of the material at the tool tip (Trent 1977). Plastic flow is the predominant mode of material removal in diamond turning and ductile regime grinding. At very shallow depths of cut, however, it appears that there is no chip formation, merely plastic deformation of material (burnishing). The fabrication of diffraction gratings is an example of such a burnishing process. Fundamental questions arise.
(i) What are the conditions (sharpness, cutting depth and geometry of the tool) under which chip formation or just burnishing occurs? (ii) Will the material response (crystals, anisotropy of properties, relaxation of stresses afterwards) prevent us from cutting surfaces with a smoothness only limited by atomic roughness?

The phenomena of plastic flow, fracture and materials aspects in diamond turning and grinding will be discussed in somewhat greater depth. 4.3. Plasticity as a mechanism of material removal The tool-part contact results in stresses in the material. In the elastic regime the stress field is related to the deformation field linearly by Hooke's law (metals and ceramics). On the faces of an arbitrary cube in the deformed material, normal and shear stresses act (figure 21). The magnitude of these stresses is such that the cube is in static (force) equilibrium. It can be shown that the (very small) cube can always be rotated so that only normal stresses (principal stresses) act on it. At orientations under 45" with any two principal stress directions, the shear stresses on the cube faces reach a maximum, at a value of half the difference of the principal stresses under consideration. Plastic flow is governed by shear stresses (differences between principal stresses). To determine whether a given stress state in the material results in plastic flow requires a yield criterion. The von Mises criterion is the most widely used (Dieter 1981). In terms of the principal stresses a,, , and the flow stress of the material, U,.,, the von

Manufacturing techniques for complex shapes


Y
COY

1079

k
Mises criterion is expressed as

Figure 21. On an arbitrary cubic element of material, normal and shear stresses act. By rotation of the cube, an orientation can be found in which only normal stresses (principal stresses U,,, uz2 and u ~act ~ on ) it.

( a l l - a2*)'+ ( a l l - %A2+ ( U 2 2 - a d 2 =2Ufl. Any stress state can be separated into a hydrostatic part (three principal stress components of equal magnitude) and a deviator part (stresses after discarding the hydrostatic components). The hydrostatic stress does not alter the von Mises stress. If the von Mises criterion for an isotropic material is depicted in the stress space (coordinate system formed by principal stress directions) it becomes a cylinder (figure 2 2 ) . The axis of the cylinder represents pure hydrostatic stress states. For anisotropic material the yield locus becomes acylindrical (Hill 1971). After initial yield the relation between the strain and stress in the material (constitutive equation) changes. The flow stress of the material may increase (hardening) or decrease (softening) with strain. Most metals harden under plastic flow. The plastic part of the stress-strain relation can often reasonably be described mathematically by either a kinematic or an isotropic hardening rule. Kinematic hardening means that the yield locus maintains its shape and size but its centre shifts in the stress space. An isotropic hardening rule assumes the centre of the yield locus to stay in place; the radius (flow stress) increases. Mixed hardening models are also used. Significant temperature increase may result in softening of a material. The flow stress is also a function of the strain rate. Generally, the flow stress becomes larger at higher strain rates, provided the temperature in the material does not become so high that thermal softening prevails.

c73

Yield occurs

Figure 22. The yon Mises criterion becomes a cylinder in the stress space (a coordinate system in which principal stress directions form the axes).

1080

J Franse

4.4. Chip formation and cutting forces in metal cutting

Chip formation results if the material separates at or close to the tool tip (figure 23). In general, a non-linear relation between the cutting force and the depth of cut can be expected owing to the tool geometry, the material properties and the temperature rise in the contact zone. Various theories and experimental techniques have been used to study material flow, temperature distribution and cutting forces in turning and grinding of metals (Trent 1984, Carrol et al 1986, Lo-A-Foe 1989). Lee and Schaffer (1951) developed a model based on the idea that the material shears plastically along a plane to form the chip. Their model assumed the material to be ideally plastic, meaning the yield stress does not depend on strain rate and strain (no hardening), and elastic stresses and strains were not considered. Challen and Oxley (1979) refined the approach by using slip line fields (Rowe 1979) to describe the velocity field of material flowing into the chip and along the tool more realistically. They were also able to show how friction and hardening of the material affect the results.

Chip

--

Part material

Figure 23. Chip formation in cutting involves plastic deformation in regions in front of the tool and in the chip. Sometimes a stagnant zone of material adheres to the tool (built-up edge).

Their model also explains the occurrence of a dead metal region or stagnant zone between the flowing material and the tool (figure 23). In this region the material does not move; shear occurs along a boundary in the material itself. Such a rim of material adhered to the tool has been observed experimentally in quick-stop tests in which the tool is retracted very fast from the part. It is generally called a built-up edge. Its formation depends upon the inclination of the tool and part material to adhere to each other, which is governed by the surface energies and the chemical affinity of the materials (change in surface energy if they are brought into contact) (Bowden and Tabor 1950, Rabinowicz 1965, Smith et a1 1988). The geometry of the tool can also promote built-up edge formation (Bredel and Prins 1982, Nishigushi et a1 1988). If, for instance, negative rake angles are used, high pressure in the region under the tool promotes welding of the part material to the tool. In grinding, a comparable contact situation arises between a grinding grain and the part. The rake angle of the grain in contact is usually negative. This means chip flow is more difficult, imposing high demands upon the cooling and lubrication in the contact zone. The dressing process used to sharpen a grinding wheel also has to ensure that adequate space is available between the grains in the wheel to transport debris away without rubbing them in the contact area.

Manufacturing techniques for complex shapes

1081

Recently, plastic flow in metal deformation processes has been studied using numerical methods. These techniques can take geometrical and material non-linearity into account as well as the effects of residual stresses and thermal effects (Strenkowski and Carrol 1985). For problems involving large plastic deformations, finite element methods using the updated Langrange technique have been used successfully. The mesh is tied to the material and deforms with it. Application of these techniques to metal cutting has been hampered by uncertainty about a realistic ductile rupture criterion. A critical value of the effective plastic strain has been assumed as separation criterion in the chip formation studies mentioned. This seems to work from an engineering point of view in the sense that the results qualitatively agree with experiments. From a materials science and physics point of view there is, however, no apparent reason why strain should be the limiting factor (instead of a critical stress level or cavity formation criterion, for instance (Leroy et a1 1981)). An overview of ductile failure theories was given by Dodd and Bai in 1987. Another approach to the study of chip formation with numerical techniques is to use a Eulerian reference frame to simulate the material flow. In this case the mesh is stationary and the velocity field of the elastoviscoplastic material flowing through the mesh is calculated. The metal flows like a liquid; no separation criterion is necessary. The disadvantage of this technique is that the free boundary of the chip surface (chip shape) has to be guessed beforehand. A combination of the two methods has also been used (Strenkowski and Mitchum 1987). The Eulerian approach is used in the shear zone close to the tool, and the Langrangian approach is used further away from the tool tip to predict the chip geometry accurately. Reasonable agreement was obtained between calculated and measured cutting force data for aluminium. The numerical models described above can be expected to contribute to our understanding of the conditions under which chip formation or burnishing will take place. The question whether there is a minimal chip thickness that can be cut is unlikely to be answered using continuum mechanical models. At the atomic level the material contains vacancies, dislocations and impurities that make it widely different from an isotropic continuum in its mechanical behaviour. Experimentally, Syn (1988) has shown that chips with a thickness of about 30 A can be cut. The investigators felt they were limited in their efforts to produce even thinner chips by the thermal and dynamic behaviour of their machine rather than by the sharpness of their diamond tool or the contact mechanics of the cutting process. The latest development in the modelling of material removal is to simulate the movement of individual atoms (atomic modelling (Hoover 1982, 1984)). The bonding of adjacent atoms is described by a Lennard-Jones potential function and the equations of motion are solved in successive time steps in which the tool (atoms) is moved through the part. Ironically, these methods were called upon because continuum mechanics approaches were felt to become inadequate at the atomic level. The atomic modelling approach, however, needs so many atoms and time steps to model the cutting process that its proper application is at this point awaiting even larger and faster computers to become available.
4.5. Material aspects in mechanical tool-part interaction

Many of the materials which are diamond-turned are polycrystalline. This means the tool constantly encounters different mechanical properties (figure 24). Owing to the deformation, stresses are introduced in the metal. The variations in residual stresses

1082

J Frame

Figure 24. The material properties depend on the orientation of crystals in the surface of the material. The response of the crystals to the cutting action is different and the crystal boundaries may become visible after cutting.

and relaxation phenomena in individual crystals may result in protrusions (order of nanometres) of the crystals above the surfaces. These effects depend upon the depth of cut and the sharpness of the diamond tool (Ohmori and Takada 1982). Another practical limitation of the achievable surface finish is the amount and size of hard impurities in the material. Alloys are generally obtained from oxide or sulphide ores. Some impurities from the pyrometallurgic treatment and refinement processes applied are always present. These hard particles can cause chipping of the diamond tool; sometimes they are merely dragged through the surface for a while, which results in scratches. Another effect that has been observed experimentally, which is very difficult to incorporate in continuum models of chip formation, is that plastic deformation in some materials happens intermittently in very localised shear zones (figure 2 5 ) . It appears as if the deformation has become unstable in very small regions. The chip shows a lamellar structure, the boundaries of the lamellae being the areas in which intense shearing has occurred. Obviously, the best results are obtained with either very

Figure 25. Chip formation sometimes involves shear in very local shear zones, resulting in a distinct lamellar chip structure.

Manufacturing techniques f o r complex shapes

1083

clean materials having a very fine crystal structure or, even better, an amorphous matrix (Taylor et a1 1985).
4.6. Fracture versus plasticity; ductile regime grinding

A distinct transition between modes of material removal is observed in precision machining of brittle materials. Fracture is a common mode of material removal in rough grinding. At small depths of cuts (0.1 p m typically), most glasses, ceramics and also silicon and germanium can be removed in a ductile fashion by shearing of the material into chips, much as in metal cutting (Broese van Groenou et a1 1978, Bifano et a1 1987). This transition has been attributed to mechanical, chemical and thermal effects. In conventional glass grinding, conditions can be such that the generation of heat in the contact zone leads to thermal softening or even melting of glass (Schinker and Doll 1984). In precision grinding, thermal effects are less prominent (Bifano 1988) and the transition is probably more determined by mechanical effects (the competition between fracture and plastic flow phenomena). In the fracture mode of material removal, cracks extend deep under the surface (10-20 p m typically). Such a damaged layer has to be removed by polishing or etching. It can be more economical to grind or turn under such conditions so as to avoid cracking and prolonged polishing. The phenomena in grinding with regard to plasticity and cracking are often compared to those encountered in indentation of glass and ceramics. In indentation tests a distinction is made between blunt and sharp indenters. The events under these types of indenters are markedly different (Lawn and Wilshaw 1975). Under blunt indenters, compressive stresses develop after initial contact (Hamilton 1966, Swain and Hagan 1976, Lawn and Marshall 1978, Ostojic and McPerson 1987). Tensile stresses arise at the perimeter of the contact area. At a load which depends upon the indenter geometry, friction coefficient and Youngs modulus of the materials, cone cracks initiate at the perimeter of the contact area (figure 26). Further loading of the indenter can provide the driving force to extend them in such a direction as to
Loading Unloading

A
Spherical indenter

Plastic zone

43Loading
I

Cone crack

Unloading

Figure 26. Under spherical indenters, cone cracks are observed. Using sharp indenters, median. radial and lateral cracks are observed.

1084

J Franse

avoid the compressed region under the indenter. If plastic flow occurs, it will be in a hemispherical region under the surface of the glass. If a blunt indenter is further loaded after the cone cracks have occurred, a so-called median crack will initiate. This crack type originates subsurface from the boundary of the plastically deformed zone. It extends down into the material. During unloading, the cracks tend to close. Small mismatches prevent this from happening completely. Under sharp indenters, the stresses at the tip of a sharp indenter always cause plastic flow. Boussinesqs solution (Timoshenko and Goodier 1970, Johnson 1985) for the elastic stress field under a point load on an infinite half-space predicts tensile stresses under the tip of the indenter and in a region adjacent to it at the surface. Stress fields under indenters of finite sharpness and size are more compressive in nature. At a load which depends upon indenter geometry, friction and material properties, a median crack may initiate (figure 26). It extends downward and sideways to form a pennyshaped crack. The sideways extension may make the crack visible from the surface. Cracks visible at the surface running in the radial direction are called radial cracks. Sometimes these cracks are independently formed; often they are extended median cracks that have reached the surface. Upon unloading, the median crack closes (not perfectly). The plastically deformed material now acts to generate tensile stresses along the boundary of the plastically deformed zone. Radial cracks are often observed to pop in during the unloading phase of the indentation. With somewhat blunter indenters and at lower peak loads, median cracks are sometimes observed during the unloading phase. Saucer-like cracks running approximately parallel to the surface may initiate during unloading (lateral cracks).
4.6.1, Implications of indentation phenomena for ductile regime grinding. Conical indenters (grinding grains) act as sharp indenters provided that the included angle of the indenter (grain radius) is not too large. Otherwise the phenomena start to resemble those described for blunt indenters. Sharp indenters (grains) promote plastic flow and ductile material removal. However, they also cause median cracks. With blunter grains the phenomena start to resemble those under spherical indenters: less plasticity and a smaller chance of median crack initiation. The fundamental question that has not yet been answered completely is whether a given material, grain geometry, load situation and friction coefficient should be considered to be blunt or sharp, and whether cracking can be expected. Different approaches to answer this question are reported in the literature. In general, cracks pop in from pre-existing flaws in the material. These flaws can be considered as very small pre-existing cracks. They cause stress concentrations locally that can be much higher than the nominal stress in the surrounding material. In theory the local stress at the tip of a sharp pre-existing flaw goes to infinity. If knowledge about the load and the inherent flaws in the material is available, and little plasticity occurs, linear elastic fracture mechanics ( L E F M ) theory (Broek 1974, Bradt et a1 1974) can be used to characterise the stress field around flaws in the material in terms of the so-called stress intensity factor ( K ) .The stress intensity factor K is also known as the fracture toughness. This parameter can be interpreted as a measure of the severity of the singularity of the stress field given a well-defined pre-existing flaw. The numerical value of K in a given situation (nominal stress field, given crack size and shape) is found as an integral of a stress component along the edge of the pre-existing crack. The stress intensity factor is therefore also related to the elastic energy stored in the material. For brittle materials this energy can be compared to the surface energy needed

Manufacturing techniques for complex shapes

1085

to form free crack surfaces if the pre-existing flaw is extended, and so the stress intensity factor can be used as a cracking criterion. In the LEFM approach, cracking occurs if the stress intensity factor K in the material exceeds a critical value K,, which is higher for tougher materials. Unfortunately, the shape, size and position of the inherent flaws are unknown a priori in a piece of glass. This problem is overcome in theoretical models in different ways (Lawn and Evans 1977, Ueda et a1 1983). Some approaches assume a worst-case pre-existing flaw to be present at every site where the stress is critical (Kirchner and Conway 1985, Larchuk et a1 1985). Others take the notch caused by the indenter penetrating the material as the determining flaw. Without detailed knowledge of the stress field in the material and the distribution of pre-existing flaws, the stress intensity factors are not easily estimated. In some glasses the plastic flow occurs in so-called shear bands and these may act as crack nuclei from which the median cracks then start (Hagan 1980). The problem in determining suitable failure criteria for ductile grinding modelling work is that the test results usually show size, environmental and surface treatment effects (Westwood 1974, Atkins and Mai 1986). To date, a model that adequately describes the effects of grain geometry, friction, cooling, depth of cut and load conditions upon the ductile-brittle transition observed in ductile grinding does not exist. Such a model has to incorporate criteria for plasticity and fracture. The geometry and material aspects make the contact problem non-linear and call for numerical techniques to simulate the events in ductile grinding. Experimentally, in indentation, scribing and grinding a number of trends are observed that can be corroborated with predictions based on stress analyses of these situations. Bifano (1988) proposed the use of a critical depth of cut, z,, which depends on a brittleness index of the material similar to that proposed by Marshall and Lawn in 1986:
2, = H 3

-c.

EK:

In this formula, c is a constant for a given grain geometry, E is Youngs modulus, H is the hardness, and K , is the fracture toughness (critical stress intensity factor) of the material. Such a brittleness index is useful to rank different materials and to estimate the allowable cutting depth per grain in the ductile grinding regime. Investigators have found that ductile regime grinding is more easily achieved with resin- (a type of polymer) than with metal-bonded grinding wheels. The resilience of the resin helps to avoid high loads per grain in the contact area. Experiments and development of the theory of ductile regime grinding are currently in progress in many laboratories.
4.7. Electrochemical aspects o f material removal

In precision machining, two sorts of electrochemical effects can be distinguished: (i) controlled effects, instrumental for material removal; (ii) undesired, ill-understood (seemingly random) phenomena. Examples of both will be given in this subsection.
4.7.1. Material removal by chemical etching and similar processes. In polishing, elastic emission machining and processes such as plasma-enhanced chemical etching, chemical reactions are instrumental in the removal process. The exact reaction mechanism and

1086

J Franse

interaction between mechanical and electrochemical effects are not always completely understood (Brown 1987). The approach taken here is first to describe the material removal by pure chemical action. Next the different ways in which the etching rate is enhanced in various processes will be indicated. Chemical reaction at the surface can be used to remove or deposit material. The possible reaction mechanisms are specific to the part material and the process under consideration. In etching, solid part material is dissolved or volatilised and the reaction product can be transported away from the surface. In deposition processes the material to be applied is brought to the surface in mobile form and deposited at the surface. Suppose we have a metal part denoted by Me, a reactant R and at the surface a volatile or dissolvable component MeR is formed. If the reaction is reversible and the reaction rates are k, for etching and kd for deposition, the reaction equation may be written as Me+ R e MeR.
kd

ke

The rate at which the concentration of MeR changes depends on the reaction rates and the concentrations [RI and [MeR]. The rate equation for the concentration of [ MeR] becomes d[MeR]/dt = k,[R] - k,[MeR]. A positive value of d[MeR]/dt means that etching takes place, a negative rate indicates deposition conditions. The reaction may be forced to proceed in one direction. According to van t Hoffs law, a temperature increase favours the direction in which a reaction is endothermic (consumes heat). A change in one of the concentrations [RI or [MeR] will also make the reaction proceed in one direction. Methods to influence the concentrations of the components at the surface are to transport them to or from the surface (flow of gas or liquid over the part, diffusion- or charge-promoted transport). In some reactions more gaseous components are formed if the reaction proceeds one way than if it proceeds in the other direction. In such cases, Chateliers law states that increasing the total pressure will make the reaction proceed in the direction that reduces the amount of gaseous components formed (yielding to the increased pressure). Returning to the example reaction, the reaction rate constant k, can be expressed as k,= C exp(-E,/kT). In this so-called Arrhenius equation, C is a constant, E, is an activation energy, k is Boltzmanns constant and T is the temperature. The value of the activation energy may be decreased if the reactant R arrives at the surface with an extra kinetic or potential energy which it loses on impact with the surface. Such an energy may be supplied by an electrical field or a plasma between the part and a puck moving over it as in PACE (plasma-assisted chemical etching). In this way the reaction can also be forced to proceed in one or the other direction. If the reaction rate at the surface is equal in all directions, the process is said to be isotropic. Otherwise the process is anisotropic. Some processes (PACE, reactive ion etching) can be controlled from isotropic to anisotropic. Theories explaining the development of the shape of a surface formed by processes such as PACE and ion beam milling have been reported in the literature (Smith and Walls 1980, Bollinger and Zarowin 1988).

Manufacturing techniques for complex shapes

1087

The analysis starts with a differential equation describing the material removal rate at an arbitrary point of the surface:

ah -(~,y,t)=*k, at
If k, does not depend on the local surface slope, the process is isotropic. Gallatin and Zarowin (1988) showed that for a purely anisotropic process k, depends on the local slope of the surface. In processes such as PACE the dependence of k, on the local surface curvature can be controlled by appropriate choice of the process parameters. Different parameters lead to varying shapes of the footprint of the puck that is moved over the part. The shape of the evolving surface can be calculated as a convolution of the footprint of the puck and its dwell time on various areas of the part surface.
4.7.2. Uncontrolled chemical effects in precision machining. In precision grinding and diamond turning, electrochemical effects are in general not deliberately controlled. They are experienced as random disturbances often associated with problems of reproducibility. In these processes the normal mode of removal is either plastic flow or fracture. Chemical etching rates are low compared to these mechanical mechanisms. The hardness and fracture toughness of materials can, however, be drastically altered by electrochemical effects. A mechanical load on the material that in one environment leads to plastic flow may in another give rise to cracking. In rock drilling this effect is gratefully exploited: the use of certain cutting fluids is seen to increase the cutting rate compared to water-cooled diamond drilling of rocks, because the rock fractures more easily. Unfortunately, the most common coolant in precision grinding, water, appears to have a similar effect on most optical glasses which are prime candidates to be precision-ground. To complicate matters further, even if surfaces are produced which are initially crack-free, cracks may initiate later. Moisture from the atmosphere may lower the fracture toughness of the surface material over time, and the residual stresses may cause deformation-induced crack nuclei under the surface to grow.

5. Dynamic behaviour of the closed loop (machine + process)


5.1. Introduction

In section 3 the role of the machine statics and dynamics upon the machined surface quality has been discussed. Besides these machine-induced dynamics, dynamic effects may also be introduced by the process. The interaction between the process and the machine depends upon the nature of the particular process. In general the interaction excites the machine structure. This leads to changes in the parameters that control material removal. The resultant irregularities on the part may in themselves lead to new excitation if the tool passes over that same area again (memory effect). To make this general idea more concrete, it will be illustrated by looking at some processes. In polishing, the amount of material removal in the area under the lap depends on the pressure distribution over it. This in turn depends on the conformity and resilience of the lap material and the dimensions of the part and lap. The resulting pressure distribution will be imaged in the part as the lap is moved over it. Care has to be taken to average these effects out by controlling the stroke length and dwell time of the lap over the part. Once undulations are introduced, the pressure distribution

1088

J Franse

during subsequent strokes of the lap changes. This may lead to amplification of the effect and loss of form accuracy of the part. In diamond turning, the controlled parameters are the nominal depth of cut and the feed rate. Pre-existing roughness, crystal boundaries, anisotropy and chip formation give rise to fluctuating cutting forces. These excite the machine structure and lead to vibrations, or in other words, fluctuations around the nominal depth of cut. For diamond turning and grinding, the interactions between the machine and process are well understood. The vibrations resulting from the interaction are often called chatter vibrations. This term stems from conventional machining practice in which chatter vibrations sometimes grow to such amplitudes that tool-part contact becomes intermittent and noisy. The undulations visible on the part are called chatter marks. The conditions leading to chatter vibrations are discussed briefly in the last paragraph of this section. The literature on chatter phenomena is extensive. Some references in which the phenomena are treated in greater depth are: Weck and Teipel (1977), Matsubara et a1 1985, Sexton et a1 1982.

5.2. Surface quality in diamond turning and grinding


In section3 the advantages of stiff, well-damped machines have been shown. The question that remains is just how stiff should a machine be for a required surface quality. For the simplified system depicted in figure 27 the question of adequate stiffness for specified surface quality can be answered to some extent ( z o and z, are the intended and actual depths of cut, z , is the displacement of the spindle, or in other words the compliance resulting from a force Fc).The cutting force F, in this model is assumed to increase linearly with the instantaneous depth of cut, z,: F, = kcz,. In this formula, k, is the so-called cutting stiffness. The required form accuracy dictates the required static stiffness k , of the machine necessary to cut a given profile (in one pass), since z,=z~-z,. Suppose the acceptable surface roughness of the part is specified as an RMS value over a given spatial frequency range. If the power spectrum of the process force F, (excitation) and the dynamic compliance (transfer function) of the structure are known, the roughness spectrum of the part follows as the product of these two and the gain
Pari t spindle

I'

Figure 27. One-dimensional dynamic model of a turning operation

Manufacturing techniques for complex shapes

1089

factor (cutting stiffness) due to the cutting process. The R M S roughness value can then be obtained by integration of the roughness spectrum over the appropriate frequency range (Bendat and Piersol 1971). Dynamic simulations to investigate tool motion and the roughness to be expected have also been done in the time domain (DeVries and Evans 1984). Unfortunately, the flexibility of a machine tool (and the mounted part) in a realistic operation generally vary with the orientation of the tool with respect to the part. A realistic machine and cutting process may have a more complex dynamic behaviour (Tlusty and Ismail 1981, Luttrell and Dow 1988). Owing to machine vibrations, the depth of the groove cut may become modulated in the azimuthal direction of the part (Kondo et a1 1981, Takasu et a1 1985) in the following way (see figure 28). The feed rate in diamond turning is generally low, so there is a partial overlap between tool marks made in successive revolutions of the part (figure 28). During the next revolution of the part (in control terminology, after a time delay), this geometric effect and the machine tool vibration alter the instantaneous depth of cut. (Owing to the overlap, the tool encounters more or less material depending on the machine tool vibration during the former revolution of the spindle, so there is a memory effect.) Let us return for a moment to the simple example operation of figure 27 and analyse its behaviour in the Laplace domain. The cutting stiffness k, and the transfer function of the machine (dynamic compliance) are recognised in the block diagram of this operation (figure 29). The machine compliance modifies the intended depth of cut, z,,, to z , , and this is expressed by the inner feedback loop. The second modification of the intended depth of cut by the overlap effect can be seen as an extra feedback loop (figure 29). It expresses the time delay ( T ) associated with the spindle speed and the amount of overlap ( p ) between the grooves cut in successive revolutions of the spindle; p ranges from zero for no overlap to unity for total overlap. By analysis of the denominator of the overall transfer function of the closed-loop system, combinations of feed rate, angular velocities and nominal cutting depth can be found at which the system is stable. If the system reaches the limit of stability, self-generated harmonic movements of the tool occur and chatter marks become visible on the cut surface. A conservative criterion that ensures stability was calculated by Merrit (1965):

k,/ k,,, < 0.5.


In this stability criterion, k,,, is the minimal dynamic stiffness (maximum compliance) of the machine tool. The formula and the block diagram of the cutting operation illustrate some general rules. Damping and rigidity in the machine structure are very important (low kmin). Harder, stiffer materials or the use of blunter tools (larger contact area per depth of cut) will result in larger k, values. This means that the cutting forces will be greater under the same cutting conditions. Machines to cut these materials have to be stifferto avoid chatter marks. Chatter is likely to occur if the excitation frequency is close to a lightly damped resonance of the machine structure. In diamond turning, the cutting forces are usually of the order of 250 mN, low enough to avoid unstable growth of chatter vibrations. Comparing diamond turning and grinding, the factors discussed above are less favourable in grinding. This makes the process more susceptible to chatter than diamond turning (Thompson 1986, Matsubara et a1 1986). In grinding, waviness can develop on the part as well as on the grinding wheel, and the wheel has to be reconditioned periodically. For the recently developed precision-machining processes the interactions between the process and the machine are not extensively described in the literature. It is evident

1090

J Frunse
Diamond tool

+
'
Axis of rotation of the part

a) High feed rate, no overlap, no vibrations


1

c) High feed rate, no overlap, tool vibrations


1 2

b) Lower feed rate, overlap, no vibrations


1 2 3 4 5

d) Lower feed rate, overlap, tool vibrations 1 2 3 4 , 5 ,6

Figure 28. In diamond turning, the grooves cut in successive revolutions of the part generally partially overlap one another. The instantaneous depth of cut therefore depends on the tool vibrations and the depth of the groove made in the former revolution.

Figure 29. Block diagram for the turning operation of figure 27. The feedback paths are caused by vibrations and the partial overlap between grooves cut in successive revolutions of the part.

that processes in which vastly different material removal rates over small areas are common are less forgiving than processes with lower removal rates, larger contact areas and long-time averaging. In general, for processes in which no mechanical contact between tool and part occurs, the interaction between the process and the equipment will be much less.
6. Conclusion

In 1983, diamond turning was the technique for the fabrication of complex-shaped surfaces with micron level accuracy. Today, diamond turning has been developed so

Manufacturing techniques for complex shapes

1091

far that 0.1 p m form accuracy is realised routinely. Precision grinding is still very much under development; 0.1 p m form accuracy is achieved on a laboratory scale and for single-piece, special-care cases. Both these technologies drive the development of stiffer, better-damped, more accurate machine tools and components. On-line optical metrology has made cutting, measurement, recutting and compensation in one position in shorter cycle times feasible. The necessity for such an iterative procedure stems from the fact that we are at present still unable to mount a tool and find the position of axes of rotations and translations of the machine blindfolded to within the required accuracy. Temperature control techniques of precision machine tool environments and design of hydrostatic bearings are other fields in which much progress has been made in recent years. The fundamental limits of mechanical material removal mechanisms are currently explored but have not yet been established. There is at this point no apparent limitation that prevents the use of mechanical removal processes to achieve form accuracy of complex parts to within 10 nm. It has been recognised that various modes of removal exist, but the transitions between these have not yet been characterised completely. Lately, adaptive control techniques and digital signal processors capable of handling very fast multiple-input-and-output control schemes have become available. These have been applied on a laboratory scale to enhance the accuracy and dynamic behaviour of mechanical structures. This can be expected to have an impact on precisionmachining technology in the near future. Many of the processes based on etching and beam technology recently described in the literature are still in the research stage. Some are sparsely applied to produce single-piece parts. A number of these techniques can be expected to become valuable production techniques capable of nanometre level accuracy.

References
Andrea J 1987 SPZE 803 3-7 Archard J F and Hirst W 1956 Proc. R. Soc. Lond. A 236 515-28 Atkins A G and Mai Y W 1986 J. Mater. Sci. 21 1093-120 Atkinson D P, McClure E R and Saito T T 1988 Proc. 6th Znt. Con$ Precision Engineering (Osaka: JSPE) Beckstette K 1986 SPIE 645 58-64 Bendat J S and Piersol A G 1971 Random Data: Analysis and Measurement Procedures (New York: Wiley) Bifano T G 1988 PhD Thesis North Carolina State University, Raleigh, NC Bifano T G, Blake P N, Dow T A and Scattergood R 0 1987 SPZE 803 12-22 Bifano T G, Dow T A and Scattergood R 0 1988 Proc. Zni. Congr. Ultra-precision Technology (Aachen) (Berlin: Springer) pp 22-40 Blaedel K L 1980 Error reduction Rep. Machine Tool Task Force, Vol 5, UCRL-52960-5 Blake P 1988 P h D Thesis North Carolina State University, Raleigh, NC Bollinger D and Zarowin C B 1988 SPZE 966 82-97 Bouwhuis G et a1 1985 Principles of Optical Disc Systems (Bristol: Adam Hilger) Bowden F P and Tabor D 1950 The Friction and Lubrication ofSolids (Oxford: Clarendon) Braat J J M 1983 Philips Tech. Rev. 41 (10) 289-95 Bradt R C, Hasselman D P H and Lange F F 1974 Fracture Mechanics of Ceramics (New York: Plenum) Bredel L J and Prins J F 1982 Wear 76 177-87 Brehm R, Driessen C J , van Grootel P and Gijsbers T G 1985 Prec. Eng. 7 ( 3 ) 157-61 Brodmann R 1986 Wear 109 1-13 Broek D 1974 Elementary Engineering Fracture Mechanics (Leyden: Noordhoff) Broese van Groenou A, Maan M and Veldkamp J B D 1978 Proc. 2nd Znt. Symp. Science ofceramic Machining (Gaithersburg, MD: NBS) pp 43-60

1092

J Franse

Brown N J 1978 Opt. Eng. 17 (6) 602-20 -1987 Proc. 4th Int. Precision Engineering Con$ (Cranfield) Brown N J, Baker P C and Maney R T 1981 SPIE 306 42-57 Bryan J B 1978 Opt. Eng. 1 (1) 13-8 -1979 Prec. Eng. l ( 3 ) 29-32 Carrel J T, Dow T A and Strenkowski J S 1986 SPIE 676 105-10 Challen J M and Oxley P L B 1979 Wear 53 229 Chapman P D 1985 Prec. Eng. 7 (3) 129-37 Chetwynd D G 1987 Prec. Eng. 9 (1) 3-6 Church E L, Vorburger T V and Wyant J C 1984 SPIE 508 71-82 de Gast J G C 1966 Proc. 7th Int. M T D R Con$ (Oxford: Pergamon) DeVries W R and Evans M S 1984 Ann. C I R P 3311 15-8 Dieter G E 1981 Mechanical Metallurgy (New York: McGraw-Hill) Dodd B and Bai Y 1987 Ductile Fracture of Metals (New York: Academic) Donaldson R R 1980 Error budgets Rep. Machine Tool Task Force, Vol5, UCRL-52960-5 Donaldson R R and Patterson S R 1983 Design and construction of LODTM U C R L Rep. 89638 Donmez M A, Blomquist D S, Hocken R J, Liu C R and Barash M M 1986 Prec. Eng. 8 (4) 187-95 Downs M J, McGivern W H and Ferguson H J 1985 Prec. Eng. 7 (4) 211-5 Erdelyi F, Nemes L and Orban P 1980 Proc. 3rd I F A C / IFIPSymp. (Budapest) (Oxford: Pergamon) pp 29-33 Ernst A 1988 Machine Design (21 July) 77-83 Estler W T 1985a Opt. Eng. 24 (3) 372-9 -1985b A& Opt. 24 (6) 808-15 Evans C 1987 Precision engineering: an evolutionary perspective MSc Thesis, Cranfield Institute of Technology Falter P J and Dow T A 1987 Prec. Eng. 9 (4) 185-90 Foster L W 1980 Modern Geometric Dimensioning and Tolerancing (Washington, DC: National Tooling and Machining Association) Franks A 1988 Proc. Int. Congr. Ultra-precision Technology (Aachen) (Berlin: Springer) pp 255-70 Franse J and de Jong G J 1987 SPIE 803 43-52 Franse J and Roblee J W 1990 Prec. Eng. to be published Fuller D D 1969 Trans. A S M E , J. Lubr. Techno!. (1) 1-16 Furukawa Y, Moronuki N and Kitagawa K 1986 Ann. C I R P 35/1 279-82 Fynn G W and Powell W J A 1988 Cutting and Polishing Optical and Electronic Materials (Bristol: Adam Hilger) Gallatin G M and Zarowin C B 1988 SPIE 966 98-107 Gijsbers T G 1980 Phdips Tech. Reo. 39 (9) 229-34 Gross W A, Matsch L A, Castelli V, Eshel A, Vohr J H and Wildmann M 1980 Fluid Film Lubrication (New York: Wiley) Hagan J T 1980 J. Mater. Sci. 15 1417-24 Haisma J and Gijsbers T 1983 Philips Tech. Reo. 41 (10) Hamilton C M 1966 J. Appl. Mech. 33 371-6 Hansen J H 1983 SPIE 27th Int. Tech. Symp. and Instrument Display (San Diego, C A ) Hedges A R and Parker R A 1988 SPIE 966 13-8 Hill R 1971 The Mathematical Theory of Plasticity (Oxford: OUP) pp 317-34 Hocken R J 1980 Rep. Machine Tool Task Force, Vol5, UCRL-52960-5 Holster P L, Jacobs J A H M and Sastra B 1984 Philips Tech. Reo. 41 (11/12) 334-7 Hoover W G 1982 Phys. Rev. Lett. 48 1818-20 - 1984 Phys. Today (January) 44-50 Horne D F 1972 Optical Production Technology (Bristol: Adam Hilger) Johnson K L 1985 Contact Mechanics (Cambridge: CUP) Kanai A, Noguchi K, Okada T and Miyashita M 1988 Abstracts ASPE Ann. Con$ (Atlanta, G A ) pp 70-2 Kirchner H P and Conway J C 1985 Machining of Ceramic Materials and Components, Proc. A S M E Ann. Meeting PED1 7 p 53 Koch J 1975 Machine Design (February) 92-7 Kohno T, Ozawa N, Miyamoto K and Musha T 1985 Prec. Eng. 7 (4) 231-2 Kondo Y, Kawano 0 and Sat0 H 1981 Trans. A S M E , J. Eng. Ind. 103 324-9 Koren Y 1986 Appl. Mech. Rev. 39 (9) 1331-8 Larchuk T J, Conway J C and Kirchner H P 1985 J. Am. Ceram. Soc. 68 (4) 209-15 Lawn B R and Evans A G 1977 J. Mater. Sci. 12 2195 Lawn B R and Marshall D B 1978 Fracture Mechanics of Ceramics 3 (New York: Plenum) pp 205-29

Manufacturing techniques for complex shapes

1093

Lawn B R and Wilshaw R 1975 J. Mater. Sci. 10 1049-81 Lee E H and Schaffer B W 1951 J. Appl. Mech. 20 405-13 Leroy G, Embury J D, Edwards G and Ashby M F 1981 Acta Metall. 29 1509-22 Lindsey K, Smith S T and Robbie C R 1988 Ann. CIRP 3711 519-22 Lo-A-Foe T C G 1989 PhD Thesis Technical University of Eindhoven Loewen E G 1978 Proc. S M E Precision Machining Workshop (Williamsburg, V A ) Loewenthal M D , Dow T A and Scattergood R 0 1988 Proc. A S P E Con$ (Atlanta, G A ) pp 112-5 Luttrell D E and Dow T A 1987 Prec. Eng. 9 (4) 191-200 -1988 Proc. A S P E Conf: (Atlanta, G A ) pp 30-2 Maehata H, Kamada H and Yamamoto M 1987 Prec. Eng. 9 (1) 31-43 Malacara D 1988 Physical Optics and Light Measurements (New York: Academic) pp 49-105 Marion J T 1986 Appl. Phys. Lett. 47 694 Marshall D B and Lawn B R 1986 A S T M Spec. Tech. Publ. 899 26-46 Masory 0 1986 Trans. A S M E , J. Eng. Ind. 108 227-30 Matsubara T, Mizumoto H and Yamamoto H 1986 Bull. Jpn. Soc. Prec. Eng. 20 (4) 272-7 Matsubara T, Yamamoto H and Mizumoto H 1985 Bull. Jpn. Soc. Prec. Eng. 19 (4) 260-5 McClure E R 1969 PhD Thesis UCRL-50636 McKeown P A 1987 Ann. CIRP 36/2 495-501 Merrit H E 1965 Trans. A S M E , J. Eng. Ind. 87 447-54 Miyamoto I 1987 Prec. Eng. 9 (2) 71-8 Miyashita M 1988 Proc. Int. Congr. Ultra-precision Technology (Aachen) (Berlin: Springer) pp 41-57 Moore W R 1970 Foundations of Mechanics! Accuracy (Bridgeport, CT: Moore Special Tool CO) Mori Y, Yamauchi K and Endo K 1988 Prec. Eng. 10 ( 1 ) 24-8 Muijderman E A 1979 Tribol. Int. 12 131-7 Muijderman E A, Remmers G and Tielemans L P M 1980 Phil. Tech. Rev. 39 (6/7) 184-98 Muijderman E A, Tangena A G, Bremer F, Holster P L and van Montfoort A 1988 Proc. Leeds-Lyon Symp. in press Nakano Y, Kat0 H and Low S K 1986 Bull. Jpn. Soc. Prec. Eng. 20 ( 1 ) 43-4 Nishigushi T, Maeda Y, Sawa M and Ito R 1988 Ann. CIRP 3711 117-20 Ohmori G and Takada S 1982 Bull. Jpn. Soc. Prec. Eng. 16 (1) 3-7 Ostojic P and McPerson R 1987 In?. J. Fract. 33 297-312 Patterson S R and Magrab E B 1985 Prec. Eng. 7 (3) 123-8 Pollard A F C 1929 The Kinematical Design of Couplings in Instrument Design (London: Adam Hilger) Polvani R S and Evans C 1988 Proc. Int. Congr. Ultra-precision Technology (Aachen) pp 271-86 Preston F W 1927 J. Glass Technol. 1 1 124 Rabinowicz E 1965 Friction and Wear of Materials (New York: Wiley) Reynolds 1886 Phil. Trans. R. Soc. Lond. 177 157-234 Roblee J W 1985 UCRL 93540 Roblee J W and Mote C D 1986 Proc. 9th Int. Gas Bearing Symp. (Washington, DC: NBS) Rowe G W 1979 Mechanics of Metalworking Theory (London: Edward Arnold) Rowe W B 1983 Hydrostatic and Hybrid Bearing Design (Guildford: Butterworth) Ruzicka J E and Derby T F 1971 Influence of damping in vibration isolation Publication S V M - 7 Shock and Vibration Information Center, Naval Research Laboratories, Washington, DC Saito T T 1978 Opt. Eng. 7 (6) 570-3 Sanger G M 1983 SPIE 433 2-17 Saraf S P 1987 SPIE 803 124-37 Sastra 1984 Prec. Eng. 6 (1) 12-6 Schenz R F, Patterson S R and Saito T T 1988 SPIE 966 43-59 (also UCRL 99581) Schinker M G and Doll W 1984 Ind. Diamond Reo. (4) pp 234-9 Sexton J S, Howes T D and Stone B S 1982 Proc. Inst. Mech. Eng. 196 291-300 Shaw M C 1972 New Developments in Grinding (Pittsburgh, PA: Carnegie) Shepherd J 1985 Laser Beam Scanning (New York: Marcel Dekker) ch 4, pp 149-91 Slocum A H 1988 Prec. Eng. 10 (2) 85-91 Small D W and Hoskins S J 1986 SPIE 645 66-74 Smith R and Walls J M 1980 Phil. Mag. A 42 325 Smith T, Naerheim Y and Lan M S 1988 Tribol. Int. 21 239-47 Snoeys R, Maris M and Peters J 1978 Ann. C I R P 27/2 571-81 Stedman M 1987 Prec. Eng. 9 138-42 Stowers I F 1988 SPIE 966 62-73 Strenkowski J S and Carrol J T 1985 J. Eng. Ind. 107 349-54

1094

J Frame

Strenkowski J S and Mitchum G L 1987 Proc. N A M R C 15 pp 506-9 Suh N P 1977 Wear 44 1-16 Swain M V and Hagan J T 1976 J. Phys. D: Appl. Phys. 9 2201-14 Takasu S, Masuda M and Nishiguchi T 1985 Ann. C I R P 3411 463-7 Taniguchi N 1983 Ann. CIRP 3212 573-82 Taylor J S, Syn C K, Saito T T and Donaldson R R 1985 UCRL 93245 f ASPE: Patterns in Precision Instrumenf Design Teague E C and Evans C 1988 Course Maferial o Thomas T R 1982 Rough Surfaces (Harlow: Longman) Thompson R A 1986 Trans. A S M E , J. Eng. Ind. 108 75-82 Timoshenko S P and Goodier J N 1970 Theory of Elasficity (New York: McGraw-Hill) (the Boussinesq solution is described on p p 308-402) Tlusty J 1972 New Developments in Grinding ed. M C Shaw (Pittsburgh, PA: Carnegie) -1980 Testing of Accuracy of Machine Tools UCRL-52960-Suppl. 1 Tlusty J and Ismail F 1981 Ann. C I R P 3011 299-304 Trent E M 1984 Metal Cuffing (London: Butterworth) Tsutsumi M, Unno K, Yoshino M and Yamauchi F 1988 Proc. Int. Congr. Ultra-precision Technology (Aachen) pp 171-83 Uda Y, Onuki T, Watakai M, Kat0 T and Hashimoto F 1985 Prec. Eng. 7 ( 4 ) 225-9 Ueda K, Sugita T and Tsuwa T 1983 Ann. C I R P 3211 83-6 Van de Vegte J 1986 Feedback Control Sysfems (Englewood Cliffs, N J : Prentice-Hall) Vermeulen M and de Schepper M 1989 Proc. 5 f h Int. Con$ Tribology, Eurorrib '89 (Helsinki) vol 3, pp 180-5 Vorburger T V 1987 Ann. C I R P 3612 503-9 Vorburger T V, Gilsinn D E, Scire F E et a1 1986 Wear 109 15-27 Wasserman G D and Wolf E 1949 Proc. Phys. Soc. B 62 2-8 Weck M, Hartel R and Bispink T 1988 Proc. Int. Congr. Ultra-precision Technology (Aachen) pp 153-69 Weck M and Modemann K 1987 SPlE 803 87-92 Weck M and Teipel K 1977 Dynamiches Verhalten Spanender Werkzeugmaschinen (Berlin: Springer) Westwood A R C 1974 J. Mafer. Sei. 9 1871-95 Whitehead T N 1954 Design and Use oflnsfruments and Accurate Mechanisms (New York: Dover) Wyant J C, Koliopoulis C L, Bushan B and Basila D 1985 A S M E I A S L E Conf: (Atlanta, G A ) Technical Paper 85-Trib-15 Zwiers R J M and Dortant G C M 1985 Appl. Opt. 24 4483

Das könnte Ihnen auch gefallen