Sie sind auf Seite 1von 139

Objetivo:

Aprender el funcionamiento y la aplicacin bsica de conexiones de diodos emisores de luz (LED) por medio de interruptores y resistencias, as como conocer la construccin y funcionamiento de la tablilla de conexiones (Protoboard).

Hiptesis:
Se realizaran circuitos elctricos utilizando interruptores de distintos tipo y conectados de forma paralela y en serie, estos interruptores impedirn el flujo de la corriente elctrica ocasionado que el LED se encuentra encendido o apagado segn sea el caso.

Marco Terico:
Distribucin de la tablilla de conexiones (protoboard) La tablilla de conexiones est construida por un bloque central y dos tiras en los extremos. El bloque central est formado por grupos de cinco contactos conectados en comn, divididos por una canaleta central, de manera que cuando un componente o dispositivo se inserta en la tablilla, quedan cuatro contactos libres para interconexiones con las terminales del dispositivo. En las tiras de los extremos hay ocho grupos de 25 contactos comunes, las cuales son convenientes para seales como VCD (voltaje de corriente directa o positiva), GND (tierra o negativo) o cualquier seal que requiera ms de cinco contactos comunes. Es recomendable usar terminales o alambre de calibre 24 o 26 para la interconexin, ya que usar alambre de calibre ms grueso muy probablemente daara los contactos de la tablilla de terminales. Alambres Para la interconexin de los elementos del circuito dentro de la tablilla de conexiones, se recomienda usar alambres de calibre 24 o 26 para la interconexin, ya que un alambre de calibre ms grueso muy probablemente daara los contactos de la tablilla de conexiones, es conveniente tener preparados alambres de diferentes tamaos. Los extremos de los alambres debern estar descubiertos por lo menos 0.7 cm. con el propsito de establecer un buen contacto en la tablilla de conexiones. Para descubrir los extremos se recomienda utilizar un par de pinzas. Una pinza de punta para sujetar firmemente el alambre y la otra, de corte para cortar slo el plstico y estirar. Considere que el plstico del cable no es conductor y que slo la parte metlica del extremo es la que se debe introducir para hacer contacto con la tablilla de conexiones.

Diodo emisor de luz (Led) El Diodo emisor de luz es un componente electrnico semiconductor, que conduce la corriente solo en un sentido, cuando es polarizarlo directamente (nodo positivo y el ctodo al negativo). Como la corriente est circulando a travs del diodo ste emite luz, por lo es muy til en funciones de sealizacin, esttica y, actualmente, iluminacin. Una vez que el Led entra en conduccin es incapaz de limitar la corriente que pasa a travs de l, por lo que es necesario limitarla externamente usando un resistor colocado en serie con el Led de valor tal que no exceda el lmite mximo de corriente permitido, que podra daar permanentemente el Led. Existen muchos tipos de Leds con tamaos, caractersticas luminosas y elctricas muy distintas. Los Leds ms comunes son los llamados econmicos, de 5 mm, los cuales tienen una cada de voltaje en conduccin (encendido) puede variar de 1.8 a 2.4 V. Resistores Se denomina resistor al componente electrnico diseado para introducir una resistencia elctrica determinada entre dos puntos de un circuito. Los resistores se utilizan en los circuitos para limitar el valor de la corriente para fijar el valor del Voltaje. Un resistor se caracteriza por tres valores: resistencia elctrica, tolerancia y potencia mxima disipada. El valor de la resistencia y la tolerancia se indican normalmente en el encapsulado con un cdigo de franjas de colores como se muestra a continuacin.

Tabla de identificacin de resistencias

Push botn Es un interruptor de dos posiciones del tipo de no retencin y hay de dos tipos el normalmente abierto (NA) y el normalmente cerrado (NC), en donde la condicin normal es el interruptor sin presionar. El requerido para estas prcticas es el NA, se le denomina de no retencin porque al no presionarlo regresa a su posicin normal.

Desarrollo Experimental:

Materiales:
Un eliminador de bateras con la siguientes caractersticas: Voltaje de entrada 110-220 V de corriente alterna Frecuencia 50-60 Hz Intensidad de corriente mayor de 500 mA 3 diodos emisores de luz 3 resistencias de 330 de W 1 dip switch 3 Push buttons

Procedimiento:
1 circuito: se realiz una conexin del primer LED por medio del terminal nodo de dicho componente al contacto de VCD de la tablilla de conexiones y la terminal ctodo del LED a el primer contacto del bloque central del Protoboard, despus en el mismo contacto o hilera se conect un interruptor de no retencin normalmente abierto (push button) de 4 terminales, luego de esto se realiza una conexin de una resistencia de 330 en forma diagonal, es decir en la terminal del push button contraria a la terminal donde fue conectado el LED, y la otra terminal de la resistencia en la conexin GND (tierra o negativa) del Protoboard, no importa la forma o posicin que sea conectada la resistencia ya que esta no tiene polaridad.

Diagrama electrnico
nodo

Resultado

+V

Ctodo

NA

R = 330

Gnd

Diagrama electrnico usando un interruptor de no retencin.

Fotografa de circuito elctrico usando un interruptor de no retencin.

Discusin de resultados (1 circuito): Ya conectado correctamente los componentes, se oprimi el botn cerrando el circuito el cual permite el flujo de la corriente elctrica ocasionando que el LED se encienda y se apaga al momento de soltarlo.

2 circuito: se realizo una conexin con un segundo LED de la misma forma que el anterior (nodo en contacto VCD y ctodo en contacto de bloque central), despus se conectara un dip switch el cual es un interruptor de 2 posiciones de tipo deslizable de 8 entradas de flujo continuo, en este caso solo utilizaremos una entrada, una vez conectado el LED en cualquiera de los 8 contactos relacionados con el dip switch, del lado contrario del dip switch pero por el mismo contacto o hilera del LED se conectara una terminal de la resistencia de 330 y la otra terminal en Gnd (tierra o negativo).

Diagrama electrnico

Resultado

nodo

+V

Ctodo SW1

R = 330

Gnd

Diagrama electrnico usando un dip switch (interruptor deslizable).

Fotografa del circuito usando un dip Switch (interruptor deslizable).

Discusin de resultado (2 circuito): Comprobando que las conexiones son correctas, deslizamos el interruptor (dip switch) de OFF a ON, el cual cierra el circuito provocando el flujo continuo de la corriente elctrica, esto ocasiona que el LED se encienda y el LED se apaga solo hasta que vuelvas a deslizar el interruptor y abra el circuito.

3 circuito: este circuito se realiz por medio de 2 push button A y B conectados en serie con una LED y su respectiva resistencia, primeramente se conect el de la lnea de VDC a un contacto central, como siguiente paso se conect la terminal izquierda superior de un push button (push button A) a la hilera donde est conectado el LED, despus se conect el segundo push button (push button B) del lado izquierdo que el interruptor anterior. En push button A en la Terminal de salida del lado contrario a donde est conectado el LED, se conect un alambre calibre 24 o 26 a la terminal de entrada del push button B, despus de esto se conect una resistencia de 330 en la terminal de salida del push button B del lado contrario del alambre a la lnea de Gnd (tierra o negativo) del Protoboard.

Diagrama electrnico

Resultado

+V

Ctodo

NA

NA

R = 330

Gnd

Diagrama electrnico de un circuito en serie utilizando dos push buttons.

Fotografa del circuito en serie usando dos push buttons (interruptor de no retencin).

Discusin de resultados (3 circuito): Ya conectado nuestro circuito en serie realizaron pruebas teniendo un valor de salida S, oprimiendo los botones A y B se realiz una tabla el cual se consider que el valor de salida S = 1, correspondo al LED encendido y el valor de salida S = 0, corresponde al LED apagado teniendo en cuenta que para los botones A y B, el valor 0 corresponde al botn sin oprimir y el valor 1 corresponde al botn oprimido, llegando el siguiente resultado.

Push button A 0 0 1 1

Push Button B 0 1 0 1

S 0 0 0 1

4 circuito: para este circuito se utilizaron nuevamente dos push button pero esta vez sern conectados en forma paralela, como primer paso conectaremos los dos push button (A y B), uno al lado del otro, en la hilera de la terminal de entrada del push button A se conectara el LED hacia la lnea de VDC, en esa misma hilera se conectara un alambre hacia la hilera de la terminal de entrada del push button B, como siguiente se conectara otro alambre de la terminal de salida del push button A del lado contrario de la terminal donde fue conectado el LED, hacia la terminal de salida del push button B del lado contrario de donde fue conectado el primer alambre, por ltimo en la hilera o contacto donde fue conectado el alambre de la terminal de salida del push button B, se conectara una resistencia de 330 hacia la lnea de Gnd (tierra o negativa) del protoboard.

Diagrama electrnico

Resultado

+V

Ctodo

NA

NA

R = 330

Gnd

Diagrama electrnico de un circuito en paralelo utilizando dos push buttons.

Fotografa del circuito en paralelo usando dos push buttons (interruptor de no retencin).

Discusin de resultados (4 circuito) Se realizaron pruebas como el 3 circuito utilizando la misma metodologa que es: Teniendo un valor de salida S, oprimiendo los botones A y B Se consider que el valor de salida S = 1, correspondo al LED encendido El valor de salida S = 0, corresponde al LED apagado.

Teniendo en cuenta que para los botones A y B. El valor 0 corresponde al botn sin oprimir. El valor 1 corresponde al botn oprimido.

Los resultados fueron capturados en una tabla siendo los siguientes: Push button A 0 0 1 1 Push Button B 0 1 0 1 S 0 1 1 1

En el laboratorio de Digital se realiz una 5 prctica que consiste en conectar un LED en forma paralela a un dip switch la cual se realiz de la siguiente forma: Se conect un Led de la lnea de VCD a una hilera de los bloques centrales del protoboard, despus se conect un dip swicht donde la primera entrada del dip swicht se conectara en la misma hilera del LED, como siguiente se conectara u alambre desde la hilera de entrada 1 del dip switch donde est conectado el LED hacia cualquiera de la entradas del dip switch (en este caso la entrada 5), luego en las terminales de salida del dip swicht se conectara un alambre desde la hilera de la primera salida hasta la hilera donde se haya conectado en otro alambre de entrada (entrada 5 para este ejemplo), el siguiente paso sera conectan la resistencia de 330 desde la hilera de salida donde fue conectado el alambre (salida 5) hacia la lnea Gnd (tierra o negativa) del protoboard.

Resultado

Fotografa del circuito en paralelo usando dip switch (interruptor deslizable).

Fotografa del circuito implementado.

Fotografa de los circuitos realizados: 1 circuito con interruptor de no retencin, 2 circuito con interruptor deslizable, 3 circuito en serie con dos interruptores y 4 circuito en paralelo con dos interruptores.

Cuestionario
Qu es un LED? Es un diodo emisor de luz (Light Emitting Diode).

Cmo identificas las terminales de nodo y ctodo de un LED? La terminal del lado plano es el ctodo y la otra terminal es el nodo.

Cul es la ecuacin para determinar la corriente que pasa a travs de un LED? Se utiliza la ley de ohms .

Qu pasara con un LED si se conecta directo a una fuente de voltaje sin resistor? Se enciende y si la corriente es mayor a la que soporta se funde.

De qu depende la intensidad luminosa de un LED? De la intensidad de corriente que se le aplique y la resistencia.

A qu rango de voltaje se le considera un 1 lgico en los dispositivos digitales ms comunes? Entre 2.0 y 5.0 volts.

A qu rango de voltaje se le considera un 0 lgico en los dispositivos digitales ms comunes? Entre 0 y 0.8 volts.

Conclusiones:
Se comprob que los interruptores utilizados, como su nombre lo dice interrumpen el paso de la corriente elctrica, su funcin es abrir o cerrar el circuito elctrico. Se aprendi el funcionamiento de una plantilla de conexiones (protoboard) para que los circuitos funcionaran correctamente. Se conoci el comportamiento de los LED con los interruptores en circuito paralelo y en serie, su polaridad, su resistencia y su dependencia de funcionamiento.

Bibliografa:
Fundamentos de diseo digital, Csar A. Leal Chapa, FIME, UANL. M.C. Juan ngel Garza Garza http://jagarza.fime.uanl.mx

Objetivo:

Aprender el funcionamiento de los distintos operadores lgicos AND, OR, NAND, NOR, NOT, EXOR Y EXNOR, analizando su smbolo, tabla de verdad y ecuaciones, mediante la conexin fsica de circuitos integrados (chips, dispositivos de funcin fija TTL).

Hiptesis:
Se realizaran circuitos elctricos utilizando los dispositivos de funcin fija (TTL), dependiendo de las puertas lgicas y su ecuacin de algebra Booleana de dicho dispositivos, los impulsos de salida harn que encienda o apague el LED conectado en la salida puertas lgicas.

Marco Terico:
Operaciones booleanas
Operador And La operacin And esta relacionada con el trmino condicin y es exactamente igual a la multiplicacin ordinaria de unos y ceros. Una salida igual a 1 ocurre slo en el nico caso en que todas las entradas son 1. La salida es cero cuando una o ms de las entradas son iguales a 0. El smbolo de la compuerta And se muestra en la figura. La expresin matemtica de esta operacin puede representarse por: X = A B o, tambin, X = A*B como se observa en la Fig. 1.

Fig. 1. Operacin AND con 2 entradas.

En otras palabras, la compuerta And es un circuito que opera de forma tal que su salida es ALTA slo cuando todas sus entradas son ALTAS; o bien, su salida es BAJA cuando cuales quiera de sus entradas son BAJAS. La tabla de verdad para la compuerta And de dos entradas, A y B, y la salida X se muestra a en la tabla 1:

TABLA DE VERDAD Entrada A Entrada B 0 0 0 1 1 0 1 1

S 0 0 0 1

Tabla 1. Tabla de verdad para una operacin AND de 2 entradas. A continuacin vemos que en la Fig. 2 y 3 el circuito elctrico para un operador And donde el foco enciende slo cuando los interruptores A y B estn en posicin 1 (cerrados).

Fig. 2. Diagrama elctrico de un circuito abierto para un operador AND.

Fig. 3. Diagrama elctrico de un circuito cerrado para un operador AND.

Fig. 4. Un circuito integrado TTL con cuatro operadores And de dos entradas.

Operador OR

La operacin OR est relacionada con el trmino alternativa y produce un resultado 1 cuando cualquiera de las variables de entrada es 1. La operacin OR genera un resultado de 0 slo cuando todas las variables de entrada son 0. El smbolo de la compuerta OR se muestra en esta fig. 5.

Fig. 5. Operacin OR con 2 entradas.

La expresin matemtica de la operacin OR es: X = A + B. La tabla de verdad para la compuerta OR de dos entradas A y B, y la salida X se presenta a continuacin en la tabla 2:

TABLA DE VERDAD Entrada A Entrada B 0 0 0 1 1 0 1 1

S 0 1 1 1

Tabla 2. Tabla de verdad para una operacin OR de 2 entradas.

A continuacin vemos la Fig. 6 de un circuito elctrico para un operador OR donde el foco enciende cuando cualquiera de los interruptores A o B estn en posicin 1, o ambos (es decir, cerrados).

Fig. 6. Diagrama elctrico de un circuito abierto para un operador OR.

Fig. 7. Circuito integrado TTL con cuatro operadores OR de dos entradas. Operador NOT (negacin) La operacin NOT est definida para una sola variable y es muy simple, ya que slo tiene dos posibilidades: si la entrada es 0 la salida es igual a 1, y viceversa.

Fig. 8. Smbolo de la operacin NOT.

TABLA DE VERDAD Entrada A S 0 1 1 0 Tabla 3. Tabla de verdad para una operacin NOT de 1 entrada.

Fig. 9. Circuito integrado TTL con seis operadores NOT.

Operador EXOR La operacin EXOR produce un resultado de 1 cuando un nmero impar de variables de entrada vale 1. El smbolo de la compuerta EOXR se muestra en la Fig. 10, en tanto que la expresin matemtica para una compuerta EXOR de dos entradas es: X = A B.

Fig. 10. Operacin EXOR con 2 entradas.

La tabla de verdad para la compuerta EXOR de dos entradas, A y B, y la salida X se presentan a continuacin en la Tabla 4:

TABLA DE VERDAD Entrada A Entrada B 0 0 0 1 1 0 1 1

S 0 1 1 0

Tabla 4. Tabla de verdad para una operacin EXOR de 2 entradas.

El interruptor usado en el circuito elctrico para la demostracin del EXOR es diferente a los utilizados en los circuitos de la AND y OR; este interruptor se conoce como un tiro y dos polos, como se observa en la figura 11.

Fig. 11. Diagrama de interruptor de dos polos.

Ahora vemos un circuito elctrico para un operador EXOR, donde el foco enciende cuando cualquiera de los interruptores A o B estn en posicin 1 (cerrados), pero no ambos.

Fig. 12. Diagrama elctrico de un circuito abierto para un operador EXOR.

Fig. 13. Diagrama elctrico de un circuito cuando un solo interruptor esta cerrado, para un operador EXOR.

Fig. 14. Circuito integrado TTL con cuatro operadores EXOR de dos entradas.

Operador Nand (And negado) La operacin Nand es la negacin de la salida de la operacin And. El smbolo de la compuerta Nand se muestra en la figura 15. La expresin matemtica de la compuerta Nand se describe como: X = A B, (A B).

Fig. 15. Operacin EXOR con 2 entradas.

En otras palabras, la compuerta Nand es un circuito que opera de tal forma que su salida es BAJA slo cuando todas sus entradas son ALTAS. O, tambin, su salida es ALTA cuando cualquiera de sus entradas es BAJA. La tabla de verdad para la compuerta Nand de dos entradas A y B, y la salida X se muestran a continuacin en la tabla 5: TABLA DE VERDAD Entrada A Entrada B 0 0 0 1 1 0 1 1

S 1 1 1 0

Tabla 5. Tabla de verdad para una operacin NAND de 2 entradas.

Fig. 16. Circuito integrado TTL con cuatro operadores NAND de dos entradas.

Operador Nor (Or negado) La operacin Nor es la negacin de la salida de la operacin Or. El smbolo de la compuerta Nor se muestra en la figura 17. La expresin matemtica de la compuerta Nor es: X = A+B, (A+B).

Fig. 17. Operacin NOR con 2 entradas. En otras palabras, la compuerta Nor es un circuito que opera para que su salida sea BAJA cuando cualquiera de sus entradas es ALTA. O, tambin, su salida es ALTA slo cuando todas sus entradas son BAJAS. La tabla de verdad para la compuerta Nor de dos entradas A y B, y la salida X se muestran a continuacin en la tabla 6: TABLA DE VERDAD Entrada A Entrada B 0 0 0 1 1 0 1 1

S 1 0 0 0

Tabla 6. Tabla de verdad para una operacin NOR de 2 entradas.

Fig. 18. Circuito integrado TTL con cuatro operadores Operador Exnor (Exor negado) NOR de dos entradas.

Su smbolo y tabla de verdad para dos entradas es la figura 19 y tabla 7.

Fig. 19. Operacin EXNOR con 2 entradas.

TABLA DE VERDAD Entrada A Entrada B 0 0 0 1 1 0 1 1

S 1 0 0 1

Tabla 7. Tabla de verdad para una operacin EXNOR de 2 entradas.

Fig. 20. Circuito integrado TTL con cuatro operadores EXNOR de dos entradas.

Desarrollo Experimental:

Materiales:
Un eliminador de bateras con la siguientes caractersticas: Voltaje de entrada 110-220 V de corriente alterna Frecuencia 50-60 Hz Intensidad de corriente mayor de 500 mA 9 diodos emisores de luz 9 resistencias de 330 de W 1 dip switch 4 Push buttons Circuitos integrados SN7408, SN7432 y SN7486

Procedimiento:
1 circuito: Para este primer circuito primeramente se conectan un dip switch y el circuito integrado TTL (SN7408) al lado del dip switch como se observa en la figura 21, para este dip switch utilizaremos 2 entradas las cuales se conectara una terminal del LED (nodo) en lnea de VCD y la otro terminal (ctodo) en la entrada del dip switch, el otro LED se conectara de la misma forma pero en otra entrada (ver la figura 21), por la misma lnea donde fue conectado el LED se conectara una resistencia de 330 pero este en la lnea de salida del dip switch, hacia la lnea de GND (tierra o negativo), una resistencia para cada LED conectado, como siguiente en la lnea del primer LED conectado, en la terminal de salida del dip switch se conectara un alambre calibre 24 o 26 hacia la primera entrada del circuito integrador TTL como se ve en la figura 22, y en la terminal de salida donde fue conectado el segundo LED se conectara un segundo alambre pero este ira conectado en la segunda entrada del circuito integrado como se observa en la figura 22, en la primera terminal de salida del circuito integrado TTL (SN7408) se conectara el lado nodo de un tercer LED y su lado ctodo ira conectado en una lnea que se encuentre sin componentes conectados como en la figura 21, en esa misma lnea despus de la terminal ctodo del LED se conectara una resistencia de 330 hacia la lnea de Gnd (tierra o negativo), para que el circuito funcione correctamente el circuito integrado TTL se debe conectar a la lnea de VCD que es la terminal 14 y tambin se debe de conectar a la lnea de Gnd que es la terminal 7 como se observa en la figura 21.

Resultado

Fig. 21. Fotografa de circuito elctrico usando un circuito integrado AND (SN7408).

Diagrama electrnico

Discusin de resultados (1 circuito): Se realizaron pruebas con la siguiente metodologa: Teniendo un valor de salida S, deslizando los botones A y B. Se consider que el valor de salida S = 1, correspondo al LED encendido. El valor de salida S = 0, corresponde al LED apagado.
Fig. 22. Diagrama electrnico usando un circuito integrado AND (SN7408).

Teniendo en cuenta que para los botones A y B.

El valor 0 corresponde al botn sin deslizar. El valor 1 corresponde al botn deslizado.

Los resultados fueron capturados en la tabla 8 siendo los siguientes: TABLA DE VERDAD Entrada A Entrada B 0 0 0 1 1 0 1 1

S 0 0 0 1

Tabla 8. Tabla de verdad resultados del primer circuito. 2 circuito: Para este segundo circuito el procedimiento para conectar el circuito es el mismo, pero esta vez se utilizaran dos push buttons, primeramente se conectan 2 push buttons una al lado de

otro, luego al lado del segundo push button se conecta el circuito integrador TTL (SN7432) como de observa en la figura 24, como siguiente se conectara un LED en la lnea de la primera terminal de entrada del primer push button y el segunda LED a la primera lnea de entrada del segundo push button, ambos LEDs deben de ir conectados su lado nodo a la lnea de VCD y su lado ctodo a la lnea de la tabla de conexiones (protoboard), en la salidas de cada push button de la terminal contraria al LED se conectara una resistencia de 330 hacia la lnea de Gnd como se ve en la figura 24, en la lnea de salida del primer push button donde fue conectado la resistencia se conectara un alambre calibre 24 o 26 hacia la primera terminal del circuito integrado TTL (SN7432) y se conectara un segundo alambre de la lnea de salida del segundo push button hacia la segunda terminal del circuito integrado como se observa en la figura 23, en la tercera terminal del circuito integrado se conectara el lado nodo de un tercer LED hacia una lnea libre, despus del LED en la lnea donde esta conectado el lado ctodo se conectara una resistencia de 330 hacia la lnea Gnd, ya conectado esto se conectara la terminal 14 del circuito integrado a la lnea de VCD por medio de un alambre y la terminal 7 se conectara a la lnea de Gnd (tierra o negativo).

Diagrama electrnico

Resultado

Fig. 23. Diagrama electrnico usando un circuito integrado OR (SN7432).

Fig. 24. Fotografa del circuito usando un circuito integrado OR (SN7432).

Discusin de resultado (2 circuito):

Se realizaron pruebas con la misma metodologa que el anterior: Teniendo un valor de salida S, oprimiendo los botones A y B. Se consider que el valor de salida S = 1, correspondo al LED encendido. El valor de salida S = 0, corresponde al LED apagado.

Teniendo en cuenta que para los botones A y B. El valor 0 corresponde al botn sin oprimir. El valor 1 corresponde al botn oprimido.

Los resultados fueron capturados en una tabla siendo los siguientes:

TABLA DE VERDAD Push button A Push Button B 0 0 0 1 1 0 1 1

S 0 1 1 1

Tabla 9. Tabla de verdad resultados del segundo circuito.

3 circuito: Este circuito la conexin es la misma que la anterior (ver Fig. 26), conectamos 2 push buttons y despus conectamos el circuito integrado TTL (SN7486), despus conectamos dos LEDs, uno para cada push button, como los hemos conectado en los circuitos anteriores, terminal nodo en lnea VCD y terminal ctodo en lnea de tabla de conexiones (ver Fig. 26), como siguiente conectaremos en cada terminal de salida del push button una resistencia de 330 , de la lnea de terminal de salida a lnea de Gnd, en la lnea de salida del primer push button se conectara un alambre hacia la primera entrada del circuito integrado (ver Fig. 25), como siguiente se conectara otro alambre desde la terminal de salida del segundo push button a la segunda terminal de entrada del circuito integrado (observar Fig. 25), para la terminal de salida del circuito integrado se conectara un LED (terminal nodo) hacia una lnea que se encuentre sola (terminal ctodo), luego en la lnea donde se conecto la terminal ctodo del tercer LED se conectara una resistencia de 330 hacia la lnea GND del protoboard, ya realizado se conectara un alambre de la lnea donde esta conectado la terminal 14 del circuito integrado hacia la lnea de VCD como se observa en la fig. 26 y un alambre de la terminal 7 a la lnea de GND.

Diagrama electrnico

Resultado

Fig.25. Diagrama electrnico de utilizando un circuito integrado EXOR (SN7486).

Fig. 26. Fotografa del circuito utilizando un circuito integrado EXOR (SN7486).

Discusin de resultados (3 circuito) Se realizaron pruebas con la siguiente metodologa que es: Teniendo un valor de salida S, oprimiendo los botones A y B Se consider que el valor de salida S = 1, correspondo al LED encendido El valor de salida S = 0, corresponde al LED apagado.

Teniendo en cuenta que para los botones A y B. El valor 0 corresponde al botn sin oprimir. El valor 1 corresponde al botn oprimido.

Los resultados fueron capturados en una tabla siendo los siguientes: TABLA DE VERDAD Push button A Push Button B 0 0 0 1 1 0 1 1

S 0 1 1 0

Tabla 10. Tabla de verdad resultados del tercer circuito.

Resultados generales

Fotografa del circuito implementado.

Fig. Fotografa de los circuitos realizados: 1 circuito utilizando un circuito integrado TTL AND (SN7408), 2 circuito utilizando un circuito integrado TTL OR (SN7432) y 3 circuito utilizando un circuito integrado TTL EXOR (SN7486).

Fig. 27. Resultados finales de conexin de circuitos

Cuestionario
Quin desarrollo el algebra Booleana? George Boole, matemtico ingles.

Cul es el significado de TTL? Es la siglas en ingles de transistor-transistor logic (lgica transistor a transistor).

Cul es el significado de VCC? Significa voltaje de corriente continua o directa.

Cul es el mximo valor de voltaje de alimentacin para un circuito tpico TTL? 5 volts de corriente contina.

Cul es el significado de GND? Tierra o negativa.

Cmo formara una operacin And de tres entradas usando compuertas And de slo dos entradas? Dibuje el circuito.

Fig. 28. Este diagrama representa la operacin And de tres entradas implementada con dos And de dos entradas.

Conclusiones:
Se demostr el funcionamiento de un circuito integrador TTL; los resultados de las operaciones Booleanas utilizando dichos circuitos, el cual fue una demostracin fsica que en este caso son los circuitos conectados en el protoboard utilizando LEDs como representacin de salidas ALTAS (cuando el LED se encuentra encendido) que es 1 y BAJAS (cuando el LED se encuentra apagado) que es 0,se conocieron los resultados fsicos comparando mas a detalle los resultados obtenidos tericamente, dependiendo del circuito integrado utilizado, por lo que se comprob la hiptesis realizada para esta practica.

Bibliografa:
Fundamentos de diseo digital, Csar A. Leal Chapa, FIME, UANL. M.C. Juan ngel Garza Garza http://jagarza.fime.uanl.mx

Objetivo:
Aprender a realizar operaciones Booleanas por medio de dispositivos de funcin fija (TTL), analizar su simbologa y tabla de verdad mediante la conexin fsica del circuito.

Hiptesis:
Se realizaran conexiones de componentes electrnicos dependiendo de las funciones Booleanas que fueron asignadas, para obtener impulsos binarios de las puertas lgicas de salida que sern: 1 cuando el LED se encuentra encendido y 0 cuando el LED se encuentra apagado, por medio de circuitos integrados (TTL) que dependern de la relacin de conexiones que se van a desarrollar.

Marco Terico:
Teoremas de Boole Hemos visto como se puede utilizar el algebra booleana como auxiliar en el anlisis de un circuito lgico y expresar su operacin matemticamente. Continuaremos nuestro estudio del algebra booleana investigando los diversos teoremas booleanos (reglas) que nos pueden servir para simplificar las expresiones y los circuitos lgicos. En cada teorema, x es una variable lgica que puede ser un 0 o un 1. Cada teorema se presenta con un diagrama que demuestra su validez. El teorema (1) enuncia que, si cualquier variable se opera con AND con un 0, el resultado tiene que ser cero. Esto es fcil de recordar porque la operacin AND es como la multiplicacin ordinaria, en la que cualquier nmero que se multiplica por 0 es 0. La salida de una compuerta AND ser cero siempre que cualquier entrada sea 0, independientemente del nivel de la otra entrada como se demuestra en la figura 1 y teorema (1). Teorema (1):

x 0 0
Fig. 1. Diagrama del teorema 1.

El teorema (2) tambin evidente por su comparacin con la multiplicacin ordinaria, en la que cualquier numero multiplicado por 1 es 1. Como se indica en la figura 2 y teorema (2). Teorema (2):

x x 1
Fig. 2. Diagrama del teorema 2.

El teorema (3) se puede demostrar ensayando cada caso. Si x = 0, entonces 0 * 0 = 0; si x = 1, entonces 1 * 1 = 1. Como se seala en la figura 3 y teorema (3). Teorema (3):

x x

Fig. 3. Diagrama del teorema 3.

El teorema (4) se puede probar en la misma forma. Sin embargo, tambin puede razonarse que en cualquier momento, x o su inversa x, tiene que estar en el nivel 0, de modo que su producto AND siempre tiene que ser 0. Como se muestra en la figura 4 y teorema (4). Teorema (4):

Fig. 4. Diagrama del teorema 4.

El teorema (5) es directo ya que 0, sumado a cualquier numero, no altera su valor en la suma comn o en la adicin OR. Como se representa en la figura 5 y teorema (5) Teorema (5):

x x 0
Fig. 5. Diagrama del teorema 5.

El teorema (6) afirma que, si cualquier variable se opera con OR con 1 , el resultado siempre sera 1. Verificamos esto con ambos valores de x: 0 + 1 = 1 y 1 + 1 = 1. De manera equivalente, podemos recordar que la salida de una compuerta R sera 1 cuando cualquier entrada sea 1, sin importar que valor tenga la otra. Esto se identifica con la figura 6 y teorema (6). Teorema (6):

x 1 1
Fig. 6. Diagrama del teorema 6.

El teorema (7) puede demostrarse verificando los dos valores de x: 0 + 0 = 0 y 1 +1 = 1. Por lo que se revela con la figura 7 y teorema (7). Teorema (7):

x x

Fig. 7. Diagrama del teorema 7.

El teorema (8) se puede probar en forma similar, o simplemente se puede razonar que en cualquier instante x o x tiene que estar en el nivel 1, de manera que siempre operemos con OR un o y un 1, que siempre da como resultado 1. Como se muestra en la figura 8 y teorema (8). Teorema (8):

x 1

Fig. 8. Diagrama del teorema 8.

Teoremas con mltiples variables Los teoremas (9 a la 15) que se presentan a continuacin implican ms de un variable:

Teorema (9): Teorema (10): Teorema (11): Teorema (12): Teorema (13a): Teorema (13b): ( Teorema (14): Teorema (15): ( ( ( ) ) )( ) ( ) ) ( )

Los teoremas (9) y (10) se denominan leyes conmutativas. Estas leyes indican que no importa el orden en que operamos dos variables con OR y AND; el resultado es el mismo. Los teoremas (11) y (12) son las leyes asociativas, las cuales afirman que podemos agrupar las variables en una expresin AND o en una OR en la forma que se desee.

El teorema (13) es la ley distributiva, la cual afirma que una expresin puede desarrollarse multiplicando trmino a trmino, como en el lgebra ordinaria. Este teorema indica asimismo que podemos factorizar una expresin. Es decir, si tenemos una suma de dos (o ms) trminos, y cada uno contiene una variable comn, esta se puede factorizar como en el lgebra ordinaria. Los teoremas (9) a (13) se pueden recordar fcilmente y son de uso sencillo, ya que son idnticos a los del lgebra ordinaria. Cada uno se puede demostrar ensayando todos los casos posibles para x y y. Esto se ilustra para el teorema (14) como sigue:

Caso 1. Para x = 0, y = 0,

Caso 2. Para x = 0, y = 1,

Caso 3. Para x = 1, y = 0,

Caso 4. Para x =1, y = 1,

El teorema (14) tambin se puede demostrar factorizando y usando los teoremas (6) y (2) como sigue: ( ) [Usando el teorema (6)] [Usando el teorema (2)] Todos estos teoremas booleanos pueden ser de utilidad para simplificar una expresin lgica; es decir, para reducir el nmero de trminos de la expresin. Cuando se hace esto, la expresin reducida producir un circuito menos complejo que el que la expresin original habra generado

Desarrollo Experimental:
Materiales:
Un eliminador de bateras con la siguientes caractersticas: Voltaje de entrada 110-220 V de corriente alterna Frecuencia 50-60 Hz Intensidad de corriente mayor de 500 mA 5 diodos emisores de luz 5 resistencias de 330 de W 3 Push buttons Circuitos integrados SN7408, SN7432 y SN7404

Procedimiento:
Se realizara un circuito por medio de 2 funciones Booleanas las cuales son las siguientes: ( ( )( ) ( ) )

Estas funciones se desarrollaran mediante circuitos integrados TTL. Se describir el procedimiento para elaborar las conexiones adecuadas para las funciones dadas. Como primer paso se conectaran 3 push buttons en la tablilla de conexiones como se muestra en la figura 9. Despus se conectaron 3 circuitos integrados TTL, que son SN7432, SN7404 y SN7408, fueron conectaros en ese orden, como se presenta en la figura 9, para estos circuitos deben de conectarse un alambre de la terminal 14 a la lnea VCD y otro de la terminal 7 a la lnea Gnd, los tres de la misma forma.

Fig. 9. Fotografa de posicin de push buttons y circuitos integrados.

Despus de estas conexiones se colocaran 3 LEDs uno para cada push button; la terminal nodo en la lnea de VCD y la terminal ctodo en la terminal de entrada del push button que ms convenga, esto para dar una buena imagen a la representacin fsica del circuito (ver figura 10).

Fig. 10. Diagrama de conexin de LEDs.

Como siguiente se realizaran las conexiones para que el circuito funcione correctamente, para el primer push button lo representaremos con la variable X; en la terminal de salida de dicho push button del lado contrario a donde fue conectado el LED se conectar un alambre calibre 24 o 26

hacia la terminal 13 del circuito integrado TTL SN 7432, luego se conectar a partir de esa misma lnea (terminal 13 de SN7432) otro alambre hacia la terminal 13 del circuito TTL SN7408, como siguiente desde la lnea de salida del push button X se realizara una conexin hacia la terminal 1 del circuito integrado SN7432 como se revela en la figura 11.

Fig. 11. Diagrama de ejemplo de conexiones del push button X a circuitos TTL.

Procedemos a desarrollar las conexiones del segundo push button que tiene como variable Y; se realizara una conexin de la terminal de salida del push button (del lado contrario del LED) hacia la terminal 12 del circuito TTL SN7432, de la lnea de la terminal 11 del circuito TTL SN7432 se realiza una conexin hacia la terminal 1 del circuito integrado SN7408 como se exhibe en la figura 12.

Fig. 12. Diagrama de ejemplo de conexiones del push button Y a circuitos TTL.

Como siguiente paso en la lnea de salida del push button Y se elaborara una conexin hasta la terminal 1 del circuito integrado SN7404, desde la terminal 2 de dicho circuito se realizar una conexin desde esa lnea hacia la terminal 2 del circuito TTL SN7432, a partir de la terminal 3 del circuito TTL SN7432 se conectar un alambre a la terminal 4 de este mismo circuito como se muestra en la figura 13.

Fig. 13. Diagrama ejemplo de conexiones.

Ya realizadas las conexiones se elaborara una tercera conexin a partir del push button Y, desde la terminal de salida del push button hacia la terminal 9 del circuito integrado SN7408 como se representa en la figura 14.

Fig. 14. Diagrama ejemplo de conexiones de push button Y a TTL SN7408.

Como siguiente se conectar las salidas del tercer push button (W); desde la terminal de salida del push button W se conectar un alambre hacia la terminal 5 del circuito TTL SN7432, por esa misma lnea se conectara otro alambre hasta la terminal 12 del SN7408; en la terminal 12 del SN7408 se conectar un alambre hacia la terminal 10 del mismo circuito integrado SN7408 como se indica en la figura 15.

Fig. 15. Diagrama ejemplo de conexiones d e push button W a circuitos TTL.

Se conectar un alambre desde la terminal 11 del circuito integrado SN7408 hacia la terminal 10 del circuito integrado SN7432, despus de la unin anterior se conectar otro alambre desde la terminal 8 del circuito TTL SN7408 hacia la terminal 9 del circuito TTL SN7432, en este mismo circuito integrado (SN7432) se conectar un alambre de la terminal 6 hacia la terminal 2 del circuito TTL SN7408 como se representa en la figura 16.

Fig. 16. Diagrama ejemplo de conexiones entre circuitos TTL.

Esas fueron las conexiones para representar las funciones asignadas, por ultimo necesitamos demostrar los resultados obtenidos de forma fsica, para esto utilizaremos LED para identificar los impulsos de Altas y Bajas. Primeramente para la funcin F1 se conectara un LED desde la terminal 3 del circuito integrado SN7408 (lado nodo) hacia una lnea libre (lado ctodo) seguido de este una resistencia de 330 de la lnea de la terminal ctodo del LED hacia la lnea GND (negativo o tierra). Luego se conectar un alambre desde la terminal 8 del circuito TTL SN7432 hacia una lnea libre de la tablilla de conexiones, en la misma lnea se conectar un LED (terminal nodo de la misma lnea que fue conectado el alambre), de la terminal ctodo se conectar una resistencia de 330 a la lnea Gnd como en la figura 17 y 18.

Fig. 17. Diagrama elctrico de LEDs de salida.

Fig. 18. Foto de LEDs de salida.

Para que el circuito funcione correctamente se conectaran 3 resistencias de 330 uno en cada lnea de salida de los 3 push buttons como se indica en la figura 19.

Fig. 19. Foto de conexin de resistencias.

Discusin de resultados:

Se realizaron pruebas con la siguiente metodologa: Teniendo un valor de salida F1 y F2, presionando los botones X, Y y W. Se consider que el valor de salida F1 = 1, correspondo al LED encendido. El valor de salida F1 = 0, corresponde al LED apagado. Del mismo modo se efectu para F2.

Teniendo en cuenta que para los botones X, Y y W. El valor 0 corresponde al botn sin oprimir. El valor 1 corresponde al botn oprimido.

Los resultados fueron capturados en la tabla 1 siendo los siguientes:

Entrada A 0 0

TABLA DE VERDAD Entrada B Entrada B Salida F1 0 0 0 0 1 0

Salida F2 0 0

0 0 1 1 1 1

1 1 0 0 1 1

0 1 0 1 0 1

0 1 1 1 1 1

0 1 0 1 0 1

Tabla 1. Tabla de verdad resultados del circuito.

Resultados generales

Fotografa del circuito implementado.

Fig. Fotografa del circuito concluido: 1 circuito utilizando un circuito integrado TTL AND (SN7408), un circuito integrado TTL OR (SN7432) y 3 un circuito integrado TTL NOT (SN7404).

Fig. 20. Resultados finales de conexin del circuito.

Conclusiones:
Se demostr el resultado obtenido aplicando funciones booleanas con circuitos integrados TTL por medio de LEDs en el salidas de las funciones realizadas, las cuales se compararon con una tabla de

verdad realizada tericamente, que el circuito terminado manifestaba los salidas ALTAS cuando el LED se encontraba encendido (en la tabla de verdad 1 anteriormente realizada se expres como 1) y BAJAS cuando se encontraba apagado ( en la tabla de verdad 1 anteriormente realizada se expres como 0) llegando as a comprobar la hiptesis realizada y la investigacin hecha en esta prctica.

Bibliografa:
Fundamentos de diseo digital, Csar A. Leal Chapa, FIME, UANL. Sistemas digitales, Ronald J. Tocci 8va edicin M.C. Juan ngel Garza Garza http://jagarza.fime.uanl.mx

Objetivo:
Conocer el smbolo, la expresin matemtica y la tabla de verdad de los operadores lgicos And y Or. Familiarizarse con el programa de captura esquemtica (Schematic). Conocer las caractersticas bsicas del GAL16V8. Aplicar el proceso de compilacin (ISP Starter). Programar el GAL16V8. Saber identificar las terminales de un circuito integrado a partir del archivo reporte (pin out).

Hiptesis:
Se implementarn los operadores lgicos And y Or de cuatro a dos entradas en un dispositivo lgico programable (PLD), utilizando un programa de aplicacin de captura esquemtica; asimismo se comprobarn de forma prctica las tablas de verdad de cada operador lgico, alimentando las combinaciones del 0 al 16 binario (0000 al 1111), y obtener los valores de salida para cada combinacin.

Marco Terico:
Forma de suma de productos (SOP) Los mtodos de diseo y simplificacin de circuitos lgicos que se estudian requieren que la expresin lgica este en forma de suma de productos. Algunos ejemplos de esta forma son

1. ABC + ABC 2. AB + ABC + CD + D 3. AB + CD + EF + GK + HL Cada una de estas expresiones de suma de productos consta de dos o ms trminos AND (productos) que se operan con OR. Cada termino AND consta de una o mas variables que aparecen en forma complementada o no complementada. Por ejemplo, en la expresin de suma de productos ABC + ABC el primer producto AND contiene las variables A, B y C en su forma no complementada (no invertida). El segundo termino AND contiene a A y a C en su forma complementada (invertida). Note que en una expresin de suma de productos, un signo de inversin no puede aparecer en ms de un variable en un trmino. Forma producto de sumas (POS) Existe otra forma general de expresiones loicas que a veces se usa en el diseo de circuitos lgicos. Se conoce como la forma de productos de suma y consiste en dos o ms trminos OR (sumas) que se operan con AND en conjunto. Cada termino OR contiene una o mas variables en forma complementada o no complementada. He aqu algunas expresiones de producto de sumas: 1. (A + B + C)(A + C) 2. (A + B)(C + D)F 3. (A + C)(B + D)(B + C)(A + D + E) Los mtodos de simplificacin y diseo de circuito que se emplean estn basados en producto de sumas que consta de dos o ms trminos OR (sumas) que se operan con AND. Lgicos programables Se dedicara esta prctica a aprender lo relativo a otro mtodo para implantar funciones lgicas que difieren marcadamente de las comunes. Utiliza algo llamado lgica programable y es especialmente til par implantar circuitos ms complejos que contengan decenas o centenas de compuertas lgicas. Los conceptos bsicos se presentaran a continuacin con ayuda de la figura 1.

Fig. 1. Ejemplo simplificado de un dispositivo de lgica programable.

El bloque rectangular representa un ejemplo de un dispositivo lgico programable (PLD) el cual es un circuito que contiene una disposicin particular de compuertas lgicas. Existen muchos tipos de y todos contienen muchas mas compuertas que las pocas mencionadas en este ejemplo, pero usaremos este sencillo ejemplo para mostrar la idea fundamental de toda la lgica programable. Se debe reconocer que toda la lgica en este PLD simplificado es una estructura de suma de productos, con las compuertas AND alimentando una compuerta OR final. La salida X ser una funcin de suma de productos de las entradas A y B de datos. La funcin real de salida depender de cuales de las salidas de AND se ilustren conectadas con las entradas a la compuerta OR, por medio de los en laces o eslabones 1, 2, 3 y 4. Cada uno de estos enlaces puede quedar intacto, como se ilustra, o se pueden abrir en forma selectiva para desconectar la correspondiente salida de AND en la compuerta OR. El microcircuito de PLD viene con todos los enlacesintactos y todos estn dentro del CI. El PLD tiene entradas para programacin mismas que se pueden emplear para abrir, en forma un tanto selectiva, los enlaces que podrn en ejecucin la funcin particular de lgica que se desea. Las entradas para programacin se utilizan solo durante el proceso de programacin, para configurar las conexiones internas del microcircuito. Captura esquemtica Usando la captura esquemtica es posible fabricar un circuito integrado a la medida, con diagramas que representen los diferentes componentes del circuito y efectuando solamente interconexiones entre ellos. La gran ventaja de usar esta herramienta radica en la posibilidad de realizar los diseos por computadora, donde los errores se detectan y se corrigen fcilmente. Todo lo anterior

agiliza el procedimiento, ya que se evita la fabricacin de varios circuitos integrados (chip) para verificar su funcionamiento, reduciendo as tanto el ciclo de diseo como el tiempo de obtencin de un producto. La desventaja surge en los diseos grandes, los cuales son difciles de comprender a causa de que hay demasiados componentes e interconexiones. Los cuatro componentes bsicos de la captura esquemtica son los smbolos, los conectores, las etiquetas y los puertos de entrada y/o salida. Como se muestra en la figura 2.

Fig. 2. Diagrama de componentes bsicos para la captura esquemtica.

Los smbolos son una representacin grfica de los componentes. Como se representa en la figura 3.

Fig. 3. Diagrama de ejemplo de componente (OR con dos entradas negadas).

Los conectores (alambre) sirven para la interconexin entre las terminales de los smbolos o dispositivos de entrada/salida. Como se seala en la figura 4.

Fig. 4. Diagrama de representacin de alambre en programa de computadora.

Las etiquetas (variables) son los nombres para la identificacin de las entradas o salidas, esto se observa en la figura 5.

Fig. 5. Diagrama de representacin de variables en programa de computadora.

Los puertos de entrada/salida definen un puerto de entrada, salida o bidireccional, esto se simboliza en la figura 6.

Fig. 6. Diagrama de puertos de entrada y salida.

Desarrollo Experimental:
Materiales:
Un eliminador de bateras con la siguientes caractersticas: Voltaje de entrada 110-220 V de corriente alterna Frecuencia 50-60 Hz Intensidad de corriente mayor de 500 mA 6 diodos emisores de luz 6 resistencias de 330 de W 4 Push buttons Circuito integrado GAL16V8

Procedimiento:
Se realizara un circuito por medio de 2 funciones Booleanas las cuales son las siguientes:

)(

)(

Estas funciones se realizaron en un dispositivo programable GAL usando la captura esquemtica y compilador ispLEVER Project. Los pasos para obtener el circuito integrado a la medida por medio de captura esquemtica se muestran a continuacin: A. Inicio 1A. Abra el programa Isp System Starter (siga los pasos sealados en la figura 7).

Fig. 7. Imagen pasos paradel abrir programa. O bien, d doble clic con elde apuntador mouse en el icono que se muestra en el escritorio de la pantalla de Windows.

2A. Cree un nuevo proyecto (File, New Project) (ver figura 8).

Fig. 8. Imagen de pasos para crear nuevo proyecto.

3A. D nombre del proyecto (Project name) como se muestra en la figura 9.

Fig. 9. Imagen de ventana para crear nuevo proyecto.

4A. Seleccione el dispositivo GAL16V8ZD. (Los pasos se muestran en la figura 10.)

Fig. 10. Imagen de ventana para seleccionar dispositivo.

5A. Seleccione el nuevo archivo fuente. (Los pasos se indican en la figura 11 y 12).

Fig. 11. Imagen de ventana para crear nuevo archivo.

Fig. 12. Imagen de ventana para crear archivo de captura esquemtica.

B. Captura esquemtica

1B. Nombre del archivo como se presenta en la figura 13. El nombre que se asigne para identificar este archivo no debe exceder de ocho caracteres. Se recomienda emplear el mismo nombre del proyecto, ya que la extensin que identifica al archivo de captura esquemtica es sch.

Fig. 13. Imagen de ventana para dar nombre a archivo.

2B. Seleccione los componentes en la Caja de herramientas dentro de la Biblioteca de smbolos GATES.LIB y colquelos en la hoja de trabajo. (Siga los pasos que se muestran en la figura 14).

Fig. 14. Imagen de pasos para colocar componentes.

3B. Conectores. Tanto las entradas y salidas debern de llevar un conector, de lo contrario el programa lo tomar como entrada o salida invalidada. Para obtener un conector seleccione el icono sealado en la figura 15 de la caja de herramientas Drawing.

Fig. 15. Imagen de comando Drawing.

Para trazar un conector en lnea recta desde un punto hacia la terminal de un componente, haga un clic del mouse para iniciar (1) y otro para terminar el conector (2) como se indica en la figura 16.

Fig. 16. Imagen de ejemplo de conexin.

Para trazar un conector en lnea recta desde la terminal hacia un punto d un clic del mouse para iniciar (1) sobre el extremo del terminal y doble clic para terminar el conector (2) como se representa en la figura 17.

Fig. 17. Imagen de ejemplo de conexin.

Para trazar un conector desde la terminal de salida de un componente hacia una entrada de otro componente, coloque el puntero del mouse en cualquiera de las dos terminales a conectar, d un clic para iniciar el trazo, desplace el puntero del mouse sobre la otra terminal y d doble clic. Los componentes quedarn interconectados, como se muestra en la figura 18.

Fig. 18. Imagen de ejemplo de conexin.

4B. Etiquetas (variables). Para obtener las etiquetas, en la caja de herramientas seleccione Drawing y el icono con abc (ver figura 19). En la parte inferior de la pantalla aparecern Net Name - Enter Net Name = (ver figura 20) Teclee el nombre de la variable y posteriormente oprima la tecla Enter. Con el cursor posicione la variable al final del conector deseado y de nuevo un Enter, como se muestra en la figura 21.

Fig. 19. Imagen de comando.

Fig. 20. Imagen de parte inferior de pantalla.

Fig. 21. Imagen de cmo colocar variable.

6B. Puertos de entrada o salida. Seleccione de la caja de herramientas Drawing el icono mostrado en la figura 22. Aparecer un men de opciones titulado I/O M. Aqu debe elegir el tipo de puerto a usarse (None, Input, Output y Bidirection) como en la figura 23.

Fig. 23. Imagen de cmo colocar puertos de entrada o salida.

Fig. 22. Imagen de comando.

El circuito terminado quedar de la como en la figura 24:

Fig. 24. Imagen de esquematizacin terminada.

7B. Una vez terminada la captura esquemtica, guarde el archivo utilizando el icono del disco que se muestra en la figura 25.

C. Enlazar (Link)

Fig. 25. Imagen de ventana de captura esquemtica.

Regrese a la ventana de Isp System Starter y en el recuadro izquierdo (Sources in Project) asegure la presencia del dispositivo definido (GAL16V8/ZD); en el mismo recuadro asegure la presencia del archivo con extensin .sch. Como lo indica la figura 26, es posible iniciar el proceso de compilacin ejecutando las rutinas que aparecen en el recuadro derecho (Processes for Current Source).

Fig. 26. Imagen de ventana de ispLever project.

1C. Update All Schematic Files (actualizar todos los archivos de captura esquemtica). En esta parte del proceso actualice los archivos que se tomarn en cuenta para la compilacin. 2C. Link Design (enlazar el diseo). Verifique si el o los archivos contienen un cdigo vlido. En caso de que no se acepte aparecer un mensaje que incluye una explicacin y un cdigo de error. 3C. Fit Design (tamao del diseo). En algunas ocasiones, los requerimientos del diseo sobrepasan la capacidad del dispositivo seleccionado. Esta rutina verifica si el diseo cabe en el dispositivo. En caso de que sea demasiado grande, se sugiere elegir un dispositivo de mayor capacidad como GAL20V8 o GAL 22V10, etctera. 4C. Create Fuse Map (obtener el archivo del mapa de fusibles). En este proceso se obtienen dos archivos: El archivo reporte con extensin .rep contiene la informacin de las ecuaciones, la distribucin de terminales pin out, el porcentaje de utilizacin del dispositivo, etctera.

El archivo JEDEC con extensin .jed tiene el mapa de fusibles, el cual ser utilizado para programar el dispositivo. Para efectuar todos los pasos de este proceso, d doble clic con el apuntador del mouse sobre los iconos que estn en la ventana de Processes for Current Source. Al realizar la operacin correctamente aparecer una seal de aprobacin en cada uno de ellos, como lo muestra la siguiente figura 27.

Fig. 27. Imagen de ventana de ispLever project.

Archivo Reporte 4 Este archivo se genera como resultado de la compilacin. Ecuaciones: F1 = !( !Y & X & !W # !Y & W & Z # X & !W & Z) F2 = !( !Y & X # !X & W) Chip Diagram:

D. Programar el dispositivo. 1D. Ejecutar el programa del programador. 2D. Seleccionar del dispositivo en el men Select. 3D. Cargar del archivo JEDEC (F3). 4D. Colocar el dispositivo en el socket. 5D. Programar (F5). 6D. Borrar el dispositivo (Erase). 7D. Programar el dispositivo (Program). Ahora implementara el circuito en la tablilla de conexiones siguiendo el diagrama obtenido en el archivo. Reporte como lo indica la siguiente figura 28.

Fig. 28. Diagrama de circuito eltrico.

Discusin de resultados:

Se realizaron pruebas con la siguiente metodologa: Teniendo un valor de salida F1 y F2, presionando los botones X, Y, Z y W. Se consider que el valor de salida F1 = 1, correspondo al LED encendido. El valor de salida F1 = 0, corresponde al LED apagado. Del mismo modo se efectu para F2.

Teniendo en cuenta que para los botones X, Y, Z y W. El valor 0 corresponde al botn sin oprimir. El valor 1 corresponde al botn oprimido.

Los resultados fueron capturados en la tabla 1:

Entrada X 0 0

Entrada Y 0 0

TABLA DE VERDAD Entrada Z Entrada W 0 0 0 1

Salida F1 1 0

Salida F2 1 1

0 0 0 0 0 0 1 1 1 1 1 1 1 1

0 0 1 1 1 1 0 0 0 0 1 1 1 1

1 1 0 0 1 1 0 0 1 1 0 0 1 1

0 1 0 1 0 1 0 1 0 1 0 1 0 1

1 0 1 0 1 0 0 0 0 0 1 1 1 1

1 0 1 1 1 1 0 1 0 0 1 1 0 1

Tabla 1. Tabla de verdad resultados del circuito.

Resultados generales

Fotografa del circuito concluido: 1 circuito utilizando un circuito integrado GAL16V8.

Fig. 20. Resultados finales de conexin del circuito.

Conclusiones:
Se demostr el resultado aplicando la captura esquemtica de componentes AND y OR en el programa ispLEVER Project por medio de un dispositivo lgico programable (GAL16V8), en cual se implemento en una tablilla de conexiones manifestando salidas ALTAS y BAJAS utilizando LEDs, se aprendi el concepto o significado de dispositivos lgicos programables, as como su estructura y la programacin de captura esquemtica, se comprob la hiptesis obtenida y la tabla de verdad de esta practica.

Bibliografa:
Fundamentos de diseo digital, Csar A. Leal Chapa, FIME, UANL. Sistemas digitales, Ronald J. Tocci 8va edicin M.C. Juan ngel Garza Garza http://jagarza.fime.uanl.mx

Objetivo:
Conocer el procedimiento, aplicacin y reglas que se utilizan en un mapa de Karnaugh, as como se implementacin fsica por medio de componentes electrnicos para la realizacin de un circuito combinacional.

Hiptesis:
Se obtendrn los minitrminos de una tabla de verdad para realizar un circuito combinacional por medio de un mapa de Karnaugh, el cual ser implementado en un dispositivo lgico programable (PLD), utilizando un programa de aplicacin de captura esquemtica.

Marco Terico:

Mapas de Karnaugh El mapa de Karnaugh es un mtodo grafico para la representacin y minimizacin de funciones booleanas. Se usa para simplificar funciones de 2, 3 y 4 variables, pero puede extenderse satisfactoriamente a funciones de 5 y 6 variables. Su operacin se basa en la combinacin de minitrminos los cuales difieren en solo una variable, como AB + AB = A(B + B) = A Un mapa para una funcin de N variables consiste de 2n cuadros. Donde cada cuadro representa a un minitrminos, ademas entre los minitrminos de cuadros adyacentes debe haber un solo cambio en una de sus variables. Un mapa para una funcin de 2 variables tiene 22 = 4 cuadros, para 3 variables 23 = 8 cuadros, para 4 variables 24 = 16 cuadros, y as sucesivamente. El mapa de karnaugh para una funcin de dos variables se muestra en la figura 1.

Fig. 1. Mapa de Karnaugh para una funcin de dos variables.

La funcin se encuentra graficada en una cuadricula donde las coordenadas son A y B. En el eje horizontal la mitad derecha del mapa corresponde a la variable afirmada A y la izquierda a su complemento A. Lo mismo sucede con la variable b graficada en el eje vertical. Generalmente se acostumbra marcar la zona para cada variable con su etiqueta correspondiente figura 1.

Si se desea graficar la expresin AB en el mapa se indica escribiendo un 1 en el cuadro donde las variables A y B son comunes, como se muestra en la figura 2, en general cada cuadro impreso representa un termino formado por el producto de las variables comunes al cuadro.

Fig. 2. Grafica en un mapa para la expresin AB.

Para simplificar el acomodo de las etiquetas correspondientes a cada zona se indican las variables alfabticas en la parte superior izquierda del mapa, para el caso de una funcin de dos variable, A se grafica en el eje horizontal y B en el eje vertical. Por ultimo las zonas se marcan con un numero 0 o 1 como se representa en la figura 3.

Fig. 3. Figura para indicar zona de variables sustituidas.

Un mapa para una funcin de tres variables como en la figura 4 se puede observar que existe nicamente una variable modificada entre dos cuadros adyacentes.

Fig. 4. Mapa para una funcin de 3 variables.

En la figura 5 aparece el mapa de Karnaugh con la distribucin acostumbrada. En el eje horizontal se grafican simultneamente las variables A y B por este motivo la etiqueta que aparece en la parte superior de cada columna es de dos dgitos y dan las combinaciones 00, 01, 11, y 10.

Fig. 5. Mapa con variables sustituidas.

Para graficar una expresin de cuatro variables tenemos que utilizar un mapa de 24 = 16 cuadros. En el eje horizontal se colocan las variables A y B, y en el eje vertical las variables C y D. En la figura 6 se representa un mapa de karnaugh para esta funcin, indicando la zona correspondiente a cada variable y sus etiquetas numricas.

Fig. 6. Mapa de Karnaugh para una funcin de 4 variables.

Reduccion de expresiones booleanas usando el mapa de Karnaugh La utilidad del mapa de Karnaugh se basa en que el acomodo de las reas para cada variable, permite minimizar una expresin lgica por simple inspeccin. En la figura 7 se muestra una tabla de verdad para una funcin de 2 variables y el acomodo para cada minitrminos de la funcin en el mapa.

Fig. 7. Tabla de verdad para una funcin de de 2 variables y mapa representativo.

A cada cuadro se le asigna un nmero en decimal que corresponde al nombre de cada minitrminos. Generalmente se escribe estos nmeros en la parte superior derecha del cuadro para facilitar la transferencia de los datos de la tabla, ver fig. 8.

Fig. 8. Acomodo de los minitrminos de un Mapa de Karnaugh de 4 variables.

Para transferir el contenido de la tabla al mapa de karnaugh se colocan en su cuadro correspondiente los minitrminos para los cuales la funcin es verdadera. Con el propsito de facilitar la transferencia, estos minitrminos se sustituyen por 1s unos. Los cuadros restantes pueden llenarse con ceros e indican los minitrminos que no aparecen en la funcin. Los ceros pueden omitirse si se desea como se observa en la figura 9.

Fig. 9. Figura de transferencia de contenido de tabla de verdad a mapa.

Cuando aparecen 1s (unos) en cuadros adyacentes significa que existe entre ellos una variable redundante, es decir que al agruparlos se elimina una variable como se explica en la figura 10, usando las siguiente propiedad del algebra booleana: AB + A(B + B) = A.

Fig. 10. Figura de agrupamiento de 1s.

Del grupo formado se observa que la variable B es redundante ya que adquiere el valor de B y B a lo largo del grupo, mientras que A permanece constante. Por lo tanto: F(AB) = A tomando en cuenta el ejemplo de la figura 10. De este ejemplo se puede deducir que el nombre que toma un grupo es igual al de la variable o variables que no cambian. Un mismo valor (1s) puede agruparse una o varias veces con diferentes valores adyacentes, y as sintetizar el mtodo de duplicacin de un minitrmino ya existente como se muestra en la figura 11.

Fig. 11. Figura de agrupamiento de 1s.

Reglas para el uso del mapa de Karnaugh 1. Formar el menor nmero de grupos. 2. Formar cada grupo con la mayor cantidad de valores posibles.

3. Todos los valores debern agruparse, tomando en cuenta que un solo minitrmino puede formar un grupo. 4. El numero de valores agrupados en un lazo debe ser una cantidad potencia de 2 (2n), por ejemplo: 1, 2, 4, 8, 16, etc. 5. Un par de valores se consideran adyacentes entre si, cuando son contiguos en forma horizontal o vertical, pero no diagonalmente como demuestra en la figura 12.

Fig. 12. Figura de agrupamientos no permitidos.

Desarrollo Experimental:
Materiales:
Un eliminador de bateras con la siguientes caractersticas: Voltaje de entrada 110-220 V de corriente alterna Frecuencia 50-60 Hz Intensidad de corriente mayor de 500 mA 13 diodos emisores de luz 13 resistencias de 330 de W 5 Push buttons Circuito integrado GAL16V8

Procedimiento:
Se realizara un circuito de diseo combinacional es aquel que sus valores de salida depende nicamente de las combinaciones de entrada.

El primer paso es trasladar el comportamiento del circuito en una tabla de verdad la cual tenemos a continuacin (tabla 1):

Tabla 1. Comportamiento del circuito.

Como siguiente se seleccionaran los valores de la primera funcin (F1) que son los indicados en la figura 13, y se pasaran los valores a un mapa de Karnaugh como el mostrado en la figura 14.

Fig. 13. Imagen de seleccin de valores.

Fig. 14. Imagen de mapa de Karnaugh.

Enseguida de realizara el procedimiento de agrupaciones y se obtendrn los minitrminos requeridos como en la figura 15, representado en la siguiente funcin: F1 = ABCDE + ABCDE + ABCDE

Fig. 15. Imagen de agrupacin de valores.

Una vez obtenido esta funcin se representara de forma esquemtica elaborando un diagrama esquemtico como se muestra en la figura 16.

Fig. 16. Imagen de diagrama esquemtico de la funcin F1.

Esto se aplicara para todas las funciones de la tabla de verdad. Ya obtenidas las funciones Booleanas, se implementaran en un dispositivo programable GAL usando la captura esquemtica y compilando ispLEVER Project Los pasos para obtener el circuito integrado a la medida por medio de captura esquemtica se muestran a continuacin: A. Inicio 1A. Abra el programa Isp System Starter (siga los pasos sealados en la figura 17).

Fig. 17. Imagen de pasos para abrir programa.

O bien, d doble clic con el apuntador del mouse en el icono que se muestra en el escritorio de la pantalla de Windows.

2A. Cree un nuevo proyecto (File, New Project) (ver figura 18).

Fig. 18. Imagen de pasos para crear nuevo proyecto.

3A. D nombre del proyecto (Project name) como se muestra en la figura 19.

Fig. 19. Imagen de ventana para crear nuevo proyecto.

4A. Seleccione el dispositivo GAL16V8ZD. (Los pasos se muestran en la figura 20.)

5A. Seleccione el nuevo archivo fuente. (Los pasos se indican en la figura 21 y 22).

Fig. 20. Imagen de ventana para seleccionar dispositivo.

Fig. 21. Imagen de ventana para crear nuevo archivo.

Fig. 22. Imagen de ventana para crear archivo de captura esquemtica.

B. Captura esquemtica 1B. Nombre del archivo como se presenta en la figura 23. El nombre que se asigne para identificar este archivo no debe exceder de ocho caracteres. Se recomienda emplear el mismo nombre del proyecto, ya que la extensin que identifica al archivo de captura esquemtica es sch.

Fig. 23. Imagen de ventana para dar nombre a archivo.

2B. Seleccione los componentes en la Caja de herramientas dentro de la Biblioteca de smbolos GATES.LIB y colquelos en la hoja de trabajo. Tanto las entradas y salidas debern de llevar un conector, de lo contrario el programa lo tomar como entrada o salida invalidada. Para trazar un conector desde la terminal de salida de un componente hacia una entrada de otro componente, coloque el puntero del mouse en cualquiera de las dos terminales a conectar, d un clic para iniciar el trazo, desplace el puntero del mouse sobre la otra terminal y d doble clic. Los componentes quedarn interconectados, como se muestra en la figura 24.

Fig. 24. Imagen de ejemplo de conexin.

3B. Etiquetas (variables). Para obtener las etiquetas, en la parte inferior de la pantalla aparecern Net Name - Enter Net Name = (ver figura 25) Teclee el nombre de la variable y posteriormente oprima la tecla Enter. Con el cursor posicione la variable al final del conector deseado y de nuevo un Enter, como se muestra en la figura 26.

Fig. 25. Imagen de parte inferior de pantalla.

4B. Puertos de entrada o salida. Seleccione de la caja de herramientas Drawing el icono mostrado en la figura 27. Aparecer un men de opciones titulado I/O M. Aqu debe elegir el tipo de puerto a usarse (None, Input, Output y Bidirection) como en la figura 28.

Fig. 26. Imagen de cmo colocar variable.

Fig. 28. Imagen de cmo colocar puertos de entrada o salida.

Fig. 27. Imagen de comando.

El circuito terminado quedar de la como en la figura 29:

Fig. 29. Imagen de esquematizacin terminada.

5B. Una vez terminada la captura esquemtica, guarde el archivo utilizando el icono del disco.

C. Enlazar (Link) Regrese a la ventana de Isp System Starter y en el recuadro izquierdo (Sources in Project) asegure la presencia del dispositivo definido (GAL16V8/ZD); en el mismo recuadro asegure la presencia del archivo con extensin .sch. Como lo indica la figura 30, es posible iniciar el proceso de compilacin ejecutando las rutinas que aparecen en el recuadro derecho (Processes for Current Source).

Fig. 30. Imagen de ventana de ispLever project.

1C. Update All Schematic Files (actualizar todos los archivos de captura esquemtica). En esta parte del proceso actualice los archivos que se tomarn en cuenta para la compilacin. 2C. Link Design (enlazar el diseo). Verifique si el o los archivos contienen un cdigo vlido. En caso de que no se acepte aparecer un mensaje que incluye una explicacin y un cdigo de error. 3C. Fit Design (tamao del diseo). En algunas ocasiones, los requerimientos del diseo sobrepasan la capacidad del dispositivo seleccionado. Esta rutina verifica si el diseo cabe en el dispositivo. En caso de que sea demasiado grande, se sugiere elegir un dispositivo de mayor capacidad como GAL20V8 o GAL 22V10, etctera. 4C. Create Fuse Map (obtener el archivo del mapa de fusibles). En este proceso se obtienen dos archivos: El archivo reporte con extensin .rep contiene la informacin de las ecuaciones, la distribucin de terminales pin out, el porcentaje de utilizacin del dispositivo, etctera. El archivo JEDEC con extensin .jed tiene el mapa de fusibles, el cual ser utilizado para programar el dispositivo. Para efectuar todos los pasos de este proceso, d doble clic con el apuntador del mouse sobre los iconos que estn en la ventana de Processes for Current Source. Al realizar la operacin correctamente aparecer una seal de aprobacin en cada uno de ellos, como lo muestra la siguiente figura 31.

Fig. 31. Imagen de ventana de ispLever project.

Archivo Reporte 5 Este archivo se genera como resultado de la compilacin. Ecuaciones: F1 F2 = ( !E & B & !D & A & C # !E & B & D & !A & C # !E & !B & !D & !A & !C ) = ( E & B & !A & C # E & B & D & A & !C # E & !B & !D & !A & !C )

F3 = ( !E & B & !D & !A & C # !E & B & D & A & !C # !E & !B & !D & A & !C # !E & !B & D & !A & !C ) F4 = ( E & !D & A & !C # E & D & !A & !C ) # !E & B & D & !A

F5 = ( !E & !B & !D & !A & C # !E & !B & D & A & !C # !E & B & !D & A & !C & !C ) F6 F7 F8 = ( E & !B & D & A # E & !B & !D & !A & C # E & B & !D & !A & !C )

= ( !E & !B & D & C # !E & !B & A & C = ( E & !B & !D & A & C

# !E & B & !D & !A & !C )

# E & !B & D & !A & C )

Chip Diagram:

D. Programar el dispositivo.

1D. Ejecutar el programa del programador. 2D. Seleccionar del dispositivo en el men Select. 3D. Cargar del archivo JEDEC (F3). 4D. Colocar el dispositivo en el socket. 5D. Programar (F5). 6D. Borrar el dispositivo (Erase). 7D. Programar el dispositivo (Program). Ahora implementara el circuito en la tablilla de conexiones siguiendo el diagrama obtenido en el archivo. Reporte como lo indica la siguiente figura 32.

Fig. 32. Diagrama de circuito eltrico.

Discusin de resultados: Se realizaron pruebas con la siguiente metodologa: Teniendo un valor de salida F1 a F8, presionando los botones A, B, C, D y E. Se consider que el valor de salida F1 = 1, correspondo al LED encendido. El valor de salida F1 = 0, corresponde al LED apagado. Del mismo modo se efectu para las dems salidas.

Teniendo en cuenta que para los botones A, B, C, D y E. El valor 0 corresponde al botn sin oprimir. El valor 1 corresponde al botn oprimido.

Los resultados fueron capturados en la tabla 2:

Tabla 2. Tabla de verdad resultados del circuito.

Resultados generales

Fotografa del circuito concluido: un circuito utilizando 5 estradas hacia un dispositivo programable GAL teniendo como resultado 8 salidas representado en la figura 33.

Fig. 33. Resultados finales de conexin del circuito.

Conclusiones:
Se demostr el resultado aplicando la captura esquemtica de los minitrminos obtenidos mediante un mapa de Karnaugh, capturado en el programa ispLEVER Project por medio de un dispositivo lgico programable (GAL16V8), en cual se implemento de manera fsica en una tablilla de conexiones manifestando salidas ALTAS y BAJAS utilizando LEDs, se aprendi el procedimiento y la aplicacin de un mapa Karnaugh en un dispositivos lgicos programables, llegando as a comprobarse la hiptesis obtenida y la tabla de verdad de esta practica.

Bibliografa:
Fundamentos de diseo digital, Csar A. Leal Chapa, FIME, UANL. Sistemas digitales, Ronald J. Tocci 8va edicin

Objetivo:
Por medio de un sistema de adquisicin de datos analgicos (cero a cinco Volts) mostrar los valores recibidos en un Display (dispositivo para mostrar resultados) de 7 segmentos los valores correspondientes del valor analgico de entrada.

Hiptesis:

Se obtendrn una seal analgica por medio de un sensor, en este caso un potencimetro, y se convertir en seal digital que se representara por medio de un display conectado a un dispositivo lgico programable que estar diseado para que la seal digital se demuestre en forma de dgitos.

Marco Terico:
Conversin de seal analgica a digital La conversin analgica-digital (CAD) o digitalizacin consiste en la transcripcin de seales analgicas en seales digitales, con el propsito de facilitar su procesamiento (codificacin, compresin, etc.) y hacer la seal resultante (la digital) ms inmune al ruido y otras interferencias a las que son ms sensibles las seales analgicas. El conversor ADC (Analog-to-Digital Converter - Conversor Analgico Digital) tiene que efectuar los siguientes procesos: Muestreo de la seal analgica. Cuantificacin de la propia seal Codificacin del resultado de la cuantificacin, en cdigo binario.

Esto representado en la siguiente figura 1.

Fig. 1. Procesos de la conversin A/D.

Para realizar el muestreo (sampling) de una seal elctrica analgica y convertirla despus en digital, el primer paso consiste en tomar valores discretos de tensin o voltaje a intervalos regulares en diferentes puntos de la onda senoidal, la siguiente figura 2 demuestra el comportamiento de la onda.

Fig. 2. Grafica en una onda senoidal de 0 a 7v.

Una vez realizado el muestreo, el siguiente paso es la cuantificacin (quantization) de la seal analgica. La cuantificacin representa el componente de muestreo de las variaciones de valores de tensiones o voltajes tomados en diferentes puntos de la onda sinusoidal, que permite medirlos y asignarles sus correspondientes valores en el sistema numrico decimal, antes de convertir esos valores en sistema numrico binario, en la figura 3 se puede observar la cuantificacin de la onda.

Fig. 3. Grafica de la onda cuantificada.

Despus de realizada la cuantificacin, los valores de las tomas de voltajes se representan numricamente por medio de cdigos y estndares previamente establecidos. Lo ms comn es codificar la seal digital en cdigo numrico binario.

Fig. 4. Grafica de seal digital.

Una seal analgica es aquella cuya amplitud (tpicamente tensin de una seal que proviene de un transductor y amplificador) puede tomar en principio cualquier valor, esto es, su nivel en cualquier muestra no est limitado a un conjunto finito de niveles predefinidos como es el caso de las seales cuantificadas. Esto no quiere decir que se traten de seales de infinita precisin (un error muy extendido): las seales analgicas reales tienen un ruido que se traduce en un intervalo de incertidumbre. Esto quiere decir que obtenida una muestra de una seal analgica en un instante determinado, es imposible determinar cul es el valor exacto de la muestra dentro de un intervalo de incertidumbre que introduce el ruido. Y no existe (ni puede existir) ningn soporte analgico sin un nivel mnimo de ruido, es decir, de infinita precisin. A continuacin en la figura 5 mostraremos la variacin de una seal analgica.

Fig. 5. Representacin grfica de seal analgica.

En cambio, una seal digital es aquella cuyas dimensiones (tiempo y amplitud) no son continuas sino discretas, lo que significa que la seal necesariamente ha de tomar unos

determinados valores fijos predeterminados en momentos tambin discretos. Como se muestra en la figura 6.

Fig. 6. Representacin grfica de seal digital.

Ventajas de la seal digital Cuando una seal digital es atenuada o experimenta perturbaciones leves, puede ser reconstruida y amplificada mediante sistemas de regeneracin de seales. Cuenta con sistemas de deteccin y correccin de errores, que se utilizan cuando la seal llega al receptor; entonces comprueban (uso de redundancia) la seal, primero para detectar algn error, y, algunos sistemas, pueden luego corregir alguno o todos los errores detectados previamente. Facilidad para el procesamiento de la seal. Cualquier operacin es fcilmente realizable a travs de cualquier software de edicin o procesamiento de seal. La seal digital permite la multigeneracin infinita sin prdidas de calidad. Es posible aplicar tcnicas de compresin de datos sin prdidas o tcnicas de compresin con prdidas basados en la codificacin perceptual mucho ms eficientes que con seales analgicas.

Desarrollo Experimental:
Materiales:
Un eliminador de bateras con la siguientes caractersticas: Voltaje de entrada 110-220 V de corriente alterna Frecuencia 50-60 Hz Intensidad de corriente mayor de 500 mA 1 resistencia de 10 k de W 7 resistencias de 330 de W 1 Push buttons Circuito integrado GAL16V8

1 Capacitor electroltico de 10 F 1 capacitor cermico de 150 pf 1 ADC 0804 (convertidor analgico digital) 1 Display de 7 segmentos 1 Potencimetro de 10 K

Procedimiento:
Se realizara un circuito de convertidor de seal analgica a digital es aquel que sus valores de salida se representan con numeracin binaria es decir 1 y 0. El primer paso es trasladar el comportamiento del circuito en una tabla de verdad la cual tenemos a continuacin (tabla 1):

Tabla 1. Comportamiento del circuito.

Como siguiente se seleccionaran los valores de la primera funcin (fa) que son los indicados en la figura 7, y se pasaran los valores a un mapa de Karnaugh como el mostrado en la figura 8.

Fig. 7. Imagen de seleccin de valores.

Fig. 8. Imagen de mapa de Karnaugh.

Enseguida de realizara el procedimiento de agrupaciones y se obtendrn los minitrminos requeridos como en la figura 9, representado en la siguiente funcin: Fa = ACD + AB + ABCD + ABCD + ABCD

Esto se aplicara para todas las funciones de la tabla de verdad.

Ya obtenidas las funciones Booleanas, se implementaran en un dispositivo programable GAL usando la captura esquemtica y compilando ispLEVER Project Los pasos para obtener el circuito integrado a la medida por medio de captura esquemtica se muestran a continuacin: A. Inicio 1A. Abra el programa Isp System Starter (siga los pasos sealados en la figura 10).

Fig. 10. Imagen de pasos para abrir programa.

O bien, d doble clic con el apuntador del mouse en el icono que se muestra en el escritorio de la pantalla de Windows. 2A. Cree un nuevo proyecto (File, New Project) (ver figura 11).

Fig. 11. Imagen de pasos para crear nuevo proyecto.

3A. D nombre del proyecto (Project name) como se muestra en la figura 12.

Fig. 12. Imagen de ventana para crear nuevo proyecto.

4A. Seleccione el dispositivo GAL16V8ZD. (Los pasos se muestran en la figura 13.)

5A. Seleccione el nuevo archivo fuente. (Los pasos se indican en la figura 14 y 15).

Fig. 14. Imagen de ventana para crear nuevo archivo.

Fig. 15. Imagen de ventana para crear archivo de captura ABEL - HDL.

B. Construccin del archivo en ABEL-HDL 1B. Nombre del archivo como se presenta en la figura 16. El nombre que se asigne para identificar este archivo no debe exceder de ocho caracteres.

Fig. 16. Imagen de ventana para dar nombre a archivo.

2B. Capture el archivo ABEL-HDL para las funciones A, B, C, y D en el editor de textos de ABEL y asigne las terminales 1, 2, 3, y 4 a las funciones, respectivamente. Para las salidas Fa, Fb, Fc, Fd, Fe, Ff, y Fg asigne las terminales 19, 18, 17, 16, 15, 14 y 13. Guarde el archivo completo una vez que cumpla con la estructura como en la figura 17 y compile el archivo.

Fig. 17. Imagen de Archivo ABEL-HDL incluyendo TEST_VECTORS.

3B. Obtenga los archivos Reporte como se muestra en la figura 18.

Fig. 18. Imagen de Archivo chip report.

C. Enlazar (Link) 1C. Link Design (enlazar el diseo). Verifique si el o los archivos contienen un cdigo vlido. En caso de que no se acepte aparecer un mensaje que incluye una explicacin y un cdigo de error. 2C. Create Fuse Map (obtener el archivo del mapa de fusibles). En este proceso se obtienen dos archivos: El archivo reporte con extensin .rep contiene la informacin de las ecuaciones, la distribucin de terminales pin out, el porcentaje de utilizacin del dispositivo, etctera. El archivo JEDEC con extensin .jed tiene el mapa de fusibles, el cual ser utilizado para programar el dispositivo. Para efectuar todos los pasos de este proceso, d doble clic con el apuntador del mouse sobre los iconos que estn en la ventana de Processes for Current Source. Al realizar la operacin correctamente aparecer una seal de aprobacin en cada uno de ellos, como lo muestra la siguiente figura 19.

Fig. 19. Imagen de ventana de ispLever project.

Archivo Reporte 6 Este archivo se genera como resultado de la compilacin.

Ecuaciones: Fa = (A&!B) # (A&!C&!D) # (!A&B&!C&D) # (!A&!B&C&D) # (!A&B&C&!D) Fb = (B&!C) # (B&C) # (A&C) # (C&D) Fc = (A&!C) # (A&C) # (B&C) # (C&D) # (!A&B&!D) Fd = (!A&!B) # (!A&!C&D) # (!A&C&!D) # (A&!C&!D) # (A&!B&!C) # (!B&C&D) Fe = (!A&!B) # (!C&D) # (A&D) # (A&B&C) Ff = (!A&!B) # (C&D) # (A&!C) # (A&B) Fg = (A&!C) # (A&D) # (B&D) # (B&C)

Chip Diagram:

D. Programar el dispositivo. 1D. Ejecutar el programa del programador. 2D. Seleccionar del dispositivo en el men Select. 3D. Cargar del archivo JEDEC (F3). 4D. Colocar el dispositivo en el socket. 5D. Programar (F5). 6D. Borrar el dispositivo (Erase). 7D. Programar el dispositivo (Program). A continuacin se conectaran los componentes en la tabla de conexiones, para conectar el convertidor ADC 0804 se cuenta con un diagrama de apoyo (ver figura 20).

Fig. 20. Diagrama de ADC0804.

Como primer paso se colocaran los componentes en la tabla de conexiones, como se muestra en la figura 21, despus se conectara el convertidor ADC0804 como la indica la figura anterior (figura 20).

Fig. 21. Imagen de componentes en tabla de conexiones.

En las terminales o lneas de entrada 6 se conectara un alambre hacia la lnea donde esta conectara la terminal 2 del potencimetro y la terminal 7 del ADC 0804 se conectara a la lnea de GND (tierra o negativo). Las terminales de la 11 a la 14 del ADC 0804 irn conectadas a las terminales 1 a la 4 para representar el sistema combinacional por medio de impulsos o seal digital, esto de la siguiente forma:

Terminal 11 Terminal 12 Terminal 13 Terminal 14

a terminal 1 de GAL. a terminal 2 de GAL. a terminal 3 de GAL. a terminal 4 de GAL.

El GAL por medio de la programacin debern mostrarse los siguientes rangos de valores en el display:

a) Seal baja por medio de una letra que lo identifique (ejemplo L low o B baja). b) Seal normal con los nmeros del 0 al 9. c) Seal alta por medio de una letra que lo identifique (ejemplo H High o A alta).

Para esto debemos conectar las terminales de salida 19 a 13 del GAL hacia una resistencia de 330 y despus al display (una resistencia por cada salida) como se indica en la figura 22.

Fig. 22. Imagen de conexiones de GAL a Display.

Para que el circuito funcione correctamente, el potencimetro deber de ir conectado con su primera terminal a VCC y la 3 terminal a GND o viceversa, recordando que el potencimetro es una resistencia y no tiene polaridad. Concluyendo estos pasos se deber tener un circuito conectado de la siguiente forma (ver fig. 23).

Fig. 23. Diagrama del circuito terminado.

En caso de que el circuito no funcione revisar nuevamente el diagrama del adc0804 y asegurar que este correctamente conectado (ver fig. 20), si el display arroja valores distintos a los establecidos revisar programacin y tabla de verdad 1.

Discusin de resultados: Se realizaron pruebas con la siguiente metodologa: a) Seal baja por medio de una letra que lo identifique (L low). b) Seal normal con los nmeros del 0 al 9. c) Seal alta por medio de una letra que lo identifique (H High). Estas letras y nmeros son representados en el display dependiendo de la variacin de voltaje del potencimetro. Los resultados fueron capturados en la tabla 2:

Tabla 2. Tabla de verdad resultados del circuito.

Resultados generales

Fotografa del circuito concluido: circuito convertidor de seal analgica a digital conectando un sensor de 0 a 5 v hacia un convertidor analgico digital ADC0804, obteniendo un sistema combinacional por medio de un PLD conectado hacia un Display de 7 segmentos, representado en la figura 24.

Fig. 24. Resultados finales de conexin del circuito.

Conclusiones:
Se demostr el resultado de la conversin de la seal analgica a digital por medio de un componente electrnica ADC0804, el cual reciba una seal analgica de un potencimetro y converta en seal digital hacia un PLD, que fue programado para realizar un sistema combinacional conectado a un display reflejando valores con relacin a la tabla de verdad ya obtenida, se comprob la hiptesis y se aprendi el funcionamiento de dicho convertidor de seal.

Bibliografa:
Fundamentos de diseo digital, Csar A. Leal Chapa, FIME, UANL. Sistemas digitales, Ronald J. Tocci 8va edicin M.C. Juan ngel Garza Garza http://jagarza.fime.uanl.mx

Objetivo:
Por medio de circuitos generadores de pulsos el objetivo es conocer y demostrar el comportamiento de las ondas cuadrados que se utilizan en circuitos de seal digital.

Hiptesis:

Se obtendrn pulsos de seal cuadrada por medio de un LED que encender de manera intermitente conectado a distintos generadores de pulso, el comportamiento de estos circuito se representara de mejor manera si se cuenta con un osciloscopio.

Marco Terico:
Seal cuadrada Se conoce por onda cuadrada a la onda de corriente alterna (CA) que alterna su valor entre dos valores extremos sin pasar por los valores intermedios (al contrario de lo que sucede con la onda senoidal y la onda triangular, etc.)Se usa principalmente para la generacin pulsos elctricos que son usados como seales binarias (1 y 0) que permiten ser manipuladas fcilmente, un circuito electrnico que genera ondas cuadradas se conoce como generador de pulsos. La seal cuadrada es muy utilizada para realizar determinadas mediciones, e implementar controles en sistemas de conmutacin. Se caracteriza por tener solamente dos valores posibles. Se le puede definir amplitud, periodo, frecuencia y desfasaje, un ejemplo de esta seal se muestra en la figura 1.

Figura 1. Seal cuadrada.

El paso de un valor a otro se denomina flanco, ascendente o descendente segn corresponda. Si bien en teora el cambio debera ser instantneo.

Eliminador de rebotes Cuando a un circuito se le implementa un conmutador con el propsito de enviarle una seal de entrada, sea esta de nivel bajo o alto ("0 V." o "Vcc V), a veces es conveniente colocarle lo que se llama un eliminador de rebote.

La razn por la cual es muy difcil lograr que una seal de entrada sea perfecta, es que el conmutador es un elemento mecnico, que a la hora de cerrar produce rebotes como los que se ve en la figura 2 (en el caso de esta figura Vcc = 5V).

Figura 2. Grafica de una sea con rebotes.

Estos rebotes seran similares a los de una pelota que se deja caer y al final se detiene. En un conmutador este fenmeno no es evidente, pero si ocurre.

Multivibrador astable Un multivibrador astable es un circuito capaz de generar ondas a partir de una fuente de alimentacin continua. La frecuencia de estas ondas depender de la carga y descarga de los condensadores C1 y C2, que sern provocadas por la conmutacin de los transistores TR1 y TR2, como se muestra en la figura 3.

Figura 3. Diagrama de multivibrador astable.

Si dividimos el circuito por la mitad verticalmente, tendremos R1, R2, C1 y TR1 por un lado, y por otro lado tendremos R3, R4, C2 y TR2. En un instante de tiempo T=0, instante en el que aplicamos la tensin de alimentacin, los transistores iniciarn la conduccin ya que sus bases reciben un potencial positivo a travs de R2 y R3, pero uno comenzar la conduccin antes que el otro, por cuestiones de fabricacin y dopaje, no sern exactamente idnticos. T1 el que empieza a conducir primero, y como es un transistor NPN, al aplicar intensidad en su base, entrar en saturacin, es decir, su Voltaje colector-emisor ser prcticamente despreciable ( 0V), consiguiendo as una correcta polarizacin del condensador C1, que comenzar a cargarse a travs de la resistencia R2. La relacin entre C1 y R2, determinar el tiempo de carga del condensador, si aplicamos la formula del tiempo de carga de un condensador (Tau) = C R (Donde C es la capacidad de C1 en Faradios, y R es el valor de R2 en Ohmios), obtendremos el tiempo en segundos que tarda en cargar el condensador un 63,2% de la tensin de alimentacin. En el momento en que la carga de C1, supere la tensin de 0,7 V, pasamos al instante de tiempo T=1, donde C1 ser capaz de superar la barrera de potencial del transistor T2, haciendo que ste entre en saturacin y por consiguiente su Vc 0, permitiendo la carga del condensador C2 a travs de la resistencia R3 hasta superar la carga de 0,7 V, que har que T1 entre de nuevo en saturacin, comenzando nuevamente un ciclo indefinido.

Para conseguir una forma de onda simtrica, debemos asegurarnos que el circuito es simtrico en cuanto a valores de sus componentes, es decir, R1=R4, R2=R3, C1=C2 y TR1=TR2.

Compuerta Not Schmitt Trigger retroalimentada Este generador de onda cuadrada es como el circuito de disparo Schmitt en donde el voltaje de referencia para la accin del comparador depende del voltaje de salida. Este circuito se clasifica tambin como un multivibrador inestable (astable). El schmitt trigger usa la histresis para prevenir el ruido que podra tapar a la seal original y que causara falsos cambios de estado si los niveles de referencia y entrada son parecidos. Para su implementacin se suele utilizar un amplificador operacional realimentado positivamente. Los niveles de referencia pueden ser controlados ajustando las resistencias R1 y R2 (ver figura 4).

Figura 4. Diagrama de circuito de disparo Schmitt.

Desarrollo Experimental:
Materiales:
Un eliminador de bateras con la siguientes caractersticas: Voltaje de entrada 110-220 V de corriente alterna Frecuencia 50-60 Hz Intensidad de corriente mayor de 500 mA 2 resistencia de 1 k de W 6 resistencias de 330 de W

1 Interruptor permanente de un tiro dos polos 1 Circuito integrado NE555

1 Circuito integrado SN7400 2 Capacitor cermico de .1 f 1 Capacitor electroltico de 22 f 1 Circuito integrado SN7414 1 Capacitor electroltico de 220 f 1 Potencimetro de 100 K 1 Potencimetro de 1 K
3 diodos emisores de luz

Procedimiento:
Se realizaron tres circuitos generadores de pulsos. Los cuales fueron conectados conforme a los diagramas asignados, que fueron los siguientes: Eliminador de rebotes con interruptor de un tiro de dos polos. Compuerta Not Schmitt Trigger retroalimentada. Multivibrador astable.

Se comenz con el circuito multivibrador astable, para este circuito se contaba con un diagrama el cual se representa en la figura 5.

Figura 5. Diagrama de multivibrador astable.

Como primer paso se colocaron el potencimetro de 100 k y el circuito integrado NE555 en la tabla de conexiones y despus se realizaron las conexiones del circuito integrador de la siguiente forma. o o o La terminal 1 se realizo una conexin con un alambre hacia la lnea de GND (tierra o negativa). En la terminal 2 se conecto el capacitor electroltico de 22 f, con la terminal anodo en la lnea de la terminal del NE555 y la terminal catodo a la lnea de GND. La terminal 3 se conecto un LED con el lado catodo a la lnea GND, esto para demostrar el comportamiento del circuito, tambin se conecto una resistencia de 330 para proteger los componentes conectado y se ensamblo un alambre de la terminal 3 a la terminal 8 del circuito integrado. La terminal 4 va conectada a la linea de VCD. La terminal 5 se conecta un capacitor cermico de .1 f hacia la lnea de GND, los capacitores cermicos no tienen polaridad por lo que no importa la terminal del capacitor que se conecta a la lnea GND (tierra o negativo). En la terminal 6 se conecto un alambre ala terminal 1 del potencimetro de 100 K, el potencimetro tampoco tiene polaridad por lo que no importo la terminal que se haya considerado como 1. La terminal 7 se conecto una resistencia de 1 k y el otro extremo de la terminal se conecto un alambre hacia la tercera terminal del potencimetro que se haya considerado. De la terminal donde fue conectado la resistencia se realizara una uni por medio de un alambre a la segunda terminal del mismo potencimetro. En la terminal 8 se conecto la lnea de VCD.

o o

Para que funcione correctamente el circuito se conecto otro capacitor cermico de .1 f, una terminal fue conectada en la lina de VCD y la otro en la lnea de GND. El circuito quedo conectado como se muestra en la figura 6.

Figura 6. Circuito multivibrador astable. El siguiente circuito que se conecto fue el eliminador de rebotes con un interruptor permanente de dos polos un tiro, el cual se conto con dos opciones utilizando un circuito integrado SN7400 o un circuito programable, para este caso se opto por utilizar un circuito integrado SN7400, por medio del siguiente diagrama (figura 7) se realizaran las conexiones para la aplicacin de la eliminacin de rebotes.

Figura 7. Diagrama del eliminador de rebotes.

Primeramente se conecto el circuito integrador y el interruptor permanente, a continuacin se describirn los pasos para la conexin del circuito. o La terminal 1 del circuito integrador se conecto por medio de una resistencia de 330 hacia la lnea de VCD, tambin por la lnea de la terminal 1 del SN7400 se conecto un alambre hacia la primera terminal del interruptor. En la terminal 2 se conecto un alambre hacia la terminal 6 de ese mismo circuito. La terminal 3 se uni hacia la terminal 4 y por la misma line de la terminal 3 se conecto un LED, en la terminal ctodo del LED se conecto una resistencia de 330 hacia la lnea GND de la tabla de conexiones. En la terminal 5 de conecto una resistencia de 330 hacia la lnea de VCD y esa misma terminal se uni con la terminal 3 del interruptor permanente y la terminal 2 del interruptor se uni hacia la lnea de GND. Por ultimo en la terminal 6 se conecto otro LED y en su terminal ctodo se uni una resistencia de 330 hacia la lnea GND. El circuito quedo implementada como en la siguiente figura 8.

o o

Figura 8. Circuito de eliminador de rebotes

En el tercer circuito se realiz una compuerta Not Schmitt Trigger retroalimentada, para este circuito se conecto un circuito integrador SN7414, un potencimetro de 1 K , un capacitor electroltico de 470 f y un LED para representar la seal digital, como se muestra en la figura 9.

Figura 9. Diagrama de la compuerta not Schmitt trigger.

Este circuito es el ms sencillo y simple de los tres por lo que solo se utilizo 4 terminales del SN7414, que se conectaron de la siguiente forma.

o o o

La terminal 14 se uni hacia la lnea de VCD de la tabla de conexiones. La terminal 7 hacia la lnea de GND por medio de un alambre. La terminal 1 se conecto un capacitor electroltico de 470 f, la terminal negativa hacia la lnea GND, por la misma lnea de la terminal 1 se conecto un alambre hacia la terminal 1 del potencimetro. En la terminal 2 se conecto otro alambre hacia la terminal 3 del potencimetro, tambin se conecto un LED para representar la seal digital como se muestra en la figura 10.

Figura 10. Circuito compuerta not Schmitt trigger retroalimentada.

Discusin de resultados:

Para el circuito multivibrador astable el resultado se aprecio en un LED, el cual enciende de manera intermitente, y su frecuencia depende de la variacin del potencimetro. Eliminador de Rebotes El eliminador de rebotes solo se puede demostrar su funcionamiento utilizando un osciloscopio, el cual su funcin es eliminar los rebotes (picos) de una seal para tener como resultado una seal cuadrada. Compuerta not Schmitt Trigger Este circuito al igual que el multivibrador astable utilizando un osciloscopio nos debe desplegar una seal de reloj, fsicamente el circuito funciona por un LED y la frecuencia varia ajustando el potencimetro y se aprecia en el cambio de encendido del LED.

Estos circuitos se puede demostrar de mejor manera su comportamiento de forma grafica por medio de un osciloscopio, ya que este se representa de forma detallada los efectos de las seales emitidas

Resultados generales

Fotografa del circuito concluido: circuitos generadores de pulsos utilizando circuitos integradores, representado en la figura 11.

Figura 11. Resultados finales de conexin del circuito

Conclusiones:
Se demostr el resultado los generadores de pulsos compuestos por circuitos integradores, teniendo como resultado el encendido intermitente de los diodos emisores de luz dependiendo de la variacin aplicada en los potencimetros, se comprob la hiptesis y se aprendi el funcionamiento de dichos generadores de pulsos.

Bibliografa:
Fundamentos de diseo digital, Csar A. Leal Chapa, FIME, UANL. Sistemas digitales, Ronald J. Tocci 8va edicin M.C. Juan ngel Garza Garza http://jagarza.fime.uanl.mx

Objetivo:
Realizar un circuito, se aplicar la metodologa para disear un sistema secuencial sncrono y se implementar por medio de un lenguaje de descripcin de hardware en un dispositivo lgico programable.

Hiptesis:
Se realizara un circuito de diseo secuencial el cual se basa en una maquina de Moore, con el que se trata de representan el concurso de jeopardy, que funcionara obteniendo tres impulso de entrada y en la salida solo se representara el impulso que se haya obtenido primero.

Marco Terico:
Diseo secuencial En el sistema secuencial sncrono los valores de salida no dependen nicamente de las combinaciones de entrada, sino tambin de la salida misma. Los cambios de estado estn sujetos a una seal de sincrona de los Flip Flops llamada reloj o Clk como se representa en la figura 1.

Figura 1. Diagrama del proceso del sistema secuencial.

Modelos secuenciales y sus representaciones Una Mquina de Moore es un autmata de estados finitos donde las salidas estn determinadas por el estado actual nicamente (y no depende directamente de la entrada). El diagrama de estados para una mquina Moore incluir una seal de salida

para cada estado. Comparada con la Mquina de Mealy, la cual mapea transiciones en la maquina a salidas. La mayora de las electrnicas estn diseadas como sistemas secuenciales sncronos. Los sistemas secuenciales sncronos son una forma restringida de mquinas de Moore donde el estado cambia solo cuando la seal de reloj global cambia. Normalmente el estado actual se almacena en Flip-flops, y la seal de reloj global est conectada a la entrada "clock" de los flip-flops. Los sistemas secuenciales sncronos son una manera de resolver problemas de Metastabilidad. Una mquina electrnica de Moore tpica incluye una cadena de Lgica combinacional para decodificar el estado actual en salidas (lambda). El instante en el cual el estado actual cambia, aquellos cambios se propagan a travs de la cadena y casi instantneamente las salidas cambian (o no cambian). A continuacin se observa la figura 2 con referencia al diagrama de la maquina de Moore.

Figura 2. Diagrama de maquina de Moore.

Una Mquina de Mealy es un tipo de mquina de estados finitos que genera una salida basndose en su estado actual y una entrada. Esto significa que el Diagrama de estados incluir ambas seales de entrada y salida para cada lnea de transicin. En contraste, la salida de una mquina de Moore de estados finitos (el otro tipo) depende solo del estado actual de la mquina, dado que las transiciones no tienen entrada asociada. Sin embargo, para cada Mquina de Mealy hay una mquina de Moore equivalente cuyos estados son la unin de los estados de la mquina de Mealy y el Producto cartesiano de los estados de la mquina de Mealy y el alfabeto de entrada.

Las mquinas de Mealy suministran un modelo matemtico rudimentario para las mquinas de cifrado. Considerando el alfabeto de entrada y salida del alfabeto Latino, por ejemplo, entonces una mquina de Mealy puede ser diseada para darle una cadena de letras (una secuencia de entradas), esto puede procesarlo en un string cifrado (una secuencia de salidas). Sin embargo, aunque se podra probablemente usar un modelo de Mealy para describir una Mquina Enigma, el diagrama de estados sera demasiado complejo para suministrar medios factibles de disear mquinas de cifrado complejas. En la figura 3 observamos el diagrama de la maquina de Mealy.

Figura 3. Diagrama de maquina de Mealy.

Diagrama de transicin Una forma muy explcita de especificar los eventos en un sistema secuencial es usando un diagrama de transicin como se muestra en la figura 4.

Figura 4 diagrama de transicin.

Un diagrama de transicin se compone de los siguientes elementos: Estados: Una condicin o situacin en la vida de un objeto, durante la cual satisface una condicin, realiza una actividad o est esperando un evento, esto es representado grficamente de la manera como se ve en la figura 5.

Figura 5. Representacin de los eventos.

Transicin en el mismo estado: Una entrada X cuyo estado prximo es el mismo que la figura 5 solo que a este se le implementa una flecha en representacin de su pertenencia en el evento al cambiar su entrada, esto dependiendo de lo que se valla a realizar, como se observa en la figura 6.

Figura 6. Transicin del mismo estado.

Transicin entre dos estados: Una relacin entre estados que indica que un objeto, que est en el primer estado, realizar una accin especificada, y entrar en el segundo estado cuando un evento Y

ocurra y se satisfagan ciertas condiciones especificadas, la direccin que toma el evento al cambiar su entrada se demuestra en la figura 7. Entradas: Combinaciones que establecen un cambio de evento. Salidas: Valores combinacionales que determinan un evento.

Figura 7. Transicin en 2 estados.

Metodologa del diseo secuencial, concluyendo con la implementacin mediante captura esquemtica.

1) 2) 3) 4)

5) 6) 7) 8)

Especificar el sistema (diagrama de transicin). Determinar la cantidad de Flip Flops. Asignar los valores a los estados. Determinar las entradas y salidas. a) Entrada de sincrona reloj b) Entradas combinacionales c) Salidas combinacionales d) Salidas registradas (FF) Construir una tabla de estados. Minimizar. Obtener diagrama esquemtico. Realizar la implementacin.

Desarrollo Experimental:
Materiales:
Un eliminador de bateras con la siguientes caractersticas: Voltaje de entrada 110-220 V de corriente alterna Frecuencia 50-60 Hz Intensidad de corriente mayor de 500 mA 7 resistencias de 330 de W 4 push buttons Circuito integrado GAL16V8

1 Circuito integrado SN7414 1 Capacitor electroltico de 220 f 1 Potencimetro de 1 K


8 diodos emisores de luz

Procedimiento:
Se realizo un circuito de diseo de secuencia y consiste en el concurso de jeopardy, el cual se construyo en base a la maquina de Moore, el sistema secuencial deber de indicar por medio de uno de los tres focos (Foco A, Foco B, Foco C) cual de los tres participantes en un concurso de preguntas y respuestas es el primero en oprimir el botn (Botn A, Botn B, Botn C), se requiere de un cuarto botn (Botn R) para que el conductor del programa una vez terminada la respuesta, regrese al sistema a condiciones iniciales (Focos apagados). A continuacin se describir el proceso de montaje y realizacin del circuito. Para especificar el comportamiento del sistema se puede emplear el diagrama de transicin, donde se indican entradas, salidas y estados, el resultado se muestra en la figura 8.

Figura 8. Diagrama de transicin.

La cantidad de Flip Flops depende del nmero de estados utilizados en el diagrama de transicin, como lo indica la siguiente tabla 1: Estados 2 3o4 5a8 9 a 16 17 a 32 33 a 64 65 a 128 129 a 256 257 a 512 Cantidad de Flip Flops 1 2 3 4 5 6 7 8 9

Tabla 1. Cantidad de flip flops dependiendo de estados. La asignacin de valores a los estados puede ser al azar y corresponde a las combinaciones posibles que generan las salidas Q de los Flip Flops. En el diagrama de bloques (figura 9) se tienen como entradas los botones A, B, C y R adems de la seal de sincrona Clk, como salidas Combinacionales se requieren cada uno de los focos y como salidas secuenciales o registradas dos Flip Flops llamados Q1 y Q0 que sus combinaciones representaran a cada uno de los cuatro posibles estados.

Figura 9. Diagrama de bloques.

En la tabla de estados se puede observar el estado en funcin de la posible entrada, se considera en que solo un botn pude presentarse a la vez ya que la frecuencia de operacin del Clk es alta y

remotamente se tendrn dos o mas botones en el mismo instante, para mantener una operacin estable del sistema se le asignan indistintamente el valor del estado prximo. La asignacin de valores a los estados puede ser al azar como en la tabla 2: Q1 0 0 1 1 Q0 0 1 0 1

Cl Fa Fb Fc

Tabla 2. Tabla de estados.

Despus de obtener las entradas y salidas, los flip flops y los estados se procede a realizar la programacin en ABEL-HDL. El archivo en formato ABEL-HDL de este sistema secuencial se presenta a continuacin: MODULE pract008 "entradas Clk,A,B,C,R pin 1,2,3,4,5; "Salidas combinacionales FCA,FCB,FCC PIN 19,18,17 istype 'com'; "Salidas registradas Q1,Q0 PIN 16,15 istype 'reg'; "sincronizacion SREG=[Q1,Q0]; EQUATIONS SREG.CLK=Clk; DECLARATIONS E0=[0,0]; E1=[0,1]; E2=[1,0]; E3=[1,1]; STATE_DIAGRAM SREG STATE E0: FCA=0;FCB=0;FCC=0; IF !A&!B&!C&!R THEN E0; IF A&!B&!C&!R THEN E1; IF !A&B&!C&!R THEN E2; IF !A&!B&C&!R THEN E3 ELSE E0; STATE E1: FCA=1;FCB=0;FCC=0; IF R THEN E0 ELSE E1; STATE E2:

FCA=0;FCB=1;FCC=0; IF R THEN E0 ELSE E2; STATE E3: FCA=0;FCB=0;FCC=1; IF R THEN E0 ELSE E3; "Simulacion Test_vectors ([Clk,A,B,C,R]->[FCA,FCB,FCC]) [.c.,0,0,0,1]->[.x.,.x.,.x.]; [.c.,0,0,0,0]->[.x.,.x.,.x.]; [.c.,1,0,0,0]->[.x.,.x.,.x.]; [.c.,0,1,0,0]->[.x.,.x.,.x.]; [.c.,0,0,0,1]->[.x.,.x.,.x.]; [.c.,0,0,0,0]->[.x.,.x.,.x.]; [.c.,0,1,0,0]->[.x.,.x.,.x.]; [.c.,0,0,1,0]->[.x.,.x.,.x.]; [.c.,0,0,0,1]->[.x.,.x.,.x.]; [.c.,0,0,0,0]->[.x.,.x.,.x.]; [.c.,0,0,1,0]->[.x.,.x.,.x.]; [.c.,0,1,0,0]->[.x.,.x.,.x.]; [.c.,0,0,0,1]->[.x.,.x.,.x.]; [.c.,0,0,0,0]->[.x.,.x.,.x.]; END

Archivo Reporte Este archivo se genera como resultado de la compilacin.

Ecuaciones: FCA FCB FCC = ( Q1.Q & !Q0.Q ); = ( !Q1.Q & Q0.Q ); = ( !Q1.Q & !Q0.Q ); # Q0.Q & !A & B & !C & !R ); " ISTYPE

Q1.D = ( !Q1.Q & !R # Q0.Q & !A & !B & C & !R 'INVERT' Q1.C = ( Clk ); Q0.D = ( !Q0.Q & !R 'INVERT' Q0.C = ( Clk ); # Q1.Q & !A & !B & C & !R

# Q1.Q & A & !B & !C & !R ); " ISTYPE

Chip Diagram:

Ahora pasaremos a implementar nuestro circuito sobre la tabla de conexiones en el cual tambin construiremos un generador de pulsos utilizando un circuito integrado SN7414, podemos ver el diagrama esquemtico del generador en la figura 10, la salida de la terminal 2 del SN7414 fue conectado a la terminal 1 del GAL16V8.

Figura 10. Generador de pulsos.

Se utilizaron 4 entradas representadas por LED, resistencias de 330 y Push button como se muestra en la figura 11.

Figura 11. Fotografa de entradas del circuito.


Se conectan los LED con la terminal anodo a lnea de VDC y la otra terminal a una terminal del push button del lado contrario de conecta una resistencia de 330 de la terminal de salida del push button a la lnea de GND. De acuerdo a la programacin realizada el push botton A fue conectada a la terminal 2 del GAL16V8, el push button B a la terminal 3, el push button C a la terminal 4 y el push button R a la terminal 5 como se muestra en la figura 12.

Figura 12. Diagrama de conexin de entradas. Para las salidas del circuito integrado GAL se ralizo una conexin de LED hacia una resistencia de 330 cada uno, una terminal de la resistencia fue conectada a la lnea de GND (tierra o negativa) como se observa en la figura 13.

Figura 13. Conexin de salidas del circuito. Despus de la conexiones descritas y realizar la conexin de VCD y GND del circuito integrado, el circuito queda de la siguiente forma (figura 14).

Figura 14. Circuito ensamblado en tabla de conexiones. Discusin de resultados:

Para este circuito los resultados se representado por medio de tres salidas las cuales funcionan de la siguiente forma: Se contaron con 4 entradas representados por push button, el cual al oprimir la entrada A, B o C se encender su respectivo LED de salida y se mantendr en encendido hasta oprimir el push button R o reset. La cuestin es que al oprimir el A, B o C solo se encender el LED del primer push button que se oprima y los otros se bloquearan y no encendern, la reaccin de este circuito depender de la frecuencia de la seal que se este aplicando.

Resultados generales

Fotografa del circuito concluido: circuito de diseo de secuencia utilizando circuitos integradores, representado en la figura 15.

Figura 15. Resultados finales de conexin del circuito

Conclusiones:
Se demostr la hiptesis obtenida y se aprendi el concepto, funcionamiento y la forma de realizacin de sistemas secuenciales por medio de estados y transiciones, as como la deferencia entre las maquinas de Moore y Mealy.

Bibliografa:
Fundamentos de diseo digital, Csar A. Leal Chapa, FIME, UANL. Sistemas digitales, Ronald J. Tocci 8va edicin M.C. Juan ngel Garza Garza http://jagarza.fime.uanl.mx

Objetivo:
Realizar una programacin para implementar la secuencia de movimientos de un motor a pasos,la programacin se realizara por medio una seal de reloj mediante un lenguaje de descripcin de hardware en un dispositivo lgico programable.

Hiptesis:
Se realizara un circuito el cual se implementara un circuito programable para efectuar una serie de movimientos de un motor a pasos de 3 bobinas, impulsado por un pulso de reloj.

Marco Terico:
Motores paso a paso
Los motores paso a paso son ideales para la construccin de mecanismos en donde se requieren movimientos muy precisos. La caracterstica principal de estos motores es el hecho de poder moverlos un paso a la vez por cada pulso que se le aplique. Este paso puede variar desde 90 hasta pequeos movimientos de tan solo 1.8, es decir, que se necesitarn 4 pasos en el primer caso (90) y 200 para el segundo caso (1.8), para completar un giro completo de 360.

Estos motores poseen la habilidad de poder quedar enclavados en una posicin o bien totalmente libres. Si una o ms de sus bobinas est energizada, el motor estar enclavado en la posicin correspondiente y por el contrario quedar completamente libre si no circula corriente por ninguna de sus bobinas. En este captulo trataremos solamente los motores P-P del tipo de imn permanente, ya que estos son los ms usados en robtica. Principio de funcionamiento Bsicamente estos motores estn constituidos normalmente por un rotor como en la figura 1, sobre el que van aplicados distintos imanes permanentes y por un cierto nmero de bobinas excitadoras bobinadas en su estator (ver figura2).

Figura 1. Imagen de rotor.

Figura 2. Imagen de extractor de 4 bobinas. Las bobinas son parte del estator y el rotor es un imn permanente. Toda la conmutacin (o excitacin de las bobinas) deber ser externamente manejada por un controlador. Existen dos tipos de motores paso a paso de imn permanente como se representan en la figura 3 y 4:

Figura 3. Imagen de motor bipolar.

Figura 4. Imagen de motor unipolar. Motor Bipolar: Estos tiene generalmente cuatro cables de salida (ver figura 3). Necesitan ciertos trucos para ser controlados, debido a que requieren del cambio de direccin del flujo de corriente a travs de las bobinas en la secuencia apropiada para realizar un movimiento. En la figura 5 podemos apreciar un ejemplo de control de estos motores mediante el uso de un puente en H (H-Bridge). Como se aprecia, ser necesario un HBridge por cada bobina del motor, es decir que para controlar un motor Paso a Paso de 4 cables (dos bobinas), necesitaremos usar dos H-Bridges iguales al de la figura 5.

Figura 5. Imagen de controlador de motor. Unipolar: Estos motores suelen tener 6 o 5 cables de salida, dependiendo de su conexionado interno (ver figura 4). Este tipo se caracteriza por ser ms simple de controlar. En la figura 6 podemos apreciar un ejemplo de conexionado para controlar un motor paso a paso unipolar mediante el uso de un ULN2803, el cual es una array de 8 transistores tipo Darlington capaces de manejar cargas de hasta 500mA. Las entradas de activacin (activa A, B, C y D) pueden ser directamente activadas por un microcontrolador.

Figura 6. Diagrama de circuito integrador uln2803 conectado a un motor. Como comentario final, cabe destacar que debido a que los motores paso a paso son dispositivos mecnicos y como tal deben vencer ciertas inercias, el tiempo de duracin y la frecuencia de los pulsos aplicados es un punto muy importante a tener en cuenta. En tal sentido el motor debe alcanzar el paso antes que la prxima secuencia de pulsos comience. Si la frecuencia de pulsos es muy elevada, el motor puede reaccionar en alguna de las siguientes formas: Puede que no realice ningn movimiento en absoluto. Puede comenzar a vibrar pero sin llegar a girar. Puede girar errticamente. O puede llegar a girar en sentido opuesto.

Para obtener un arranque suave y preciso, es recomendable comenzar con una frecuencia de pulso baja y gradualmente ir aumentndola hasta la velocidad deseada sin superar la mxima tolerada. El giro en reversa debera tambin ser realizado previamente bajando la velocidad de giro y luego cambiar el sentido de rotacin. Cuando se trabaja con motores P-P usados o bien nuevos, pero de los cuales no tenemos hojas de datos. Es posible averiguar la distribucin de los cables a los bobinados y el cable comn en un motor de paso unipolar de 5 o 6 cables siguiendo las instrucciones que se detallan en la figura 7:

Figura 7. Imagen de motores de 5 y 6 cables de salida. Aislando el cable(s) comn que va a la fuente de alimentacin: Como se aprecia en las figuras anteriores, en el caso de motores con 6 cables, estos poseen dos cables comunes, pero generalmente poseen el mismo color, por lo que lo mejor es unirlos antes de comenzar las pruebas. Usando un tester para chequear la resistencia entre pares de cables, el cable comn ser el nico que tenga la mitad del valor de la resistencia entre ella y el resto de los cables. Esto es debido a que el cable comn tiene una bobina entre ella y cualquier otro cable, mientras que cada uno de los otros cables tiene dos bobinas entre ellos. De ah la mitad de la resistencia medida en el cable comn. Identificando los cables de las bobinas (A, B, C y D): aplicar un voltaje al cable comn (generalmente 12 volts, pero puede ser ms o menos) y manteniendo uno de los otros cables a masa (GND) mientras vamos poniendo a masa cada uno de los dems cables de forma alternada y observando los resultados. Identificando los cables en Motores P-P Bipolares: Para el caso de motores paso a paso bipolares (generalmente de 4 cables de salida), la identificacin es ms sencilla. Simplemente tomando un tester en modo ohmetro (para medir resistencias), podemos hallar los pares de cables que corresponden a cada bobina, debido a que entre ellos deber haber continuidad (en realidad una resistencia muy baja). Luego solo deberemos averiguar la polaridad de la misma, la cual se obtiene fcilmente probando. Es decir, si conectado de una manera no funciona, simplemente damos vuelta los cables de una de las bobinas y entonces ya debera funcionar correctamente. Si el sentido de giro es inverso a lo esperado, simplemente se deben invertir las conexiones de ambas bobinas y el H-Bridge. Para recordar Un motor de paso con 5 cables es casi seguro de 4 fases y unipolar. Un motor de paso con 6 cables tambin puede ser de 4 fases y unipolar, pero con 2 cables comunes para alimentacin, pueden ser del mismo color.

Un motor de pasos con solo 4 cables es comnmente bipolar.

Desarrollo Experimental:
Materiales:
Un eliminador de bateras con la siguientes caractersticas: Voltaje de entrada 110-220 V de corriente alterna Frecuencia 50-60 Hz Intensidad de corriente mayor de 500 mA Un motor paso a paso de 12v 1 push buttons Circuito integrado GAL16V8

1 Circuito integrado SN7414 1 Circuito integrado ULN2803 1 Capacitor electroltico de 220 f 1 Potencimetro de 1 K
1 diodos emisores de luz

Procedimiento:
Se realizo un circuito se una serie de movimientos para un motor, para manejar el motor de pasos, se usa una interface que consta de un sistema secuencial y un driver, o manejador de potencia en la salida, que tenga la capacidad de conducir la corriente necesaria en las bobinas del motor de pasos. A continuacin se describir el proceso de montaje y realizacin del circuito. Las seales que recibe esta interface son: Clk: es una seal activada por un flanco positivo (transicin positiva), que le indica a lainterfaz que rote al motor un slo paso, esta entrada debe estar al menos activa por 20 microsegundos. Disee un secuenciador bidireccional para un motor de quince pasos usando el modelo de la mquina de Moore. La secuencia de funcionamiento para la rotacin se presenta en la siguiente tabla 1: Paso 1 2 3 4 5 6 7 A 1 0 0 1 0 0 0 B 0 1 0 0 1 0 1 C 0 0 1 0 0 1 0 Estado E0 E1 E2 E3 E4 E5 E6

8 9 10 11 12 13 14 15 16

1 0 0 1 0 0 0 1 1

0 1 0 0 1 0 1 0 0

0 0 1 0 0 1 0 0 0

E7 E8 E9 E10 E11 E12 E13 E14 E0

Tabla 1. Funcionamiento de secuencia. La cantidad de Flip Flops depende del nmero de estados utilizados en el diagrama de transicin, como lo indica la siguiente tabla 2: Estados 2 3o4 5a8 9 a 16 17 a 32 33 a 64 65 a 128 129 a 256 257 a 512 Cantidad de Flip Flops 1 2 3 4 5 6 7 8 9

Tabla 2. Cantidad de flip flops dependiendo de estados. La asignacin de valores a los estados puede ser al azar y corresponde a las combinaciones posibles que generan las salidas Q de los Flip Flops. En el diagrama de bloques (figura 8) se tienen como entradas el boton A, adems de la seal de sincrona Clk, como salidas Combinacionales se requieren cada uno de los impulsos y como salidas secuenciales o registradas cuatro Flip Flops llamados Q3, Q2, Q1 y Q0 que sus combinaciones representaran a cada uno de los cuatro posibles estados.

Figura 9. Diagrama de bloques. Despus de obtener las entradas y salidas, los flip flops y los estados se procede a realizar la programacin en ABEL-HDL. El archivo en formato ABEL-HDL de este sistema secuencial se presenta a continuacin:

MODULE practmotor "secuenciador" c,x=.c.,.x.; "entradas Clk,UD pin 1,2; "salidas combinacionales A,B,C pin 19,18,17 istype 'com'; "salidas registradas Q3,Q2,Q1,Q0 pin 15,14,13,12 istype 'reg'; "sincronizacion de los FF,s a un mismo pulso de reloj DECLARATIONS sreg=[Q3,Q2,Q1,Q0]; equations sreg.clk=Clk; "ASIGNACION DE VALORES A LOS ESTADOS DECLARATIONS E0=[0,0,0,0]; E1=[0,0,0,1]; E2=[0,0,1,0]; E3=[0,0,1,1]; E4=[0,1,0,0]; E5=[0,1,0,1]; E6=[0,1,1,0]; E7=[0,1,1,1]; E8=[1,0,0,0]; E9=[1,0,0,1]; E10=[1,0,1,0]; E11=[1,0,1,1]; E12=[1,1,0,0]; E13=[1,1,0,1]; E14=[1,1,1,0]; E15=[1,1,1,1];

state_diagram sreg; state E0: A=1;B=0;C=0; IF UD then E1 else E0 state E1: A=0;B=1;C=0; IF UD then E2 else E1 state E2: A=0;B=0;C=1; IF UD then E3 else E2 state E3: A=1;B=0;C=0; IF UD then E4 else E3 state E4: A=0;B=1;C=0; IF UD then E5 else E4 state E5: A=0;B=0;C=1; IF UD then E6 else E5 state E6: A=0;B=1;C=0; IF UD then E7 else E6 state E7: A=1;B=0;C=0; IF UD then E8 else E7 state E8: A=0;B=1;C=0; IF UD then E9 else E8 state E9: A=0;B=0;C=1; IF UD then E10 else E9 state E10: A=1;B=0;C=0; IF UD then E11 else E10 state E11: A=0;B=1;C=0; IF UD then E12 else E11 state E12: A=0;B=0;C=1; IF UD then E13 else E12 state E13: A=0;B=1;C=0; IF UD then E14 else E13 state E14: A=1;B=0;C=0; IF UD then E15 else E14 state E15: A=1;B=0;C=0; IF UD then E0 else E15

"simulacion test_vectors ([Clk,UD,Q3,Q2,Q1,Q0]>[A,B,C,Q3,Q2,Q1,Q0]) [c,1,0,0,0,0]->[x,x,x,x,x,x,x]; [c,1,x,x,x,x]->[x,x,x,x,x,x,x]; [c,1,x,x,x,x]->[x,x,x,x,x,x,x]; [c,1,x,x,x,x]->[x,x,x,x,x,x,x]; [c,1,x,x,x,x]->[x,x,x,x,x,x,x]; [c,1,x,x,x,x]->[x,x,x,x,x,x,x]; [c,1,x,x,x,x]->[x,x,x,x,x,x,x]; [c,1,x,x,x,x]->[x,x,x,x,x,x,x]; [c,1,x,x,x,x]->[x,x,x,x,x,x,x]; [c,1,x,x,x,x]->[x,x,x,x,x,x,x]; [c,1,x,x,x,x]->[x,x,x,x,x,x,x]; [c,1,x,x,x,x]->[x,x,x,x,x,x,x]; [c,1,x,x,x,x]->[x,x,x,x,x,x,x]; [c,1,x,x,x,x]->[x,x,x,x,x,x,x]; [c,1,x,x,x,x]->[x,x,x,x,x,x,x]; [c,1,x,x,x,x]->[x,x,x,x,x,x,x]; [c,0,x,x,x,x]->[x,x,x,x,x,x,x]; [c,0,x,x,x,x]->[x,x,x,x,x,x,x]; [c,0,x,x,x,x]->[x,x,x,x,x,x,x]; [c,0,x,x,x,x]->[x,x,x,x,x,x,x]; [c,0,x,x,x,x]->[x,x,x,x,x,x,x]; [c,0,x,x,x,x]->[x,x,x,x,x,x,x]; [c,0,x,x,x,x]->[x,x,x,x,x,x,x]; [c,0,x,x,x,x]->[x,x,x,x,x,x,x]; [c,0,x,x,x,x]->[x,x,x,x,x,x,x]; [c,0,x,x,x,x]->[x,x,x,x,x,x,x]; [c,0,x,x,x,x]->[x,x,x,x,x,x,x]; [c,0,x,x,x,x]->[x,x,x,x,x,x,x]; [c,0,x,x,x,x]->[x,x,x,x,x,x,x]; [c,0,x,x,x,x]->[x,x,x,x,x,x,x]; [c,0,x,x,x,x]->[x,x,x,x,x,x,x]; [c,0,x,x,x,x]->[x,x,x,x,x,x,x]; END

Archivo Reporte Este archivo se genera como resultado de la compilacin.

Chip Diagram:

Ahora pasaremos a implementar nuestro circuito sobre la tabla de conexiones en el cual tambin construiremos un generador de pulsos utilizando un circuito integrado SN7414, podemos ver el diagrama esquemtico del generador en la figura 10, la salida de la terminal 2 del SN7414 fue conectado a la terminal 1 del GAL16V8.

Figura 10. Generador de pulsos.

Para las salidas del circuito integrado GAL se realizo una conexin de alambres hacia el circuito integrador ULN2803 de la siguiente manera: La terminal 19 del Gal hacia la terminal 1 del ULN2803 La terminal 18 hacia la terminal 2 del ULN2803 La terminal 17 hacia la terminal 3 del ULN2803

Despus de la conexiones descritas y realizar la conexin de VCD y GND del circuito programable Gal, se conecta el motor hacia las terminales 18, 17 y 16 del circuito integrador dependiendo del embobinado del motor, de referencia que la bobina que se considero como A va conectada en la terminal 18, quedando de la siguiente forma (figura 11).

Figura 11. Circuito ensamblado en tabla de conexiones.

Discusin de resultados:

Para este circuito los resultados se representado por medio de tres salidas las cuales funcionan de la siguiente forma: Se contaron un pulso de reloj en la terminal uno del PLD representada como Clk, el cual su salida es representada por una secuencia combinacional con pulsos de 5v hacia un driver de arreglo de transistores que eleva el voltaje a 12v para que el motor funcione.

A continuacin se representara la tabla 3 de estados.


Estado Estados presentes prximos U/D=1 U/D=0 E0 E1 E0 E1 E2 E1 E2 E3 E2 E3 E4 E3 E4 E5 E4 E5 E6 E5 E6 E7 E6 E7 E8 E7 E8 E9 E8 E9 E10 E9 E10 E11 E10 E11 E12 E11 E12 E13 E12 E13 E14 E13 E14 E0 E14 Salidas A 1 0 0 1 0 0 0 1 0 0 1 0 0 0 1 B 0 1 0 0 1 0 1 0 1 0 0 1 0 1 0 C 0 0 1 0 0 1 0 0 0 1 0 0 1 0 0

Tabla 3. Tabla de estados

Resultados generales
Fotografa del circuito concluido: circuito de diseo de secuencia para un motor a pasos utilizando circuitos integradores, representado en la figura 12.

Figura 12. Resultados finales de conexin del circuito

Conclusiones:
Se demostr la hiptesis obtenida y se aprendi el funcionamiento de las etapas de potencia y los motores a pasos, asi como aprender a programar PLD teniendo como entrada un pulso de reloj, aprender a identificar los embobinados de un motor y la conexin de esta.

Bibliografa:
Fundamentos de diseo digital, Csar A. Leal Chapa, FIME, UANL. Sistemas digitales, Ronald J. Tocci 8va edicin M.C. Juan ngel Garza Garza http://jagarza.fime.uanl.mx

Objetivo:
Realizar una programacin para implementar un circuito que represente un reloj digital donde se pueda mostrar por medio de display las horas, minutos y segundos de un da completo, la programacin se realizara por medio una seal de reloj mediante un lenguaje de descripcin de hardware en un dispositivo lgico programable.

Hiptesis:
Se realizara un circuito donde los segundos y minutos se expresen de 00 a 59 y comience de nuevo en 00 y los horas se mostraran en el display de 00 a 23 y vuelve a iniciar en 00, impulsado por un pulso de reloj.

Marco Terico:
Sistema Secuencial En los sistemas secuenciales, los valores de las salidas, en un momento dado, no dependen exclusivamente de los valores de las entradas en dicho momento, sino tambin dependen del estado anterior o estado interno. El sistema secuencial ms simple es el biestable, de los cuales, el de tipo D (o cerrojo) es el ms utilizado actualmente. La mayora de los sistemas secuenciales estn gobernados por seales de reloj. A stos se los denomina "sncronos" o "sincrnicos", a diferencia de los "asncronos" o "asincrnicos" que son aquellos que no son controlados por seales de reloj

En todo sistema secuencial nos encontraremos con: a) Un conjunto finito, n, de variables de entrada (X1, X2,..., Xn). b) Un conjunto finito, m, de estados internos, de aqu que los estados secuenciales tambin sean denominados autmatas finitos. Estos estados proporcionarn m variables internas (Y1,Y2,..., Ym). c) Un conjunto finito, p, de funciones de salida (Z1, Z2,..., Zp). Reloj Digital Un reloj digital representa la hora en un display digital en numeracin decimal, presentando la hora del da en la forma: HH:MM, o HH:MM:SS, segn el reloj tenga o no segundero. Para cada uno de estos casos hay dos formatos: 24 o 12 horas. En el formato de 24 horas, el da se divide en 24 horas comenzando a las cero horas, y finalizando a las veinticuatro horas. En el formato de doce horas el da se divide en maana (AM) y tarde (PM), que a su vez se dividen en 12 horas.

Reloj digital en formato de 24 horas El formato de 24 horas asigna de forma correlativa un valor del 0 al 24 a cada hora individual. La hora ms avanzada que este sistema puede marcar 23:59:59. Nunca llega a marcar las 24:00 porque el minuto siguiente corresponde a las 00:00 del da posterior, cambiando de fecha. El minutero hace el recorrido de 0 a 59 minutos; el minuto prximo al 59 es el 0 de la hora posterior, por lo que nunca marca 60 en los dgitos de los minutos. Los dgitos de los segundos funcionan igual que los minutos.

Desarrollo Experimental:
Materiales:
Un eliminador de bateras con la siguientes caractersticas: Voltaje de entrada 110-220 V de corriente alterna Frecuencia 50-60 Hz Intensidad de corriente mayor de 500 mA 6 Circuitos integrales 7447 o 7448 (si el display es catodo comn se utilizara el 7448, si es anodo comn se utilizara el 7447) 1 push buttons 3 Circuito integrado GAL22V10

1 Circuito integrado SN7414 1 Capacitor electroltico de 220 f 1 Potencimetro de 1 K


2 Diodos emisores de luz

7 Resistencias de 330 6 Display

Procedimiento: Comenzaremos construyendo una tabla de verdad que utilizaremos para el primer GAL el cual al conectarlo a las entradas de 2 decodificadores y las salidas del mismo a 2 display nos desplegara los nmeros del 0 a 59. Se realiza la parte de la programacin de nuestro segundero una vez que ingresamos al software ISP LEVER e ingresamos al editor de texto de programacin del lenguaje ABELHDL. Donde tenemos 2 entradas CLK y RST adems de 7 salidas del tipo registradas (Q6, Q5, Q4, Q3, Q2, Q1, Q0) y una salida Y del tipo combinacional que nos servir como seal de reloj para el siguiente gal (minutero) De las salidas disponibles utilizaremos 4 para las unidades y 3 para decenas, despus agregamos las ecuaciones para la sincrona con de reloj y por ultimo agregamos la tabla de verdad. Se muestra en la figura 1 parte de la programacin en el editor de texto del software ISP LEVER, en la parte izquierda tenemos el estado actual y en la parte derecha el estado siguiente.

Figura 1. Programacin.

Se agregara la entrada RST que nos llevara al estado cero cuando tenga el valor de uno como se muestra en la fig.2

Figura 2. Programacin RST.

Agregamos una segunda tabla de verdad para la salida combinacional donde solo en el estado cero la salida ser 1 fig.3.

Figura 3. Programacin salida Y.

Una vez que ya tenemos nuestras tablas de verdad guardamos los cambios y seleccionamos la opcin chip report lo cual nos desplegara el orden de entradas y salidas de nuestro Gal.

Archivo Reporte Este archivo se genera como resultado de la compilacin.

Chip Diagram:

Para la programacin de nuestro segundo gal usaremos la misma programacin que el segundero. Para las horas seguiremos la misma secuencia de programacin de nuestro segundero pero esta vez solo construiremos la tabla de verdad hasta el estado [2,3] y regresara el [0,0]. Una vez que tenemos nuestra programacin procedemos a implementar nuestro circuito sobre el protoboard. Ahora pasaremos a implementar nuestro circuito sobre la tabla de conexiones en el cual tambin construiremos un generador de pulsos utilizando un circuito integrado SN7414, podemos ver el diagrama esquemtico del generador en la figura 4, la salida de la terminal 2 del SN7414 fue conectado a la terminal 1 del GAL22V10 (el gal de segundos).

Figura 4. Generador de pulsos.

Para las salidas del circuito integrado GAL se realizo una conexin de alambres hacia el circuito integrador SN7447 (dos circuitos integradores para cada Gal) de la siguiente manera: La terminal 22 del Gal contador de segundos hacia la terminal 2 del primer SN7447 La terminal 21 del Gal contador de segundos hacia la terminal 1 del primer SN7447 La terminal 20 del Gal contador de segundos hacia la terminal 7 del primer SN7447 La terminal 19 del Gal contador de segundos hacia la terminal 6 del segundo SN7447 La terminal 18 del Gal contador de segundos hacia la terminal 2 del segundo SN7447 La terminal 17 del Gal contador de segundos hacia la terminal 1 del segundo SN7447 La terminal 16 del Gal contador de segundos hacia la terminal 7 del segundo SN7447

Para los Gal de minutos y horas se efectuaran las mismas conexiones hacia sus circuitos integrados correspondientes, en la figura 5 se muestra el diagrama del circuito integrado utilizado y en la figura 6 se demuestra la representacin de sus conexiones.

Figura 5. Diagrama de SN7447

Figura 6. Fotografa de conexiones.

Despus se realizara la conexin de las salidas del circuito integrado hacia los display (un display para cada integrador), en la siguiente figura 7 se muestra las conexiones del display.

Figura 7. Diagrama de conexin hacia display

Esto se efectuara para cada display, para los circuitos integrados 7448 el display deber ser catodo comn y el pin 3 y 8 deber ir conectado a la lnea GND (tierra o negativa). Una vez conectado todos los componentes el circuito quedara de la siguiente forma (figura 8).

Figura 8. Conexin de circuito.

Discusin de resultados:

Para este circuito las salidas fueron representadas en seis display con diferentes dgitos como se muestra en la siguiente tabla: Display 1 Display 2 0 0 1 1 2 2 3 Display 3 0 1 2 3 4 5 Display 4 0 1 2 3 4 5 6 7 8 9 Display 5 0 1 2 3 4 5 Display 6 0 1 2 3 4 5 6 7 8 9

Dgitos representados en display

Tabla 1. Digitos en display.

En la figura 9 se muestra los resultados del circuito.

Figura 9. Resultados de display.

Resultados generales
Fotografa del circuito concluido: circuito de diseo de secuencia para un reloj digital utilizando circuitos integradores, representado en la figura 10.

Figura 10. Resultados finales de conexin del circuito

Conclusiones:
Se demostr la hiptesis obtenida y se aprendi el funcionamiento de los decodificadores, asi como aprender a programar PLD teniendo como entrada un pulso de reloj, aprender a programar por decimales y la conexin de los decodificadores.

Bibliografa:
Fundamentos de diseo digital, Csar A. Leal Chapa, FIME, UANL. Sistemas digitales, Ronald J. Tocci 8va edicin M.C. Juan ngel Garza Garza http://jagarza.fime.uanl.mx

Das könnte Ihnen auch gefallen