Sie sind auf Seite 1von 7

Laboratorio 1: lgica digital.

De la cruz Luis, Sar Daniela ledelacruz@uninorte.edu.co/

Resumen ndice de Trminos Lgica, digital, tabla de verdad,


sistemas, NAND, canoncas, compuertas

I. INTRODUCCIN
El

no es necesario tener en cuenta la nocin del tiempo. Si se analizan esta definicin, se observa que un sistema combinacional es realmente una funcin lgica y por ello puede representarse a travs de las denominadas tablas de verdad o mediante una expresin numrica correspondiente a sumas de productos cannicos (Ecu 1) o a un producto de sumas cannicas (Ecu 2).

gran desarrollo experimentado por la electrnica en los ltimos aos ha propiciado que la mayora de los equipos actuales funcionen con sistema digitales, por lo cual el estudio del comportamiento de estos se hace indispensable para poder entenderlos a cabalidad. La forma de la lgica que utilizan y el comportamiento que experimenta en ciertas situaciones hacen que estos sistemas se miren de una forma diferente a la ordinaria ya que sigue un patrn distinto comparado con la matemticas ordinarias; estos sistemas se estudian a travs del algebra booleana con la cual podemos hacer diferentes conjugaciones variables obteniendo as una expresin que moldee una problemtica. Siguiendo esta temtica podemos moldear situaciones de la vida cotidiana en simple 0 y 1, obteniendo una relacin digital de entradas y salidas, representndolas en forma fsica con el uso de las denominadas compuertas lgicas. De esta manera podemos llevar a cabo soluciones como la de automatizacin de sistemas de iluminacin y llenado de fuente de un parque pblico teniendo en cuenta todas las restricciones que esto conlleva. II. MARCO TEORICO A. Sistemas Combinacionales. Los sistemas lgicos digitales son sistemas digitales a los que en cada instante, el nivel lgico de sus salidas depende nicamente del nivel lgico de sus variables de entrada y, por lo tanto, en ellos

Ecu 1. Suma de productos cannicos.

Ecu 2. Suma de productos cannicos

B. Tabla de verdad de una funcin lgica. La tabla de verdad de una funcin lgica es una forma de representacin de la misma, en la que se indica el valor 1 o 0 que toma la funcin para cada una de las combinaciones posibles de la variable de las cuales depende. C. Simplificacin de los sistemas lgicos. Los criterios de minimizacin de una expresin de una funcin lgica son de gran importancia en los sistemas digitales cuando se implementan con componentes discretos o circuitos a pequea escala de integracin. El criterio de minimizacin ms utilizado es el de obtener una expresin en sumas de productos o productos de sumas que tengan el nmero mnimo de expresiones, esto se logra a travs de lgebra de Boole o de una forma ms simplificada a travs del mapa de karnaugh que son tablas correspondiente en cuyos cuadros se representan a los trminos cannicos que forman parte de la funcin con 1 y los correspondientes a

los trminos que no forman parte de la funcin se dejan en blanco. D. Compuertas Lgicas. Las compuertas lgicas son dispositivos que operan con aquellos estados lgicos mencionados en la pgina anterior y funcionan igual que una calculadora, de un lado ingresas los datos, sta realiza una operacin, y finalmente, te muestra el resultado. 1. Compuerta NOT Se trata de un inversor, es decir, invierte el dato de entrada, por ejemplo; si pones su entrada a 1 (nivel alto) obtendrs en su salida un 0 (o nivel bajo), y viceversa. Esta compuerta dispone de una sola entrada. Su operacin lgica es S igual a A invertida. 2. Compuerta AND. Una compuerta AND tiene dos entradas como mnimo y su operacin lgica es un producto entre ambas, no es un producto aritmtico, aunque en este caso coincidan. 3. Compuerta OR. Al igual que la anterior posee dos entradas como mnimo y la operacin lgica, ser una suma entre ambas. Se trata de una compuerta O Inclusiva es como A y/o B. E. Compuertas Lgicas Combinadas. Al agregar una compuerta NOT a cada una de las compuertas anteriores, los resultados de sus respectivas tablas de verdad se invierten, y dan origen a tres nuevas compuertas llamadas NAND, NOR y NOR-EX. En este caso nos centraremos en las dos primeras. 1. Compuerta NAND.

Responde a la inversin del producto lgico de sus entradas, en su representacin simblica se reemplaza la compuerta NOT por un crculo a la salida de la compuerta AND. 2. Compuerta NOR. El resultado que se obtiene a la salida de esta compuerta resulta de la inversin de la operacin lgica o inclusiva es como un no a y/o b. Igual que antes, solo agregas un crculo a la compuerta OR y ya tienes una NOR. III. PROCEDIMIENTO Los requerimientos del presente laboratorio (anexo1) para la de automatizacin para un parque: control de luces y llenado de una fuente; se implementaron de tal manera que cumpliendo con cada una de las restricciones se modelo en una tabla de verdad tratando como independiente los dos problemtica a solucionar, como se muestra a continuacin

Luces (A) 0 0 1 1

Switch(B) 0 1 0 1

Salida (X) 1 1 0 1

Tabla 1. Tabla de verdad encendido de luces.

A travs de esta se dedujo la expresin de forma cannica:


Ecu 3. Ecuacin canonca encendido de luces

Siguiendo con la siguiente caso problemtica a tratar: el llenado automtico de la fuente. Tambin se obtuvo una tabla de verdad que cumple con cada una de los requerimientos necesarios (ver tabla 2 anexos). De esta por manejar 5 variable y una salida no es tan fcil obtener la expresin canonca, por lo cual se hace indispensable hacer un mapa de karnaugh

para obtener la forma ms simplificada para nuestra expresin canonca, que es este caso decidimos trabajar con sumas de productos; el mapa de karnaugh de 5 variables que do de la siguiente manera.

IV. RESULTADOS. A travs de las expresiones canonca se procedi disear un circuito y su debida simulacin los cuales se pueden observar en la Figura 1.

EF CD 00 01 11 10

00 0 0 0 1

A=0 01 0 0 1 1

11 0 0 0 1

10 0 0 0 0

EF CD 00 01 11 10

00 0 0 1 1

A=1 01 0 0 1 1

11 0 0 0 0

10 0 0 0 0

Figura 1: circuito lgico diseado con compuertas NAND

En donde las switches en la parte superior son las entradas del circuito lgico (ver tabla 2), en el cual dependiendo de la posicin de los switches reaccionan de la manera esperada para el encendido del bombillo y/o encendido del motor de llenado de fuente. A dems para verificar su comportamiento lgico se simulo el circuito en cdigo VHDL (ver anexos 2) como se muestra en la Figura 2 y 3.

Tabla 3. Mapa de karnaugh encendido de fuente

Del mapa de karnaugh se obtuvo a travs de la utilizacin de los componentes primos esenciales y no esenciales la siguiente expresin canonca.

Ecu 4. Ecuacin canonca encendido de fuente

Una vez obtenidos las expresiones canonca para cada una de las subproblemticas, se procedi a implementarlas en un simulador para lo cual utilizamos las compuertas tipo NAND y tipo NOT como se exige; teniendo esto se procedi a implementarlas en fsico cumpliendo con todas las especificaciones que este requera.

Figura 2: simulacin VHDL encendido de luces.

V. CONCLUSIONES: 1. Para el diseo de este sistema de luz y llenado de la fuente fue necesario, al igual que cualquier diseo de un circuito lgico, establecer cierta metodologa para permitir la facilidad del mismo, por lo que se analiz primero el planteamiento de la problemtica que exista en este parque con el suministro de energa lumnica en ciertas horas del da y el llenado de la fuente que tena el parque, posteriormente se especific en el comportamiento lgico de cada circuito, es decir que caractersticas principales debera tener el circuito para satisfacer los requerimientos de la problemtica, caractersticas que primaron a la hora de realizar el diagrama de bloques y la tabla de verdad. Hecho esto se procedi a aplicar el lgebra booleana o mapa de Karnaugh para obtener la funcin lgica minimizada y realizar el dibujo del circuito lgico, determinado por dicha funcin, por ltimo se hizo una simulacin(corroborara todo el proceso anterior) y la implementacin del circuito, que para nuestro caso solo fue en protoboard. 2. Con respecto a la implementacin del circuito lgico, se utiliz compuertas tipo CMOS, se escogi esta familia porque consume menos potencia que las compuertas TTL, adems tienen mayor inmunidad al ruido y maneja niveles de voltaje mucho mayores, al igual que un factor de carga ms elevado que los TTL. Para la parte sensorial se utiliz octocopladores S625, los cuales fue interesante aprender y manejar en la parte experimental ya que lograr que dieran las respuestas lgicas necesarias no fu tarea fcil, para el buen manejo se hicieron clculos para las resistencias y corriente.

Figura 3: simulacin VHDL llenado de fuente

Verificado la lgica del circuito se procede al montaje en fsico teniendo en cuenta ciertas especificaciones como por ejemplo que las entradas de luz deben ser manejadas con una compuerta de tipo Disparador Schmitt trigger, debido a que la schmitt trigger usa la histresis para prevenir el ruido que podra tapar a la seal original y que causara falsos cambios de estado si los niveles de referencia y entrada son parecidos, a la par de este se utilizan compuerta de tipo drenador (colector) abierto para manejar los relevo en las salidas ya que ellas estn externalizada, es decir abierta o sin resistencia en el colector del transistor de salida lo que facilita la eleccin del el valor de resistencia apropiado segn sus necesidades y requerimientos de diseo, adems permiten fijar valores altos y bajos de tensin y garantizar la corriente de salida necesaria para conectar varias compuertas lgicas, a la salida de esta, al tener en cuenta estas compuertas se procedi el montaje en fsico como muestra la figura 4.

3. De acuerdo a la funcin lgica obtenida, se decidi utilizar las compuertas NAND, ya que era menos el nmero de compuertas a implementar que si se haca con la compuerta NOR; las compuertas NAND, a nivel de mercado fueron ms fcil de conseguir que las compuertas NOR. A la entrada se implement una NOT Schmitt Trigger, la ventaja de utilizar una compuerta Schmitt Trigger es que su estado de salida cambia cuando la tensin en su entrada sobrepasa un determinado nivel; la salida no vuelve a cambiar cuando la entrada baja de ese voltaje, sino que el nivel de tensin para el cambio es otro distinto, ms bajo que el primero. A este efecto se conoce como ciclo de histresis.

VI. BIBLOGRAFIA. 1. E.M. Prez y Y.M. Rodrguez (2008). Sistemas electrnicos digitales, 9 Edicin

Anexo 1
Deposito Luz de agua (A) ( C)
0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

Switch Sensor Sensor L2 ( fuente L1 (D) E) (F)


0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1

Anexo 2
Motor (Y)
0 0 0 0 0 0 0 0 1 1 0 0 0 1 0 0 0 0 0 0 0 0 0 0 1 1 0 0 1 1 0 0
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; entity LAB2 is port ( A, C, D,E,F : in STD_LOGIC; Y : OUT STD_LOGIC); end LAB2; architecture problema2 of LAB2 is begin Y<=((A AND C AND ( NOT E)) AND (C AND ( NOT E) AND F) AND (C AND (NOT D) AND (NOT E))); end problema2 ;

Anexo 3
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; entity LAB01 is port ( A, B : in STD_LOGIC; X : OUT STD_LOGIC); end LAB01; architecture problema01 of LAB01 is begin X<= (A NAND (NOT B)); end problema01 ;

Tabla 2 : tabla de verdad encendido de motor.

Das könnte Ihnen auch gefallen