Sie sind auf Seite 1von 1

Running the demo using HDL Designer

Download the source files and unzip to a suitable directory Invoke HDL Designer and add a library mapping for the design, map the Modelsim work library to work_mti. Select the cordic_tb module and click on the Modelsim (or other 3rd party simulator) button for simulation When the simulation dialog box pops up enter tb.do in the do box (Initialization command -do) For synthesis, select the Cordic module and click on the Spectrum/Precision (or other 3rd party synthesiser) button

Example: Post P&R result targeting a small Virtex V50-4 (24 bits Cordic)

Das könnte Ihnen auch gefallen