Sie sind auf Seite 1von 15

S4 CLM Notes de cours

D. Etiemble

BASCULES ET COMPTEURS
1 BASCULES Les bascules sont les oprateurs lmentaires de mmorisation. Leur tat prsent, dtermin par l'tat des sorties, est fonction des entres et de l'tat prcdent des sorties. Nous prsentons les diffrentes bascules ralises partir de portes logiques. Ces bascules, qui oprent uniquement selon des principes logiques, sont appeles statiques. 1.1 BISTABLE

S1

S2

Figure 1 : Inverseurs en srie Le circuit prsent en Figure 1 est constitu de 2 inverseurs en srie. La Figure 2 donne les caractristiques statiques S1(E) et S2(E) des sorties S1 et S2 des inverseurs raliss avec des circuits lectriques rels. Lorsqu'on reboucle la sortie S2 sur l'entre E1 du premier inverseur, on obtient 3 points de fonctionnement qui correspondent aux intersections de la courbe S2(E) et de la premire bissectrice (S2 = E). - 2 tats stables qui correspondent aux tats haut et bas - 1 tat instable, qui constitue la frontire entre l'tat haut et l'tat bas. Les 2 tats stables sont reprsents Figure 3. L'lment obtenu est le bistable, reprsent d'une autre manire en Figure 4. tant dans un tat parmi les deux possibles, il mmorise 1 bit. il n'y a aucun moyen de contrler l'tat du bistable. Il reste dans un tat donn, et il n'y a aucun moyen de le positionner dans l'autre tat.

S1

S2

E
Figure 2 : Caractristique physique d'inverseurs en srie.

Figure 3 : tats stables du bistable

Q Q
Figure 4 : Reprsentation traditionnelle du bistable. 1.2 BASCULE RS La bascule RS ajoute la capacit de mmorisation du bistable la possibilit de le fixer dans un tat donn, par l'opration d'criture. il y a deux types de bascule RS, selon la porte lmentaire utilise : NAND ou NOR

1/15

S4 CLM Notes de cours

D. Etiemble

1.2.1 Bascule RS NAND Soit le circuit de la Figure 5 constitu de 2 NAND dont chaque sortie est reboucle sur une entre de l'autre NAND et qui a 2 entres R et S.

Q Q

Figure 5 : Bascule RS NAND Le fonctionnement d'une porte NAND est rsume par les expressions NAND(x,y) = x si y = 1 et NAND(x,y) = 1 si y = 0 Le fonctionnement de la bascule RS peut donc tre exprim de la manire suivante : 1.2.1.1 Cas R=S=1 NAND (S, Q ) = Q et NAND (R, Q) = Q : la bascule RS est quivalente au bistable de la Figure 4. C'est l'tat mmoire. 1.2.1.2 Cas S = 0 et R = 1. La Figure 6 dcrit les diffrentes tapes de l'criture d'un 1 dans la bascule RS. a) NAND (0, Q ) = 1 crit un 1 sur la sortie Q b) NAND (1, 1) = 0 crit un 0 sur la sortie

c) Le 0 de la sortie Q vient confirmer le 0 de l'entre S et verrouille la sortie Q dans l'tat 1. L'entre S = 0 n'est plus ncessaire. Le temps minimum l'tat bas de l'entre S est donc de 2 tp, o tp est le temps de propagation dans la porte NAND. d) Compte tenu du verrouillage effectu dans la phase prcdente, l'entre S peut repasser 1 et la bascule repasse dans l'tat mmoire. 1.2.1.3 Cas S = 1 et R = 0 Ce cas, symtrique du prcdent, force Q = 0 et Q = 1 1.2.1.4 Cas S = 0 et R = 0 C'est un cas interdit car Q = Q = 1 et les 2 sorties ne sont plus complmentaires. La bascule RS (Reset et Set) a donc 2 modes de fonctionnement : un tat mmoire lorsque R = S = 1 et un tat criture lorsque R = S . On a alors Q = R = S . La bascule RS ne distingue pas les entres d'tat et les commandes. Chacune des entres est la fois une entre d'tat et de commande : lorsque (commande) S est 0 (tat), la sortie Q passe 1. Ceci peut tre un inconvnient dans un systme synchrone, o il est important de distinguer les signaux d'tat des signaux de commande.

1 0 1 1 a) b)

0 0 1 1 c)

1 1 0 0 1 1 d)

1 0

Figure 6 : criture d'un 1 dans une bascule RS NAND 1.2.2 Bascule RS NOR (Figure 7)

Q Q

Figure 7 : Bascule RS NOR

2/15

S4 CLM Notes de cours

D. Etiemble

C'est la bascule duale de la bascule RS NAND. Elle a deux modes de fonctionnement : un tat mmoire lorsque R = S = 0 et un tat criture lorsque 1.3 BASCULE LATCH La bascule latch distingue une entre d'tat (D) et une entre de commande (C). Sa reprsentation symbolique est donne en Figure 8.

R = S. On a alors Q = S = R . L'tat R = S = 1 est interdit.

D (Etat)

Bascule latch

Q Q (commande)

C
Figure 8 : Bascule latch La Figure 9 donne un schma possible de bascule latch de type NAND.

S Q Q

Figure 9 : Bascule latch NAND Lorsque C = 0, on a S = R = 1 et la bascule RS est dans l'tat mmoire (Figure 10

S Q Q

C=0

Figure 10 : Bascule latch en tat mmoire Lorsque C = 1, on a R = S = D, ce qui correspond l'tat criture de la bascule RS et Q = D (Figure 11). L'criture d'un 1 correspond S = 0. L'criture d'un 0 correspond R = 0. Dans les 2 cas, la redescente de l'horloge C de 1 --> 0 provoque la remonte de S (resp. R) de 0 --> 1, ce qui est inefficace sur la bascule RS de sortie cause de la phase de verrouillage. De la mme manire, on dfinit la bascule latch NOR qui est dans l'tat mmoire lorsque C = 1 et dans l'tat criture lorsque C = 0. Les bascules latch sont dites transparentes parce qu'il y a une liaison directe Q = D ( travers 2 inverseurs) lors de l'criture. Toute variation de l'entre est transmise directement la sortie.

3/15

S4 CLM Notes de cours

D. Etiemble

D 1

C=1

D R

Figure 11 : Bascule latch en tat criture 1.4 REGISTRES. On appelle registre un ensemble de bascules avec une mme commande d'horloge (Figure 12).

Dn-1 C

Di

D0

.........

.........

Qn-1

Qi
Figure 12 : Registre

Q0

Les registres ont la proprit des bascules qui les composent : un registre constitu de bascules latch sera un registre transparent. La transparence des registres et des bascules interdit un certain nombre d'utilisations que nous examinons maintenant. 1.4.1 Transparence et registre dcalage Un registre dcalage est constitu de bascules telles que la sortie de l'une est relie l'entre de la bascule suivante. La Figure 13 donne un exemple d'un tel registre.

D0

Q0

D1

Q1

D2

Q2

C
Figure 13 : Exemple de registre dcalage D'aprs la dfinition de la bascule latch NAND, on a Qi = Di lorsque C = 1 et d'aprs les connexions, on a Di = Qi-1. Lorsque C = 1, on a donc Qi = Qi-1 pour tout i. il y a dcalage d'un bout l'autre de la chane de bascules de la valeur D0. il n'est donc pas possible de dcaler chaque bit d'une position vers la droite chaque coup d'horloge. 1.4.2 Connexion d'une sortie sur une entre La Figure 14 illustre le cas o l'on relie une sortie d'une bascule sur l'entre de la mme bascule.

4/15

S4 CLM Notes de cours

D. Etiemble

Bascule latch

Q Q

C
Figure 14 : Liaison

Q D sur une bascule latch

D'aprs la connexion, on a D = Q , et lorsque C = 1 (latch NAND), on a Q = D, ce qui conduit Q = Q . Cette situation anormale conduit soit des oscillations, soit des problmes autour du point d'quilibre instable de la Figure 2. Le rebouclage des sorties d'un registre vers les entres d'un mme registre travers des tages de logique combinatoire est une configuration invitable. Un exemple typique est l'opration d'incrmentation du compteur de programme (CP) d'un processeur : CP := CP + n. Des bascules non transparentes sont donc indispensables. 1.5 BASCULE D La bascule D est une bascule non transparente (ou opaque) qui permet de recopier l'tat de l'entre D vers la sortie Q sans qu'il y ait jamais de liaison directe entre entre et sortie. La reprsentation symbolique est donne par la Figure 15. L'opacit peut tre ralise de diffrentes manires.

D (Etat)

Bascule D

Q Q

(commande) C
Figure 15 : Bascule D 1.5.1 Les bascules D matre esclave. Elles fonctionnent selon le principe des cluses (Figure 16). On utilise 2 bascules transparentes, dont l'une est en tat mmoire pendant que l'autre est en tat criture et rciproquement. De cette manire, il n'y a jamais de liaison directe entre entre et sortie.

Bascule latch

Qm

Bascule latch

Qs

C1
Figure 16 : Principe du matre esclave

C2

Si les 2 signaux d'horloge C1 et C2 sont sans recouvrement, c'est dire tels qu'ils suivent la squence suivante : C1C2 = 00 puis 10 puis 00 puis 01 puis 00 puis ..., alors il y a fonctionnement correct. Il y a d'abord recopie de l'entre D dans Qm lorsque C1 = 1, puis recopie du matre dans l'esclave lorsque C2 = 1 Si l'on veut utiliser une seule horloge C avec son complment introduit par l'inverseur, comme le montre la Figure 17 .

C , il y a problme cause de l'ala li au retard

5/15

S4 CLM Notes de cours


C

D. Etiemble

C=C C

C=C

Figure 17 : Recouvrement C- C des commandes de bascule. Si on utilise les signaux avec recouvrement, comme ceux de la Figure 17, pour commander le matre et l'esclave, il y a obligatoirement le cas o les 2 latchs sont transparents simultanment et le cas o les 2 sont en tat mmoire simultanment. Ce problme peut tre rsolu en utilisant un matre NAND et un esclave NOR, ce qui compte tenu de la dualit des bascules latch NAND et NOR supprime la ncessit de complmenter C. La bascule D matre esclave correspondante est prsente en Figure 18.

Qm

Qs

Qm
Figure 18 : Bascule D matre esclave NAND NOR

Qs

Pour avoir un fonctionnement correct mme en cas de dispersion des niveaux de seuil des portes, il faut que le niveau de seuil du matre (frontire entre 0 et 1) soit suprieur au niveau de seuil de l'esclave, comme le montre la Figure 19. Dans ce cas de figure, la bascule matre esclave fonctionne correctement, quelle que soit la frquence d'horloge C.

maitre transparent seuil maitre seuil esclave esclave opaque maitre et esclave opaques maitre et esclave opaques esclave transparent

esclave transparent maitre opaque


Figure 19 : Seuils du matre et de l'esclave

1.5.2 Les bascules D commande par flanc (edge triggered) Elles fonctionnent sur la transition montante (ou descendante) du signal d'horloge (Figure 20). Le signal D doit tre positionn un certain temps (appel temps d'tablissement ou set up time tsu) avant la transition du signal de commande C, et rester positionn un certain temps (appel temps de maintien ou hold time th) aprs la transition du signal de commande. C'est la valeur de D qui est fixe lors de la transition du signal de commande C qui est recopie la sortie. Hors de la priode dtermin par tsu + th, l'tat de D est indiffrent et peut varier.

6/15

S4 CLM Notes de cours

D. Etiemble

D quelconque

D est fixe temps tablissement temps maintien

D quelconque

Figure 20 : Fonctionnement de la bascule D commande par flanc La bascule D commande par flanc est ralise avec 6 portes NAND ou 6 portes NOR selon le schma Figure 21.

D Q

C
Figure 21 : Bascule D 6 portes NAND Lorsque C = 0, la bascule RS de sortie est en tat mmoire. La Figure 22 (gauche) illustre l'criture d'un 0, et la Figure 22 (droite) montre le verrouillage des sorties aprs l'criture du 0, lorsque D varie alors que C est toujours l'tat 1. La Figure 23 (gauche) illustre l'criture d'un 1, et la Figure 23 (droite) montre le verrouillage des sorties aprs l'criture du 1, lorsque D varie alors que C est toujours l'tat 1.

Verrouillage

D=0

1 1 1

0 Q=1

D varie

1 1

Q=1

1 1 1 C=1
Figure 22 : criture d'un 0

Q=0

1 1

0 C=1

1 1

Q=0

Verrouillage lorsque C = 1

7/15

S4 CLM Notes de cours

D. Etiemble

D=1

0 1 0

1 Q=0

D varie D varie

D 1 0 1 C=1 1

Q=0

0 0

1 1 C=1 0

Q=1

0 0

Q=1

Figure 23 : criture d'un 1

Verrouillage lorsque C=1 aprs criture d'un 1

La bascule D 6 portes NOR a un fonctionnement dual de celle 6 portes NAND. L'criture se fait lors de la transition descendante de l'horloge. 1.5.3 Bascules D et opacit Nous avons vu en 5-4-2 et 5-4-3 que les registres dcalage et la liaison dune sortie sur une entre de la bascule D ne sont pas possibles avec les bascules latch. Nous montrons maintenant que les bascules D rsolvent le problme. La seule hypothse est celle illustre en Figure 20, cest dire que lentre D est fixe un temps tsu avant la transition dhorloge, et jusqu un temps th aprs la transition dhorloge. La Figure 24 donne le schma dun registre dcalage 2 bits, avec ltat initial suivant : D0 = 1, Q0 = 0 et Q1 = 0. Lors de la premire transition 0-1 de C, D0 = 1 est recopi en Q0, qui passe 1 avec un retard tpd. Comme tpd est suprieur th (ce qui est toujours le cas dans la pratique), le passage de D1 (=Q0) 1 intervient trop tard pour tre pris en compte par la premire transition de C. Cest cette situation qui assure lopacit. Ce nest que sur la transition suivante de C de 0 1 que D1 = 1 sera recopi la sortie Q1, avec un temps de retard tpd par rapport cette transition. Le registre dcalage fonctionne correctement.

D0=1 Bascule D C tsu C th tpd Q0 = D1 Tc

Q0

D1 Bascule D C tsu th

Q1

tpd Q1
Figure 24 : Registre dcalage 2 bits et diagramme temporel des signaux associs. Le diagramme temporel de la Figure 24 permet de dterminer la condition de fonctionnement du registre dcalage. Le signal D1 devant tre stable un temps tsu avant la deuxime transition 0-1 de lhorloge, la condition scrit :

8/15

S4 CLM Notes de cours

D. Etiemble

tpd bascule + tsu Tc o tp bascule est le temps de retard entre la transition dhorloge et la transition la sortie de la bascule, tsu est le temps dtablissement et Tc est la priode dhorloge. La frquence maximale de 1 1 fonctionnement est Fmax = = . Tc min t pd ( bascule ) + t su Le rebouclage de la sortie Q dune bascule D sur lentre D (Figure 25) nest quun cas particulier du registre dcalage. Le circuit correspondant est appel compteur par deux, car il a deux tats et change dtat chaque transition 0-1 de lhorloge.

Q D Bascule D Q

C
Figure 25 : Compteur par deux En fait, le registre dcalage ou le compteur par deux nest quun cas particulier des oprateurs synchrones. Le cas gnral est illustr par la Figure 26. Entre la sortie dune bascule D et lentre de la bascule suivante, on trouve des oprateurs combinatoires. La condition de fonctionnement correct est alors tpd (bascule) + tpd (combinatoire) + tsu Tc. La frquence maximale de fonctionnement du systme est alors 1 1 = Fmax = Tcmin t pd ( bascule) + t pd ( combinatoire) + t su

D0 Bascule D

Q0

Logique combinatoire

D1 Bascule D C

Q1

C tpdbasc

tpdcomb Tc

tsu

Figure 26 : Structure typique de la logique synchrone 1.5.4 Utilisation de la bascule D Du fonctionnement de la bascule D se dduit aisment la manire de l'utiliser. La sortie Q de la bascule reprsente son tat prsent. Lors de la prochaine transition d'horloge, l'entre D sera recopie sur la sortie Q. L'entre D reprsente donc l'tat futur de la bascule D. Alors que la sortie reprsente l'tat prsent, l'entre reprsente l'tat futur (Figure 27)

D ETAT FUTUR Bascule D C

Q ETAT PRESENT

9/15

S4 CLM Notes de cours Figure 27 : Utilisation de la bascule D Cette proprit sera utilise pour la ralisation des compteurs et des automates. 1.6 BASCULES DRIVES DE LA BASCULE D

D. Etiemble

Un certain nombre de variantes de la bascule D ont t introduites, comme les bascules T et JK. 1.6.1 Bascule T Le schma fonctionnel de la bascule T est donn en Figure 28.

T (Etat)

Bascule T

Q Q (commande)

C
Figure 28 : Bascule T La bascule T change d'tat si T =1 et ne change pas dtat si T = 0. La Table 1 rsume son fonctionnement. Elle peut tre ralise partir dune bascule D de deux manires diffrentes. T 0 0 1 1 Qprsent 0 1 0 1 Qfutur 0 1 1 0

Table 1 : Fonctionnement de la bascule T. La Table 2 montre lentre T de la bascule T pour obtenir les diffrentes transitions entre ltat prsent et ltat futur. Qprsent 0 0 1 1 Qfutur 0 1 0 1 T 0 1 1 0

Table 2 : Utilisation de la bascule T 1.6.1.1 Bascule T asynchrone La partie gauche de la Figure 29 prsente la version asynchrone : la bascule D, monte en compteur par 2, change dtat sur chaque coup dhorloge. La porte Et ne laisse passer lhorloge de la bascule T que si T=1. La bascule T ne peut donc changer dtat que si T = 1. Lorsque T = 0, la bascule D ne voit pas la transition dhorloge. Cette mthode prsente l'inconvnient d'utiliser des portes logiques pour traiter des signaux de commande. Toutes les bascules dun montage ne recevront pas les signaux de commande au mme moment : des alas temporels importants peuvent en rsulter. 1.6.1.2 Bascule T synchrone La partie droite de la Figure 29 prsente la version synchrone. La Table 2 donne ltat futur de la bascule (qui est l'entre D de la bascule D utilise) en fonction de lentre T et de la sortie prsente (qui est la sortie Q de la bascule D). Daprs la Table 2, on constate que D = T Q. Les deux ralisations montrent que la bascule T est ralise partir dune bascule D.

10/15

S4 CLM Notes de cours

D. Etiemble

Bascule D C (bascule D) C
Figure 29: Bascule T asynchrone

Q Q
T Bascule D Q Q C
Bascule T synchrone

1.6.1.3 Bascule JK La Figure 30 donne le schma fonctionnel de la bascule JK. Son fonctionnement est rsum par la Table 3.

J K Bascule JK C
Figure 30 : Bascule JK J 0 0 1 1 K 0 1 0 1 Qprsent Qp Qp Qp Qp

Q Q

Qfutur Qp 0 1

Qp

Table 3 : Fonctionnement de la bascule JK. Lorsque J=K, la bascule JK fonctionne comme une bascule T. Par contre, lorsque JK, la sortie est positionn dans un tat donn : Q = J. La bascule JK est donc une bascule T initialisable. La Table 4 montre les entres J et K appliquer sur les entres dune bascule JK pour que obtenir les diffrentes transitions entre ltat prsent et ltat futur. reprsente ltat indiffrent Qprsent 0 0 1 1 Qfutur 0 1 0 1 J 0 1 K 1 0

Table 4 : Utilisation de la bascule JK.

11/15

S4 CLM Notes de cours 2 COMPTEURS

D. Etiemble

Les compteurs sont des oprateurs squentiels qui comportent N tats et qui passent d'un tat i l'tat i+1 (modulo N) chaque coup d'horloge. 2.1 Exemple : le compteur par 4 Ce compteur a 4 tats, nots 0, 1, 2 et 3. Son graphe des tats est donn en Figure 31. Ces tats doivent tre cods avec deux chiffres binaires x et y. Il y a 12 manires diffrentes de coder 4 tats (3 sont fondamentales et les autres s'en dduisent par permutation sur les x et les y). Sur les 3 codes fondamentaux, seuls le code naturel et le code de Gray, pour lequel seul 1 bit change la fois, sont intressants. La Table 5 donne les trois codes fondamentaux Le compteur est implant avec des bascules D, dont la fonctionnalit est rappele en Figure 32. La sortie dune bascule D reprsente l'tat prsent. Sur le prochain coup d'horloge, la valeur de l'entre D sera recopie la sortie. D reprsente donc l'tat futur de la bascule. Pour implanter le compteur, il faut donc crire la table donnant l'tat prsent (sorties Q des bascules) et l'tat futur (entres D des bascules) et raliser la synthse combinatoire des entres D en fonction des sorties Q. La Table 6 donne la table de transition du compteur par 4 en utilisant le code naturel. Les entres D1 et D0 des bascules D sont respectivement D1 = Q1 Q0 D0 = Q 0 Le schma correspondant du compteur par 4 est donn en Figure 33.

0 11 2
Figure 31 : Graphes des tats N i 0 1 2 3 Naturel y 0 0 1 1 x 0 1 0 1 y 0 0 1 1 Gray x 0 1 1 0 3me codage y x 0 0 1 1 0 1 1 0

Table 5 : Codage des tats

D Bascule D Etat Futur C


Figure 32 : Bascule D

Q Etat

tat prsent

tat futur

12/15

S4 CLM Notes de cours Q1 0 0 1 1 Q0 0 1 0 1 D1 0 1 1 0 D0 1 0 1 0

D. Etiemble

Table 6 : compteur par 4 (code naturel) La Table 7 donne la table de transition du compteur par 4 en utilisant le code de Gray. Les entres D1 et D0 des bascules D sont alors D1 = Q0 D0 = Q 1 Le schma correspondant du compteur par 4 est donn en Figure 34.

Bascule D

Q0 Q0 D1

Q1 Bascule D Q1

C
Figure 33 : Compteur par 4 (code naturel) tat prsent Q1 Q0 0 0 0 1 1 1 1 0 tat futur D1 0 1 1 0

D0 1 1 0 0

Table 7 : table de transitions

D0 Bascule D

Q0

D1 Bascule D

Q1

Q1 C
Figure 34 : Compteur par 4 ( Code de Gray ) Les deux implantations prcdentes correspondent lapproche synchrone, dans laquelle toutes les bascules reoivent le mme signal dhorloge. Il est galement possible de dfinir un compteur par 4 asynchrone, qui est prsent en Figure 35. Il utilise deux bascules D montes en compteur par 2 : chaque sortie Q est relie lentre D correspondante, et chaque bascule change dtat lorsque lentre dhorloge est active (transition de 0 1). La bascule pour le bit 0 change dtat chaque transition 0 1 de lhorloge C. La bascule pour le bit 1 change dtat chaque transition 0 1 de la sortie Q 0 , cest dire chaque transition 1 0 de Q0. Daprs la

13/15

S4 CLM Notes de cours

D. Etiemble

Table 7, la sortie Q1 change effectivement dtat chaque fois que la sortie Q0 passe de 1 0. La ralisation asynchrone des compteurs prsente linconvnient suivant : comme les sorties des bascules ne changent pas dtat en mme temps, sur la transition 0-1 de lhorloge, mais successivement, les alas qui rsultent doprations logiques sur les sorties de bascules asynchrones sont de dure variable et peuvent avoir une largeur significative alors quils sont courts et de dure constante avec lapproche synchrone. Lapproche asynchrone, populaire il y a une quarantaine dannes, est trs peu utilise maintenant. Cest la raison pour laquelle nous ne traiterons dans la suite du chapitre que les compteurs et automates synchrones, pour lesquels toutes les bascules reoivent la mme commande dhorloge.

Q0 Bascule D Q0 C
Figure 35 : Compteur par 4 asynchrone.

Q1 D1 Bascule D Q1

Le compteur par 4 synchrone peut galement tre implant avec des bascules T ou des bascules JK. On utilise alors la Table 2 et la Table 4 qui donnent les entres Ti ou Ji et Ki appliquer sur les entres des bascules utilises. La Table 8 donne les entres correspondant aux bascules T et la Table 9 celles correspondant aux bascules JK. Dans les deux cas, on utilise le code binaire naturel. tat prsent Q1 Q0 0 0 0 1 1 1 1 0 De la Table 8, on dduit T0 = 1 et T1 = Q0 tat prsent Q1 Q0 0 0 0 1 1 1 1 0 tat futur Q1 0 1 1 0 Q0 1 1 0 0 J1 0 1 K1 0 1 J0 1 1 K0 1 1 tat futur Q1 0 1 1 0

Q0 1 1 0 0

T1 0 1 0 1

T0 1 1 1 1

Table 8 : Compteur par 4 avec des bascules T

Table 9 :Compteur par 4 avec des bascules JK De la Table 9, on dduit J0 = K0 = 1 et J1 = K1 = Q0 2.2 Compteur avec RAZ En ajoutant des entres de contrle, on transforme les compteurs (automates sans entres) en vritables automates. A titre dexemple, nous ajoutons au compteur par 4 une rentre RAZ pour Remise Zro. La variable boolenne RAZ est 1 pour une remise zro du compteur et 0 lors du fonctionnement normal du compteur. Le graphe des tats du compteur avec RAZ est prsent en Figure 36.

14/15

S4 CLM Notes de cours

D. Etiemble

RAZ 11 RAZ

RAZ + RAZ

RAZ 2

RAZ

RAZ

Figure 36 : Graphe des tats dun compteur par 4 avec RAZ. La Table 10 donne les entres D1 et D0 des bascules D en fonction des sorties Q1 et Q0 et de lentre RAZ de lautomate obtenu. RAZ 0 0 0 0 1 1 1 1 Q1 0 0 1 1 0 0 1 1 Q0 0 1 0 1 0 1 0 1 D1 0 1 1 0 0 0 0 0 D0 1 0 1 0 0 0 0 0

Table 10 : Compteur par 4 avec RAZ D0 =

RAZ.Q 0 D1 = RAZ . (Q1 Q0)

15/15

Das könnte Ihnen auch gefallen