Sie sind auf Seite 1von 71

UC Regents Fall 2013 UCB CS 250 L1: Fab/Design Interface

2013-8-29
Professor Jonathan Bachrach
todays lecture by John Lazzaro
CS 250
VLSI System Design
Lecture 1 The Fab/Design Interface
www-inst.eecs.berkeley.edu/~cs250/
TA: Ben Keller
Thursday, August 29, 13
UC Regents Fall 2013 UCB CS 250 L1: Fab/Design Interface
Todays lecture plan ...
Sixty minute tour of
semiconductor technology,
to put the class outline in context.
Short Break.
Class Outline.
What well be doing this semester.
Thursday, August 29, 13
UC Regents Fall 2013 UCB CS 250 L1: Fab/Design Interface
Economics ... inextricably part of chip design
Andy Grove
UCB Ph.D. 1963
Thursday, August 29, 13
Paul Otellini,
Haas School
MBA 1974
Steve Jobs
Moscone Center, 2005.
Apple switches
the Mac to Intel CPUs.
Thursday, August 29, 13
The thing you have to remember
is that this was before the iPhone
was introduced and no one knew
what the iPhone would do ...
At the end of the day, there was a
chip that they were interested in
that they wanted to pay a certain
price for and not a nickel more
and that price was below our
forecasted cost. I couldn't see it.
It wasn't one of these things you
can make up on volume.
And in hindsight, the forecasted
cost was wrong and the volume
was 100x what anyone thought."
Paul Otellini
Source: theatlantic.com
Thursday, August 29, 13
300 mm
Intel Haswell wafer (22nm FinFET)
How do you
estimate the
manufacturing
cost of a chip?
Chip factories
(fabs) process
wafers that
contain many
copies of a chip
(dies)
So, step one is
estimating the
cost to
manufacture a
wafer.
Thursday, August 29, 13
I
n
t
e
l

O
r
e
g
o
n
Thursday, August 29, 13
C
o
s
t
-
p
e
r
-
w
a
f
e
r

e
s
t
i
m
a
t
e

f
o
r

a

1
4
n
m

G
i
g
a
F
a
b
.
F
a
c
t
o
r
y

c
o
s
t
:

1
0
B

U
S
D

(
2
B

t
o

b
u
i
l
d
,

8
B

t
o

e
q
u
i
p
)
W
a
f
e
r
s
/
m
o
n
t
h
:

1
0
0
,
0
0
0

L
e
a
d
i
n
g
-
e
d
g
e


l
i
f
e
t
i
m
e
:

5

y
e
a
r
s
-
-
>
:

$
1
7
0
0
/
w
a
f
e
r

t
o

p
a
y

b
a
c
k


c
o
s
t
s
N
e
g
l
e
c
t
s
:

L
a
b
o
r
,

m
a
t
e
r
i
a
l
s
,

.
.
.
g
o
v
e
r
n
m
e
n
t

i
n
c
e
n
t
i
v
e
s

!
I
n
t
e
l

O
r
e
g
o
n
(
d
i
f
f
e
r
e
n
t

e
s
t
i
m
a
t
e
s

c
o
u
l
d

i
n
c
r
e
a
s
e

c
o
s
t

u
p

t
o

3
X
)
Thursday, August 29, 13
353 Haswell CPU
dies on this wafer
37
35
33
31
27
21
11
33
35
31
27
Die counts
per column
21
11
==> $4.80 per die!
But if die were
twice as big ...
$9.60 per die!
This is one reason
why die size
matters.
This analysis is optimistic ...
Thursday, August 29, 13
Yield: Not all dies on the wafer will work!
A = die area D
o
= defects per unit area
Yield (A) = 100% ! exp(-A*D
o
)
If A << D
o
, yield approach 100%.
If A ! 2 D
o
, yield is about 13%
Another reason why die size matters!
Note: this Poisson model is
overly pessimistic,
real-world yield models
are more complex.
un-etched conductive material, reaction between materials used device processing etc. An
example of a conductive material (CoeSi) causing short between to adjacent contact is shown
in Fig. 27 [87]. This CoeSi layer is only few nanometers in thickness but caused a leakage
between two electrically isolated contacts.
8.3. Electrically resistive interfaces
Electrically resistive connection in circuit elements can be caused by various mechanisms
including incomplete etch and residual or foreign materials. The layers causing resistive
interfaces are often less than few nanometers in thickness and can be only imaged using
a TEM. An example of a resistive tungsten contact (or plug) to substrate is shown in
Fig. 28. An example of via failure due to high resistance interface caused by an interfacial
layer between via and copper metal line is shown in Fig. 29 that compares a failed and
good via.
Fig. 27. An example of a short causing leakage between adjacent W contacts to silicon substrate [87].
Fig. 28. (a) Cross-section TEM image of resistive tungsten contact (or plug) to silicon substrate. (b) The sample is tilted
away from Silicon [110] zone-axis to enhance diffraction contrast.
92 R.S. Rai, S. Subramanian / Progress in Crystal Growth and Characterization of Materials
55 (2009) 63e97
Thursday, August 29, 13
That price was below our
forecasted cost
Per-die costs we overlooked:
- cost to test each die
- cost for the packaging
- per-chip licensing costs
Non-recurring costs:
Things you can make up
on volume
- designing the chip
- fab process development
- product eco-system
Thursday, August 29, 13
Industry Organization
i286
design
team (1984)
Thursday, August 29, 13
UC Regents Fall 2013 UCB CS 250 L1: Fab/Design Interface
Integrated Device
Manufacturers
(IDMs)
Intel designs
standard product
chips that sell
to many customers
(Apple, Dell, HP). It develops
IC process
technology,
for use in its
own wafer
fabs.
It avoids competing
with its customers
(Intel doesnt make
notebook PCs).
This was the
original industry
model.
Thursday, August 29, 13
UC Regents Fall 2013 UCB CS 250 L1: Fab/Design Interface
Fabless
Merchant
Model
Qualcomm designs chips
for use in smartphones,
but does not own fabs.
TSMC (a foundry) owns fabs, but
does not do chip design. Qualcomm
contracts with TSMC to design its chips.
HTC (and many other smartphone
companies) buy chips from Qualcomm.
Thursday, August 29, 13
UC Regents Fall 2013 UCB CS 250 L1: Fab/Design Interface
Fabless
Captive
Model
Apple designs chips
(the A series) for
exclusive use in its
iOS-series products
(such as the
iPhone).
Apple doesnt own a fab, and so it contracts
with foundries (currently Samsung!)
Thursday, August 29, 13
UC Regents Fall 2013 UCB CS 250 L1: Fab/Design Interface
Original
Captive
Model
IBM owns fabs, and
designs CPU chips,
for use in most of
its server lines.
For many decades, it manufactured
DRAM chips (Robert Dennard, the
inventor of the DRAM, works for IBM).
Thursday, August 29, 13
UC Regents Fall 2013 UCB CS 250 L1: Fab/Design Interface
Entire industries exist to sell arms to semis
Electronic
Design
Automation
(EDA, or CAD)
Chip
fabrication
tools
Thursday, August 29, 13
Wafer manufacturing
Thursday, August 29, 13
Thursday, August 29, 13
Silicate materials form 90% of the earths crust
Silica (silicon dioxide)
is mined, mostly for
use in concrete.
Rened elemental
silicon (95% pure) is
mostly used for
aluminum metallurgy.
Electronic grade
silicon requires
99.9999999% purity.
Single crystalline
composition is also
required for wafers.
Thursday, August 29, 13
Silicon ingots are grown
from a perfect crystal
seed in a melt, and then
puried to nine nines.
Thursday, August 29, 13
Ingots sliced into 450!m thick wafers, using a diamond saw.
Thursday, August 29, 13
This photo is from Intels ecommerce site for server chips.
Thursday, August 29, 13
This photo is from Intels ecommerce site for server chips.
Xeon E7-8870,
in small
quantities,
$4600
6 inch square
Si single-
crystal wafer,
$2 on Alibaba
Wafer costs are crucial
for solar cells, but
irrelevant for chips
that perform
high-value functions.
But yet, photo was shot behind solar cells to look hi-tech :-).
Thursday, August 29, 13
UC Regents Fall 2013 UCB CS 250 L1: Fab/Design Interface
Fabrication
Thursday, August 29, 13
UC Regents Fall 2013 UCB CS 250 L1: Fab/Design Interface
An n-channel MOS transistor (planar)
p-
n+
Vd = 1V
n+
Vs = 0V
Polysilicon gate,
dielectric, and
substrate form a
capaci tor.
nFet is off
(I is leakage)
dielectric
Vg = 0V
I ! nA
----------
p-
n+
Vd = 1V
n+
Vs = 0V
dielectric
Vg = 1V
+++++++++
----------
Vg = 1V, small
region near the
surface turns
from p-type to
n-type.
nFet is on.
I ! "A
Thursday, August 29, 13
UC Regents Fall 2013 UCB CS 250 L1: Fab/Design Interface
Mask set for an n-Fet (circa 1986)
p-
n+
Vd = 1V
n+
Vs = 0V
dielectric
Vg = 0V
I ! nA
#1: n+ diffusion
Top-down view:
Masks
#3: diff contact
#2: poly (gate)
#4: metal
Layers to do
p-Fet not shown.
Modern
processes have 6
to 10 metal
layers (or more)
(in 1986: 2).
Thursday, August 29, 13
UC Regents Fall 2013 UCB CS 250 L1: Fab/Design Interface
Design rules for masks, 1986 ...
#1: n+ diffusion
#3: diff contact
#2: poly (gate)
#4: metal
Poly
overhang.
So that if
masks are
misaligned,
we still get
--- in
channel.
Minimum gate length.
So that the source and
drain depletion regions
do not meet!
length
Metal rules:
Contact
separation from
channel, one
fixed contact
size, overlap
rules wi th
metal, etc ...
Thursday, August 29, 13
UC Regents Fall 2013 UCB CS 250 L1: Fab/Design Interface
How a fab uses a mask set to make an IC
p-
n+
Vd = 1V
n+
Vs = 0V
dielectric
Vg = 1V
#1: n+ diffusion
Top-down view: Masks
#3: diff contact
#2: poly (gate)
#4: metal
Vg
Vd
Vs
Ids
I ! "A
Thursday, August 29, 13
UC Regents Fall 2013 UCB CS 250 L1: Fab/Design Interface
Start with an un-doped wafer ...
Steps
p-
#1: dope wafer p-
#5: place posi ti ve
poly mask and
expose wi th UV.
UV hardens exposed resist. A wafer
wash leaves only hard resist.
#2: grow gate
oxide
oxide
#3: grow undoped
polysilicon
#4: spin on
photoresist
Thursday, August 29, 13
UC Regents Fall 2013 UCB CS 250 L1: Fab/Design Interface
Wet etch to remove unmasked ...
p-
oxide
HF acid etches through poly and oxide,
but not hardened resist.
p-
oxide
After etch and
resist removal
Thursday, August 29, 13
UC Regents Fall 2013 UCB CS 250 L1: Fab/Design Interface
Use diffusion mask to implant n-type
p-
oxide
accelerated donor atoms
n+ n+
Notice how donor
atoms are blocked
by gate and do
not enter
channel.
Thus, the channel
is self-aligned,
precise mask
alignment is not
needed!
Thursday, August 29, 13
UC Regents Fall 2013 UCB CS 250 L1: Fab/Design Interface
Metallization completes device
p-
oxide
n+ n+
Grow a thick
oxide on top
of the wafer.
p-
oxide
n+ n+
Mask and etch
to make
contact holes
p-
oxide
n+ n+
Put a layer of
metal on chip.
Be sure to fill in
the holes!
Thursday, August 29, 13
UC Regents Fall 2013 UCB CS 250 L1: Fab/Design Interface
Final product ...
Top-down view:
p-
oxide
n+ n+
Vd Vs
The planar
process
Jean Hoerni,
Fairchild
Semiconductor
1958
Thursday, August 29, 13
UC Regents Fall 2013 UCB CS 250 L1: Fab/Design Interface
Process
Scaling
Gordon Moore
UCB B.S.
Chemistry,
1950.
Thursday, August 29, 13
UC Regents Fall 2013 UCB CS 250 L1: Fab/Design Interface
MOS in the 70s
Intel 2102, a 1kb,
1 MHz static RAM
chip with 6000
nFETs transistors
in a 10 !m
process, like the
one we just saw.
1971 state of the art.
Thursday, August 29, 13
UC Regents Fall 2013 UCB CS 250 L1: Fab/Design Interface
Are We Really Ready for VLsr
2
?
Gordon E. Moore
Intel Corporation
3
A tremendous interest in VLSI is all around us. There is
much talk of electron-beam and X-ray lithography tools to achieve
VLSI's submicron structures. In all of the VLSI discussions, the
implication is that it will allow us to keep on enjoying the same
kindcr fantastic low-cost advantages previous IC technologies have
provided us in electronic products. Perhaps this may become true ,
but if the semiconductor industry had a million-transistor tech-
nology like VLSI, I'm not so sure it would know what to do with it.
Besides products containing memory devices, it isn ' t clear what
future electronic products that take advantage of VLSI will be.
Examples abound of products with decreases in cost from 10
to 100,000 fold, made possible by progress in semicortductor inte-
gration levels. Each increase in integration level has opened up
new app lic ations, and in several instances deve loped complete l y
new industries. As semiconductor device t e chnology evolv e d from
discrete , t o small-scale, to medium-scale, and through large- sca l e
integration levels, product advantages have multiplied. Doesn't
it s e em a matter of straightforward calculation that an orde r-o f-
magnitude increase in IC device complexity should result in many
of the same product advantages? Pe rha ps, if the product s a re
me mo r y r e late d.
Memory is certainly one function that can be use d i n l a r ge
chunks, assuming that the c o st/bit will b e low enough to ma ke t his
possibl e . Single-chip microcomputers could be e xte nded with more
memo ry on the chip. But even here , memory modul a rity a t some size
becomes important, thus limiting the amount of memory usefully
incorporated on chip.
CALTECH CONFERENCE ON VLSI , January 1979
6
Gordo n E . \loor e
Once the basic process steps were in place, progress in
making res in ever more complex structures moved along rapidly
(Figure 2), in an exponential fashion. The curve in Figure 2
is essentially the envelope of IC complexity growth. Points
indicated in the figure are a sprinkling of the most complex
circuit types available commercially at the time indicated. Most
of the circuits introduced fall well below this curve. I expect
a change in slope to occur at about the present time. From the
doubling of the curve annually for the first 15 years or so,
the slope drops to about one half its previous value, to a doubling
once every two years. This is the rate of complexity growth
than can be predicted for the future.
a.
:r:
(.)
a:
UJ
a..
en
t-
z
w
2
0
0.
16M
1M
64K
4K
256
0
(.)
16

BIPOLAR LOGIC

MOS LOGIC
A MOS MEMORY
0 BUBBLE MEMORY


/

I

I
1965 1970 1975 1980 1985
1960
YEAR
Figure 2.
KEYNOTE SESSION
Are We Really Ready for VLsr
2
?
Gordon E. Moore
Intel Corporation
3
A tremendous interest in VLSI is all around us. There is
much talk of electron-beam and X-ray lithography tools to achieve
VLSI's submicron structures. In all of the VLSI discussions, the
implication is that it will allow us to keep on enjoying the same
kindcr fantastic low-cost advantages previous IC technologies have
provided us in electronic products. Perhaps this may become true ,
but if the semiconductor industry had a million-transistor tech-
nology like VLSI, I'm not so sure it would know what to do with it.
Besides products containing memory devices, it isn ' t clear what
future electronic products that take advantage of VLSI will be.
Examples abound of products with decreases in cost from 10
to 100,000 fold, made possible by progress in semicortductor inte-
gration levels. Each increase in integration level has opened up
new app lic ations, and in several instances deve loped complete l y
new industries. As semiconductor device t e chnology evolv e d from
discrete , t o small-scale, to medium-scale, and through large- sca l e
integration levels, product advantages have multiplied. Doesn't
it s e em a matter of straightforward calculation that an orde r-o f-
magnitude increase in IC device complexity should result in many
of the same product advantages? Pe rha ps, if the product s a re
me mo r y r e late d.
Memory is certainly one function that can be use d i n l a r ge
chunks, assuming that the c o st/bit will b e low enough to ma ke t his
possibl e . Single-chip microcomputers could be e xte nded with more
memo ry on the chip. But even here , memory modul a rity a t some size
becomes important, thus limiting the amount of memory usefully
incorporated on chip.
CALTECH CONFERENCE ON VLSI , January 1979
Original Moores
Law paper
data points.
i2102
SRAM
By 1971, Moores Law paper was already 6 years old ...
But the result
was empirical.
Understanding
the physics of
scaling MOS
transistor
dimensions was
necessary ...
Thursday, August 29, 13
1974: Dennard Scaling
IEEE JOURN.4L OF SOLID-ST.iTE CIRCUITS, VOL. SC-9, NO. 5> OCTOBER 1974 2456
[31
[41
[51
[61
[71
[81
[91
[101
[111
E. J. Boleky, %ubnanosecond switching delays using CMOS/
SOS silicon-gate technology, in 1971 Int. Solid-State Cir-
cuit Conj., Dig. Tech. Papers, p. 225,
E. J. Boleliy and J. E. Meyer, High-performance low-power
CMOS memories using silicon-on-sapphire technology,
IEEE J. Solid-State Circuits (Special Issue on Micropower
Electronics), vol. SC-7, pp. 135-145, Apr. 1972.
R. W. Bower, H. G. Dill, K. G. Aubuchon, and S. A. Thomp-
son, [MOS field effect transistors by gate masked ion im-
plantation, IEEE !trams. Electron Devices, vol. ED-15, pp.
757-761, Oct. 1968.
J. Tihanyi, Complementary ESFI MOS devices with gate
self adjustment by ion implantation, in Proc. 5,th Iwt. Conj.
Microelectronics in Munich, Nov. 2729, 1972. Munchen-
Wien, Germany: R. Oldenbourg Verlag, pp. 437447.
E. J. Boleky, The performance of complementary MOS
transistors on insulating substrates, RCA Rev., vol. 80, pp.
372-395, 1970.
K. Goser, [Channel formation in an insulated gate field
effect transistor ( IGFET) and its emrivalent circuit . Sienzen.s
Forschungs- und Entwiclclungsbekhte, no. 1, pp. 3-9, 1971.
A. E. Ruehli and P, A. Brennan, Accurate metallization
capacitances for integrated circuits and packages, IEEE J.
Solid-State Circwits (Corresp.), vol. SC-8, pp. 289-290, Aug.
1973.
SINAP (Siemens Netzwerk Analyse Programm Paket),
Siemens AG, Munich, Germany.
K, Goser and K. Steinhubl, [Aufteilung der Gate-Kanal-
Kapazitat auf Source und Drain im Ersatzschaltbild eines
MOS-Transistors, Siemenx Forxchungs- und Ent wicldwrgs-
berichte 1, no. 3$pp. X4-286, 1972.
[121 J. R. Burns, Switching response of complementary+sym-
metry MOS transistors logic circuits, RCA Rev., vol. 25,
pp. 627481, 1964.
[131 R. w. Ahrons and P. D. Gardner, [Introduction of tech-
nology and performance in complementary symmetry cir-
cuits, IEEE J. Solid-State Circuits (Special Issue on Tech-
nology jor Integrated-Circuit Design), vol. SC-5, pp. 2429,
Feb. 1970.
[141 F. F. Fang and H. Rupprecht, High performance MOS in-
tegrated circuits using ion implantation technique, pre-
sented at the 1973 ESSDERC, Munich, Germany,
Michael Pomper, for a photograph and biography, please see p.
238 of this issue.
Jeno Tlhanyi, for a photograph and biogra~hy, please see p.
238 of this issue.
Design of Ion-Implanted MOSFETS with
Very Small Physical Dimensions
ROBERT H. DENNARD, LIEMBER, IEEE, FRITZ H. GAENSSLEN, HWA-NIEN YU, MEMBER, IEEE, V. LEO
RIDEOUT, MEMBER) IEEE, ERNEST BASSOUS, AND ANDRE R. LEBLANC, MEMBER, IEEE
AbsfracfThis paper considers the design, fabrication, and
characterization of very small MOSI?ET switching devices suitable
for digital integrated circuits using dimensions of the order of 1 p.
Scaling relationships are presented which show how a conventional
MOSFET can be reduced in size. An improved small device struc-
ture is presented that uses ion implantation to provide shallow
source and drain regions and a nonuniform substrate doping pro-
file. One-dimensional models are used to predict the substrate
doping profile and the corresponding threshold voltage versus
source voltage characteristic. A two-dimensional current transport
model is used to predict the relative degree of short-channel effects
for different device parameter combinations. Polysilicon-gate
MOSFETS with channel lengths as short as 0.5 ~ were fabricated,
and the device characteristics measured and compared with pre-
dicted values. The performance improvement expected from using
these very small devices in highly miniaturized integrated circuits
is projected.
Manuscript received May 20, 1974; revised July 3, 1974.
The aubhors are with the IBM T. J. Watson Research Center,
Yorktown Heights, N.Y. 10598.
a
D
AW,
LIST OF SYMBOLS
Inverse semilogarithmic slope of sub-
threshold characteristic.
Width of idealized step function pro-
fde for chaDnel implant.
Work function difference between gate
and substrate.
Dielectric constants for silicon and
silicon dioxide.
Drain current.
Boltzmanns constant.
Unitless scaling constant.
MOSFET channel length.
Effective surface mobility.
Intrinsic carrier concentration.
Substrate acceptor concentration.
Band bending in silicon at the onset of
strong inversion for zero substrate
voltage.
IEEE JOURN.4L OF SOLID-ST.iTE CIRCUITS, VOL. SC-9, NO. 5> OCTOBER 1974
2456
[31
[41
[51
[61
[71
[81
[91
[101
[111
E. J. Boleky, %ubnanosecond switching delays using CMOS/
SOS silicon-gate technology, in 1971 Int. Solid-State Cir-
cuit Conj., Dig. Tech. Papers, p. 225,
E. J. Boleliy and J. E. Meyer, High-performance low-power
CMOS memories using silicon-on-sapphire technology,
IEEE J. Solid-State Circuits (Special Issue on Micropower
Electronics), vol. SC-7, pp. 135-145, Apr. 1972.
R. W. Bower, H. G. Dill, K. G. Aubuchon, and S. A. Thomp-
son, [MOS field effect transistors by gate masked ion im-
plantation, IEEE !trams. Electron Devices, vol. ED-15, pp.
757-761, Oct. 1968.
J. Tihanyi, Complementary ESFI MOS devices with gate
self adjustment by ion implantation, in Proc. 5,th Iwt. Conj.
Microelectronics in Munich, Nov. 2729, 1972. Munchen-
Wien, Germany: R. Oldenbourg Verlag, pp. 437447.
E. J. Boleky, The performance of complementary MOS
transistors on insulating substrates, RCA Rev., vol. 80, pp.
372-395, 1970.
K. Goser, [Channel formation in an insulated gate field
effect transistor ( IGFET) and its emrivalent circuit . Sienzen.s
Forschungs- und Entwiclclungsbekhte, no. 1, pp. 3-9, 1971.
A. E. Ruehli and P, A. Brennan, Accurate metallization
capacitances for integrated circuits and packages, IEEE J.
Solid-State Circwits (Corresp.), vol. SC-8, pp. 289-290, Aug.
1973.
SINAP (Siemens Netzwerk Analyse Programm Paket),
Siemens AG, Munich, Germany.
K, Goser and K. Steinhubl, [Aufteilung der Gate-Kanal-
Kapazitat auf Source und Drain im Ersatzschaltbild eines
MOS-Transistors, Siemenx Forxchungs- und Ent wicldwrgs-
berichte 1, no. 3$pp. X4-286, 1972.
[121 J. R. Burns, Switching response of complementary+sym-
metry MOS transistors logic circuits, RCA Rev., vol. 25,
pp. 627481, 1964.
[131 R. w. Ahrons and P. D. Gardner, [Introduction of tech-
nology and performance in complementary symmetry cir-
cuits, IEEE J. Solid-State Circuits (Special Issue on Tech-
nology jor Integrated-Circuit Design), vol. SC-5, pp. 2429,
Feb. 1970.
[141 F. F. Fang and H. Rupprecht, High performance MOS in-
tegrated circuits using ion implantation technique, pre-
sented at the 1973 ESSDERC, Munich, Germany,
Michael Pomper, for a photograph and biography, please see p.
238 of this issue.
Jeno Tlhanyi, for a photograph and biogra~hy, please see p.
238 of this issue.
Design of Ion-Implanted MOSFETS with
Very Small Physical Dimensions
ROBERT H. DENNARD, LIEMBER, IEEE, FRITZ H. GAENSSLEN, HWA-NIEN YU, MEMBER, IEEE, V. LEO
RIDEOUT, MEMBER) IEEE, ERNEST BASSOUS, AND ANDRE R. LEBLANC, MEMBER, IEEE
AbsfracfThis paper considers the design, fabrication, and
characterization of very small MOSI?ET switching devices suitable
for digital integrated circuits using dimensions of the order of 1 p.
Scaling relationships are presented which show how a conventional
MOSFET can be reduced in size. An improved small device struc-
ture is presented that uses ion implantation to provide shallow
source and drain regions and a nonuniform substrate doping pro-
file. One-dimensional models are used to predict the substrate
doping profile and the corresponding threshold voltage versus
source voltage characteristic. A two-dimensional current transport
model is used to predict the relative degree of short-channel effects
for different device parameter combinations. Polysilicon-gate
MOSFETS with channel lengths as short as 0.5 ~ were fabricated,
and the device characteristics measured and compared with pre-
dicted values. The performance improvement expected from using
these very small devices in highly miniaturized integrated circuits
is projected.
Manuscript received May 20, 1974; revised July 3, 1974.
The aubhors are with the IBM T. J. Watson Research Center,
Yorktown Heights, N.Y. 10598.
a
D
AW,
LIST OF SYMBOLS
Inverse semilogarithmic slope of sub-
threshold characteristic.
Width of idealized step function pro-
fde for chaDnel implant.
Work function difference between gate
and substrate.
Dielectric constants for silicon and
silicon dioxide.
Drain current.
Boltzmanns constant.
Unitless scaling constant.
MOSFET channel length.
Effective surface mobility.
Intrinsic carrier concentration.
Substrate acceptor concentration.
Band bending in silicon at the onset of
strong inversion for zero substrate
voltage.
DENN.4m et al. : ION-IMPLANTED MOSFETS
257
*,
(1
Q.,,
t
ox
T
Vd, v,, v., Vaub
Vd.
V,-.b
v,
w.,Wd
w
Built-in junction potential.
Charge on the electron.
Effective oxide charge.
Gate oxide thickness.
Absolute temperature.
Drain, source, gate and substrate volt-
ages.
Drain voltage relative to source.
Source voltage relative to substrate.
Gate threshold voltage.
Source and drain depletion layer
widths.
MOSFET channel width.
INTRODUCTION
N
EW HIGH resolution lithographic techniques for
forming semiconductor integrated circuit patterns
offer a decrease in linewidth of five to ten times
over the optical contact masking approach which is com-
monly used in the semiconductor industry today. Of the
new techniques, electron beam pattern writing has been
widely used for experimental device fabrication [1] [4]
while X-ray lithography [5] and optical projection print-
ing [6] have also exhibited high-resolution capability.
Full realization of the benefits of these new high-resolu-
tion lithographic techniques requires the development of
new device designs, technologies, and structures which
can be optimized for very small dimensions.
This paper concerns the design, fabrication, and char-
acterization of very small MOSFET switching devices
suitable for digital integrated circuits using dimensions
of the order of 1 p. It is known that reducing the source-
to-drain spacing (i.e., the channel length) of an FET
leads to undesirable changes in the device characteristics.
These changes become significant when the depletion
regions surrounding the source and drain extend over a
large portion of the region in the silicon substrate under
the gate electrode. For switching applications, the most
undesirable short-channel effect is a reduction in the
gate threshold voltage at which the device turns on, which
is aggravated by high drain voltages. It has been shown
that these short-channel effects can be avoided by scaling
down the vertical dimensions (e.g., gate insulator thickn-
ess, junction depth, etc. ) along with the horizontal
dimensions, while also proportionately decreasing the
applied voltages and increasing the substrate doping con-
centration [7], [8]. Applying this scaling approach to a
properly designed conventional-size MOSFET shows that
a 200-A gate insulator is required if the channel length
is to be reduced to 1 ~.
A major consideration of this paper is to show how
the use of ion implantation leads to an improved design
for very small scaled-down MOSFETS. First, the ability
of ion implantation to accurately introduce a low con-
centration of doping atoms allows the substrate doping
profile in the channel region under the gate to be in-
creased in a controlled manner. When combined with a
GATE ~ tox=loooh
a
1,
+ /l +
Ll -
/L\
--0
5P
=. _-
NA=5 x 105/cm3
(a)
GATE &*200A
a
:N+ ~N+o
___, .___,
-OILhp
N~=25x106/cm
(b)
Fig. 1. Illustration of device scaling principles with K = 5. (a)
Conventional commercially available device structure. (b)
Scaled-down device structure.
relatively lightly doped starting substrate, this channel
implant reduces the sensitivity of the threshold voltage
to changes in the source-to-substrate (backdate) bias.
This reduced substrate sensitivity can then be traded
off for a thicker gate insulator of 350-A thickness which
tends to be easier to fabricate reproducibly and reliably.
Second, ion implantation allows the formation of very
shallow source and drain regions which are more favor-
able with respect to short-channel effects, while main-
taining an acceptable sheet resistance. The combination
of these features in an all-implanted design gives a
switching device which can be fabricated with a thicker
gate insulator if desired, which has well-controlled thresh-
old characteristics, and which has significantly reduced
interelectrode capacitances (e.g., drain-to-gate or drain-
to-substrate capacitances).
This paper begins by describing the scaling principles
which are applied to a conventional MOSFET to obtain
a very small device structure capable of improved per-
formance. Experimental verification of the scaling ap-
proach is then presented. Next, the fabrication process
for an improved scaled-down device structure using ion
implantation is described. Design considerations for this
all-implanted structure are based on two analytical tools:
a simple one-dimensional model that predicts the sub-
strate sensitivity for long channel-length devices, and a
two-dimensional current-transport model that predicts
the device turn-on characteristics as a function of chan-
nel length, The predicted results from both analyses are
compared ;vith experimental data. Using the two-di-
mensional simulation, the sensitivity of the design to
Yarious parameters is shown. Then, detailed attention is
givcll to all alternate design,intendedfor zero substrate
bins, which offers some advantages with respect to thresh-
old control. Finally, the paper concludes with a discus-
sion of the performance improvements to be expected
from integrated circuits that use these very small FETs.
DEVICE SCALING
The principles of device scaling [7], [8] show in a
concise manner the general design trends to be followed
in dccreming the size and increasing the performance of
lIOSFET switching devices. Fig. 1 compares a state-of-
the-art n-channel lllOSFET [9] with a scaled-down
If we scale the
gate length by a
factor , how
should we scale
other aspects of
transistor to get
the best results?
not
scaled
= 5
scaling
Thursday, August 29, 13
Dennard Scaling
DENN.4m et al. : ION-IMPLANTED MOSFETS
257
*,
(1
Q.,,
t
ox
T
Vd, v,, v., Vaub
Vd.
V,-.b
v,
w.,Wd
w
Built-in junction potential.
Charge on the electron.
Effective oxide charge.
Gate oxide thickness.
Absolute temperature.
Drain, source, gate and substrate volt-
ages.
Drain voltage relative to source.
Source voltage relative to substrate.
Gate threshold voltage.
Source and drain depletion layer
widths.
MOSFET channel width.
INTRODUCTION
N
EW HIGH resolution lithographic techniques for
forming semiconductor integrated circuit patterns
offer a decrease in linewidth of five to ten times
over the optical contact masking approach which is com-
monly used in the semiconductor industry today. Of the
new techniques, electron beam pattern writing has been
widely used for experimental device fabrication [1] [4]
while X-ray lithography [5] and optical projection print-
ing [6] have also exhibited high-resolution capability.
Full realization of the benefits of these new high-resolu-
tion lithographic techniques requires the development of
new device designs, technologies, and structures which
can be optimized for very small dimensions.
This paper concerns the design, fabrication, and char-
acterization of very small MOSFET switching devices
suitable for digital integrated circuits using dimensions
of the order of 1 p. It is known that reducing the source-
to-drain spacing (i.e., the channel length) of an FET
leads to undesirable changes in the device characteristics.
These changes become significant when the depletion
regions surrounding the source and drain extend over a
large portion of the region in the silicon substrate under
the gate electrode. For switching applications, the most
undesirable short-channel effect is a reduction in the
gate threshold voltage at which the device turns on, which
is aggravated by high drain voltages. It has been shown
that these short-channel effects can be avoided by scaling
down the vertical dimensions (e.g., gate insulator thickn-
ess, junction depth, etc. ) along with the horizontal
dimensions, while also proportionately decreasing the
applied voltages and increasing the substrate doping con-
centration [7], [8]. Applying this scaling approach to a
properly designed conventional-size MOSFET shows that
a 200-A gate insulator is required if the channel length
is to be reduced to 1 ~.
A major consideration of this paper is to show how
the use of ion implantation leads to an improved design
for very small scaled-down MOSFETS. First, the ability
of ion implantation to accurately introduce a low con-
centration of doping atoms allows the substrate doping
profile in the channel region under the gate to be in-
creased in a controlled manner. When combined with a
GATE ~ tox=loooh
a
1,
+ /l +
Ll -
/L\
--0
5P
=. _-
NA=5 x 105/cm3
(a)
GATE &*200A
a
:N+ ~N+o
___, .___,
-OILhp
N~=25x106/cm
(b)
Fig. 1. Illustration of device scaling principles with K = 5. (a)
Conventional commercially available device structure. (b)
Scaled-down device structure.
relatively lightly doped starting substrate, this channel
implant reduces the sensitivity of the threshold voltage
to changes in the source-to-substrate (backdate) bias.
This reduced substrate sensitivity can then be traded
off for a thicker gate insulator of 350-A thickness which
tends to be easier to fabricate reproducibly and reliably.
Second, ion implantation allows the formation of very
shallow source and drain regions which are more favor-
able with respect to short-channel effects, while main-
taining an acceptable sheet resistance. The combination
of these features in an all-implanted design gives a
switching device which can be fabricated with a thicker
gate insulator if desired, which has well-controlled thresh-
old characteristics, and which has significantly reduced
interelectrode capacitances (e.g., drain-to-gate or drain-
to-substrate capacitances).
This paper begins by describing the scaling principles
which are applied to a conventional MOSFET to obtain
a very small device structure capable of improved per-
formance. Experimental verification of the scaling ap-
proach is then presented. Next, the fabrication process
for an improved scaled-down device structure using ion
implantation is described. Design considerations for this
all-implanted structure are based on two analytical tools:
a simple one-dimensional model that predicts the sub-
strate sensitivity for long channel-length devices, and a
two-dimensional current-transport model that predicts
the device turn-on characteristics as a function of chan-
nel length, The predicted results from both analyses are
compared ;vith experimental data. Using the two-di-
mensional simulation, the sensitivity of the design to
Yarious parameters is shown. Then, detailed attention is
givcll to all alternate design,intendedfor zero substrate
bins, which offers some advantages with respect to thresh-
old control. Finally, the paper concludes with a discus-
sion of the performance improvements to be expected
from integrated circuits that use these very small FETs.
DEVICE SCALING
The principles of device scaling [7], [8] show in a
concise manner the general design trends to be followed
in dccreming the size and increasing the performance of
lIOSFET switching devices. Fig. 1 compares a state-of-
the-art n-channel lllOSFET [9] with a scaled-down
not
scaled
= 5
scaling
DENNASDet at.: 10N-1MH,.4NTEDiWOSFETS
265
,.-5
, ,
20KeV,6EII cm-z
]o-6 . V,=4V
/
v$,~=o
/
.
,0-7
W%~~ENTAL . +
,0-8
A
L=l.lp . L=lOp
~
& 10-9
.
,0-10
~.li)o(
*)
.
; ,[ CTvj
o 0.2 0.4 0.6 .0.8 1.0 1.2 1.4
vg[v]
Fig. 13. Calculated and experimental subthreshold turn-on char-
acteristics for ion-implanted zero substrate bias design.
TABLE I
SCALING RESULTS FOR CIRCUIT PERFORMANCE
Device or Circuit Parameter Scaling Factor
Device dlmensiontO., L, W
Doping concentration Na
Voltage V
Current 1
Capacitance EA It
Delay time/circuit VC/Z
Power dissipation/circnit VI
Power density VI/A
1/.
K
1/.
1/.
l/K
1/.
1/K2
1
ing factor K. Justifying these results here in great detail
would be tedious, so only a. simplified treatment is given.
It is argued that all nodal voltages are reduced in the
miniaturized circuits in proportion to the reduced supply
voltages. This follows because the quiescent voltage levels
in digital MC)SFET circuits are either the supply levels
or some intermediate level given by a voltage divider
consisting of two or more devices, and because the resist-
ance V/I of each device is unchanged by scaling. An
assumption is made that parasitic resistance elements are
either negligible or unchanged by scaling, which will be
examined subsequently. The circuits operate properly at
lower voltages because the device threshold voltage Vt
scales as shown in (2), and furthermore because the
tolerance spreads on Vt should be proportionately reduced
as well if each parameter in (2) is controlled to the same
percentage accuracy. Noise margins are reduced, but at
the same time internally generated noise coupling volt-
ages are reduced by the lower signal voltage swings,
Due to the reduction in dimensions, all circuit elements
(i.e., interconnection lines as well as devices) will have
their capacitances reduced by a factor of K. This occurs
because of the reduction by K in the area of these com-
ponents, which is partially cancelled by the decrease in
the electrode spacing by K due to thinner insulating films
TABLE II
SCALING RESULTS FOR INTERCONNECTION LINES
Parameter
Scaling Factor
Line resistance, R~ = pL/Wt
K
Normalized voltage drop IR~/V
K
Line response time R~C
1
Line current density I/A
K
and reduced depletion layer widths. These reduced ca-
pacitances are driven by the unchanged device resist-
ances V/I giving decreased transition times with a re-
sultant reduction in the delay time of each circuit by a
factor of K. The power dissipation of each circuit is re-
duced by K due to the reduced voltage and current levels,
so the power-delay product is improved by K8. Since the
area of a given device or circuit is also reduced by K2,
the power density remains constant, Thus, even if many
more circuits are placed on a given integrated circuit
chip, the cooling problem is essentially unchanged.
As indicated in Table II, a number of problems arise
from the fact that the cross-sectional area of conductors
is decreased by K2 while the length is decreased only by K.
It is assumed here that the thicknesses of the conductors
are necessarily reduced along with the widths because
of the more stringent resolution requirements (e.g.j on
etching, etc. ). The conductivity is considered to remain
constant which is reasonable for metal films down to
very small dimensions (until the mean free path becomes
comparable to the thickness), and is also reasonable for
degenerately doped semiconducting lines where solid
volubility and impurity scattering considerations limit
any increase in conductivity. Under these assumptions
the resistance of a given line increases directly with the
scaling factor K. The IR drop in such a line is therefore
constant (with the decreased current levels) ~ but is K
times greater in comparison to the lower operating volt-
ages. The response time of an unterminated transmission
line is characteristically limited by its time constant
R~C, which is unchanged by scaling; however, this makes
it difficult to take advantage of the higher switching
speeds inherent in the scaled-down devices when signaI
propagation over long lines is involved, Also, the current
density in a scaled-down conductor is increased by K,
which causes a reliability concern, In conventional
MOSFET circuits, these conductivity problems are re-
latively minor, but they become significant for line-
widths of micron dimensions. The problems may be
circumvented in high performance circuits by widening
the power buses and by avoiding the use of n+ doped
lines for signal propagation.
Use of the ion-implanted devices considered in this
paper will give similar performance improvement to that
of the scaled-down device with K = 5 given in Table I.
For the implanted dcviccs with the higher operating volt-
ages (4 V instead of 3 V) and higher threshold voltages
(0.9 V instead of 0.4 V), the current level will be reduced
Things we do:
scale dimensions,
doping, Vdd.
What we get:

2
as many
transistors at the
same power density!
Whose gates switch
times faster!
Power density scaling ended in 2003
(Pentium 4: 3.2GHz, 82W, 55M FETs).
We could no longer scale Vdd (Lec 4).
Thursday, August 29, 13
Copyright 2011, Elsevier Inc. All rights Reserved. 5
Figure 1.11 Growth in clock rate of microprocessors in Figure 1.1. Between 1978 and 1986, the clock rate improved less than 15% per
year while performance improved by 25% per year. During the renaissance period of 52% performance improvement per year between
1986 and 2003, clock rates shot up almost 40% per year. Since then, the clock rate has been nearly flat, growing at less than 1% per year,
while single processor performance improved at less than 22% per year.
Dennard Scaling ended ... when we hit the power wall
Thursday, August 29, 13
Moores Law
2.6 Billion
1 Million
2 Thousand
We still scale
to get more
transistors per
unit area ... but
we use design
techniques to
reduce power.
Thursday, August 29, 13
Photo: Global Foundries fab oor, before equipment arrives.
Thursday, August 29, 13
Chip Designers: Head in the Clouds
IC Process Designers: Feet on the Ground
Photo: Global Foundries fab oor, before equipment arrives.
Design Styles
Process Design Kit: Design Rules and Device Models
Thursday, August 29, 13
Process Design Kit: Design Rules and Device Models
Structured Custom Design
Wiring by abutment. Rectangular leaf cell
layout is hand-crafted so that edge wires
match up when cells are tiled in 1-D or 2-D.
Cell compilation. Designers write programs
(cell compilers) to tile leaf cells into larger
logic blocks. Wire routing comes for free.
Parameters. N-bit datapath compilers.
Thursday, August 29, 13
UC Regents Fall 2013 UCB CS 250 L1: Fab/Design Interface
231 DIGEST OF TECHNICAL PAPERS
ISSCC 2012 / February 21, 2012 / 1:30 PM
Figure 13.1.1: 22nm HDC and LVC Tri-gate SRAM bitcells. Figure 13.1.2: Assist circuit overview, array design and floorplan.
Figure 13.1.3: TVC-WA circuit for write V
MIN
enhancement.
Figure 13.1.5: Read, write, retention V
MIN
tradeoffs with WLUD-RA and
TVC-WA.
Figure 13.1.6: LVC array shmoo and 162Mb V
MIN
distribution.
Figure 13.1.4: TVC-WA operation with simulated waveforms.
13
KARL !" #$%: A 4.6 GHz 162 Mb SRAM DESIGN IN 22 nm TRI-GATE CMOS TECHNOLOGY WITH INTEGRATED READ AND WRITE ASSIST CIRCUITRY 151
Fig. 1. 45-degree image oI 22 nm tri-gate LVC SRAM bitcell.
Fig. 2. 22 nm HDC and LVC SRAM bitcells.
low voltage, achieving low SRAM minimum operating voltage
is desirable to avoid integration, routing, and control overheads
oI multiple supply domains.
In the 22 nm tri-gate technology, !n quantization eliminates
the !ne-grained width tuning conventionally used to optimize
read stability and write margin and presents a challenge in
designing minimum-area SRAM bitcells constrained by !n
pitch. The 22 nm process technology includes both a high
density 0.092 m 6T SRAM bitcell (HDC) and a low voltage
0.108 m 6T SRAM bitcell (LVC) to support tradeoIIs in area,
perIormance, and minimum operating voltage across a range
oI application requirements. In Fig. 1, a 45-degree image oI an
LVC tri-gate SRAM is pictured showing the thin silicon !ns
wrapped on three sides by a polysilicon gate. The top-down
bitcell images in Fig. 2 illustrate that tri-gate device sizing and
minimum device dimensions are quantized by the dimensions
oI each uniIorm silicon !n. The HDC bitcell Ieatures a 1 !n
pullup, passgate, and pulldown transistor to deliver the highest
6T SRAM density, while the LVC bitcell has a 2 !n pulldown
transistor Ior improved SRAM ratio (passgate to pulldown)
which enhances read stability in low voltage conditions. Bitcell
optimization via adjustment can be used to adjust the
bitcell (pullup to pulldown) and ratios Ior adjustments to
read and write margin, in lieu oI geometric customization, but
low usage is constrained by bitcell leakage and high
Fig. 3. High density SRAM bitcell scales at 2X per technology node.
Fig. 4. 22 nm tri-gate SRAM array density scales by 1.85X with an unprece-
dented increase in perIormance at low voltage.
usage is limited by perIormance degradation at low voltage.
In the 22 nm process technology, the individual bitcell device
targets are co-optimized with the array design and integrated
assist circuits to deliver maximum yield and process margin at a
given perIormance target. Optical proximity correction
and resolution enhancement technologies extend the capabili-
ties oI 193 nm immersion lithography to allow 54 scaling oI
the bitcell topologies Irom the 32 nm node, as shown in Fig. 3.
Fig. 4 shows that SRAM cell size density scaling is preserved
at the 128 kb array level and the array is capable oI 2.0 GHz
operation at 625 mVa 175 mV reduction in supply voltage
required to reach 2 GHz Irom the prior technology node.
III. 22 NM 128 KB SRAM MACRO DESIGN
The 162 Mb SRAM array implemented on the 22 nm SRAM
test chip is composed oI a tileable 128 kb SRAM macro with
integrated read and write assist circuitry. As shown in Fig. 5,
the array macro "oorplan integrates 258 bitcells per local bit-
line (BL) and 136 bitcells per local wordline (WL) to maintain
high array eI!ciency (71.6) and achieve 1.85Xdensity scaling
(7.8 Mb/mm ) over the 32 nm design |11| despite the addition
oI integrated assist circuits. The macro "oorplan uses a Iolded
bitline layout with 8:2 column multiplexing on each side oI the
shared I/O column circuitry. Two redundant row elements and
two redundant column elements are integrated into the macro
to improve manuIacturing yield and provide capability to repair
RAM Compilers
On average,
30% of a
modern logic
chip is SRAM,
which is
generated by
RAM compilers.
Compile-time
parameters set
number of bits,
aspect ratio,
ports, etc.
Thursday, August 29, 13
Structured Custom CPU (David Johannsen, Caltech, 77)
Thursday, August 29, 13
Thursday, August 29, 13
UC Regents Fall 2013 UCB CS 250 L1: Fab/Design Interface
12
Go rdo n E . Moor e
1000
z
./
CJ
(/)
U.l
/
c
/.
100
z
0

..__
z
ll..
UJ
a
a:
10
0
u..
/..
SLOPE: DOUBLES IN 2
2
13 YEARS
(/)
::I:
..__
z
0
2
1
I
z
0
(/)

a:
UJ
a..
0. 1 -- ' -l;;:-1 _._....__:-1
='-l..' -1.1
..L..L.LI .JI
1960 1965 1970 1975 1980 1985
YEAR
Figure 5.
in Figure 5. As can be seen from Figures 4 and 5, its growth
is exponential, doubling every 2 and 2/3 years.
If it is assumed that the cost per person-month is inflating
at 10 percent per year (a conservative figure considering the need
for increased computer support, etc.), then the costs double every
two years. We should keep in mind that device complexity is also
doubling every two years, resulting in a constant cost per element
to define, design, and layout complex res.
KEYNOTE SESSION
1
2

G
o
r
d
o
n

E
.

M
o
o
r
e

1
0
0
0

z

.
/

C
J

(
/
)

U
.
l

/

c

/
.

1
0
0

z

0


.
.
_
_

z

l
l
.
.

U
J

a

a
:

1
0

0

u
.
.

/
.
.

S
L
O
P
E
:

D
O
U
B
L
E
S

I
N

2
2
1
3

Y
E
A
R
S

(
/
)

:
:
I
:

.
.
_
_

z

0

2

1

I

z

0

(
/
)


a
:

U
J

a
.
.

0
.

1

-
-
'

-
l
;
;
:
-
1
_
.
_
.
.
.
.
_
_
:
-
1

=
'
-
l
.
.
'
-
1
.
1

.
.
L
.
.
L
.
L
I

.
J
I

1
9
6
0

1
9
6
5

1
9
7
0

1
9
7
5

1
9
8
0

1
9
8
5

Y
E
A
R

F
i
g
u
r
e

5
.

i
n

F
i
g
u
r
e

5
.

A
s

c
a
n

b
e

s
e
e
n

f
r
o
m

F
i
g
u
r
e
s

4

a
n
d

5
,

i
t
s

g
r
o
w
t
h

i
s

e
x
p
o
n
e
n
t
i
a
l
,

d
o
u
b
l
i
n
g

e
v
e
r
y

2

a
n
d

2
/
3

y
e
a
r
s
.

I
f

i
t

i
s

a
s
s
u
m
e
d

t
h
a
t

t
h
e

c
o
s
t

p
e
r

p
e
r
s
o
n
-
m
o
n
t
h

i
s

i
n
f
l
a
t
i
n
g

a
t

1
0

p
e
r
c
e
n
t

p
e
r

y
e
a
r

(
a

c
o
n
s
e
r
v
a
t
i
v
e

f
i
g
u
r
e

c
o
n
s
i
d
e
r
i
n
g

t
h
e

n
e
e
d

f
o
r

i
n
c
r
e
a
s
e
d

c
o
m
p
u
t
e
r

s
u
p
p
o
r
t
,

e
t
c
.
)
,

t
h
e
n

t
h
e

c
o
s
t
s

d
o
u
b
l
e

e
v
e
r
y

t
w
o

y
e
a
r
s
.

W
e

s
h
o
u
l
d

k
e
e
p

i
n

m
i
n
d

t
h
a
t

d
e
v
i
c
e

c
o
m
p
l
e
x
i
t
y

i
s

a
l
s
o

d
o
u
b
l
i
n
g

e
v
e
r
y

t
w
o

y
e
a
r
s
,

r
e
s
u
l
t
i
n
g

i
n

a

c
o
n
s
t
a
n
t

c
o
s
t

p
e
r

e
l
e
m
e
n
t

t
o

d
e
f
i
n
e
,

d
e
s
i
g
n
,

a
n
d

l
a
y
o
u
t

c
o
m
p
l
e
x

r
e
s
.

K
E
Y
N
O
T
E

S
E
S
S
I
O
N

Are We Really Ready for VLsr
2
?
Gordon E. Moore
Intel Corporation
3
A tremendous interest in VLSI is all around us. There is
much talk of electron-beam and X-ray lithography tools to achieve
VLSI's submicron structures. In all of the VLSI discussions, the
implication is that it will allow us to keep on enjoying the same
kindcr fantastic low-cost advantages previous IC technologies have
provided us in electronic products. Perhaps this may become true ,
but if the semiconductor industry had a million-transistor tech-
nology like VLSI, I'm not so sure it would know what to do with it.
Besides products containing memory devices, it isn ' t clear what
future electronic products that take advantage of VLSI will be.
Examples abound of products with decreases in cost from 10
to 100,000 fold, made possible by progress in semicortductor inte-
gration levels. Each increase in integration level has opened up
new app lic ations, and in several instances deve loped complete l y
new industries. As semiconductor device t e chnology evolv e d from
discrete , t o small-scale, to medium-scale, and through large- sca l e
integration levels, product advantages have multiplied. Doesn't
it s e em a matter of straightforward calculation that an orde r-o f-
magnitude increase in IC device complexity should result in many
of the same product advantages? Pe rha ps, if the product s a re
me mo r y r e late d.
Memory is certainly one function that can be use d i n l a r ge
chunks, assuming that the c o st/bit will b e low enough to ma ke t his
possibl e . Single-chip microcomputers could be e xte nded with more
memo ry on the chip. But even here , memory modul a rity a t some size
becomes important, thus limiting the amount of memory usefully
incorporated on chip.
CALTECH CONFERENCE ON VLSI , January 1979
Are We Really Ready for VLsr
2
?
Gordon E. Moore
Intel Corporation
3
A tremendous interest in VLSI is all around us. There is
much talk of electron-beam and X-ray lithography tools to achieve
VLSI's submicron structures. In all of the VLSI discussions, the
implication is that it will allow us to keep on enjoying the same
kindcr fantastic low-cost advantages previous IC technologies have
provided us in electronic products. Perhaps this may become true ,
but if the semiconductor industry had a million-transistor tech-
nology like VLSI, I'm not so sure it would know what to do with it.
Besides products containing memory devices, it isn ' t clear what
future electronic products that take advantage of VLSI will be.
Examples abound of products with decreases in cost from 10
to 100,000 fold, made possible by progress in semicortductor inte-
gration levels. Each increase in integration level has opened up
new app lic ations, and in several instances deve loped complete l y
new industries. As semiconductor device t e chnology evolv e d from
discrete , t o small-scale, to medium-scale, and through large- sca l e
integration levels, product advantages have multiplied. Doesn't
it s e em a matter of straightforward calculation that an orde r-o f-
magnitude increase in IC device complexity should result in many
of the same product advantages? Pe rha ps, if the product s a re
me mo r y r e late d.
Memory is certainly one function that can be use d i n l a r ge
chunks, assuming that the c o st/bit will b e low enough to ma ke t his
possibl e . Single-chip microcomputers could be e xte nded with more
memo ry on the chip. But even here , memory modul a rity a t some size
becomes important, thus limiting the amount of memory usefully
incorporated on chip.
CALTECH CONFERENCE ON VLSI , January 1979
Limitations
Labor intensive.
Key metric is the
number of leaf cells
required to
efciently use a
given area of silicon
Memory arrays and
FPGAs are a good t.
Still, even today
it is common to
see custom layout
in critical parts
of CPU logic.
Thursday, August 29, 13
Process Design Kit: Design Rules and Device Models
Standard Cell Design
Logic schematics
using library gates.
Gate Library. Fixed-height, to
be placed in rows. Vdd and Gnd
rails connect by abutment.
I/O Ports. Auto-router places
wires over cell to connect them.
Vdd
Gnd
In
Out
Thursday, August 29, 13
UC Regents Fall 2013 UCB CS 250 L1: Fab/Design Interface
Place & Route Software places cells into rows,
to optimize area, performance,
and power constraints.
Router
connects
gate ports
to match
schematic.
Router
optimizes
relative
lengths of
wire to
meet
constraints.
We put optimize in quotes to reect
the NP-hard nature
of the algorithms behind place & route.
Thursday, August 29, 13
UC Regents Fall 2013 UCB CS 250 L1: Fab/Design Interface
12 x 16 Multiplier in Structured Custom and Standard Cell
Benchmark
by a custom
design house
(Obsidian).
Custom layout (left) is a factor of 2.2
smaller than standard cell layout (right).

In general,
they claim:
30% of the
power, twice
the speed,
and 4 times
the density
of standard
cells.
Thursday, August 29, 13
Process Design Kit: Design Rules and Device Models
Logic Synthesis - The Automation of Logic Design
At the start of the 1980s, the standard-cell ow
was driven by hand-drawn schematics.
By the early 1990s, schematics were replaced with
Verilog/VHDL, to drive logic synthesis, whose output
was integrated into standard cell back ends.
Place &
Route
Thursday, August 29, 13
Logic design
... as I
learned it in
1981 ...
Thursday, August 29, 13
There was one
computer in the logic
design lab at Penn EE
in 1981 ... used for
burning fuse ROMs ...
Thursday, August 29, 13
Given: Finite-State Machine F(X,Y,Z, , ) where:
X: Input alphabet
Y: Output alphabet
Z: Set of internal states
: X x Z Z (next state function)
: X x Z Y (output function)
Target: Circuit C(G, W) where:
G: set of circuit components g {Boolean gates,
flip-flops, etc}
W: set of wires connecting G
X Y
Z
Synopsys 2012 6
1981: Multi-Level Minimization
IBM, UCB, and University of Colorado at Boulder
John Darringer, William H. Joyner,
and Louise H. Trevilyan, e.g. Logic
Synthesis Through Local
Transformations, IBM, 1981
Robert K. Brayton, Gary D. Hachtel,
A. Richard Newton, and Alberto L.
Sangiovanni-Vincentelli, e.g. Logic
Minimization Algorithms for VLSI
Synthesis, UCB, 1984
Espresso Using heuristic and
algorithms to reduce logic complexity
Synopsys 2012 6
1981: Multi-Level Minimization
IBM, UCB, and University of Colorado at Boulder
John Darringer, William H. Joyner,
and Louise H. Trevilyan, e.g. Logic
Synthesis Through Local
Transformations, IBM, 1981
Robert K. Brayton, Gary D. Hachtel,
A. Richard Newton, and Alberto L.
Sangiovanni-Vincentelli, e.g. Logic
Minimization Algorithms for VLSI
Synthesis, UCB, 1984
Espresso Using heuristic and
algorithms to reduce logic complexity
In the early 1980s, progress in academia and
industrial labs made the problem domain tractable ...
Thursday, August 29, 13
Synopsys 2012 7
1986: Logic Compiler
Optimal Solutions, Inc. (aka Synopsys, Inc.)
Technology X Provide automation and increase productivity for gate
level designers
In the second half of the 1980s, the startup that
became Synopsys developed Design Compiler (dc) ...
Eventually,
Verilog/VHDL.
Logic Synthesis
1986 Pitch
Slide for
EDA startup
Optimal
Solutions
Productivity
the key
value-add
Technology Mapping
Thursday, August 29, 13
CS250, UC Berkeley Fall 12 Lecture 01, Introduction 1
29
System-on-chip (SOC)
!
Pre-verified block designs, standard bus interfaces (or adapters)
ease integration - lower NREs, shorten TTM.
Brings together: standard cell blocks,
custom analog blocks, processor cores,
memory blocks, embedded FPGAs,
Standardized on-chip buses (or
hierarchical interconnect) permit easy
integration of many blocks.
Ex: AMBA, Sonics,
IP Block business model: Hard- or
soft-cores available from third party
designers.
ARM, inc. is the shining example. Hard-
and synthesizable RISC processors.
ARM and other companies provide,
Ethernet, USB controllers, analog
functions, memory blocks,
SIP, SOP, MCM interesting al ternati ves.
CS250, UC Berkeley Fall 12 Lecture 01, Introduction 1
Modern ASIC Methodology and Flow
!
RTL Synthesis Based
HDL specifies design as
combinational logic + state
elements
Instantiations needed for
blocks not inferred by
synthesis (typically RAM)
Event simulation verifies RTL
Formal verification
compares logical structure
of gate netlist to RTL
Place & route generates layout
Timing and power checked
statically
Layout verified wi th LVS and GDRC
RTL (Verilog/VHDL) + instantiations
logic
synthesis
simulator
cell place & route
GDS
timing/
power
analysis
formal
verification
Specification
gate netlist (wi th area/perf/pwr estimates)
GDRC, LVS, other checks
30
Thursday, August 29, 13
UC Regents Fall 2013 UCB CS 250 L1: Fab/Design Interface
Process Design Kit: Design Rules and Device Models
Systems on a Chip (SoCs)
Todays chips are mosaics. The chip
design process often consists of licensing
intellectual property (IP) from other
companies (large like CPUs and GPUs,
small like DRAM controllers & analog blocks) .
On chip buses. IP blocks are often designed
to hook up to standardized on-chip buses,
defined by CPU IP vendors like ARM.
Thursday, August 29, 13
UC Regents Fall 2013 UCB CS 250 L1: Fab/Design Interface
Apple TV SoC
Chip designed
by Apple, but
many blocks
are licensed
from third
parties. Some
are standard
cells, others
full custom.
Thursday, August 29, 13
UC Regents Fall 2013 UCB CS 250 L1: Fab/Design Interface
On-chip bus hierarchy for an ARM-based system ...
Thursday, August 29, 13
UC Regents Fall 2013 UCB CS 250 L1: Fab/Design Interface
Process Design Kit: Design Rules and Device Models
The Programmable Imperative
Instead of doing your own chip, buy a
standard-product chip that is programmable
in ways more sophisticated than a PC.
Examples: FPGAs (Field Programmable Gate
Arrays), specialized CPU-based chips.
CS250, UC Berkeley Fall 12 Lecture 01, Introduction 1
25
Field Programmable Gate Arrays
!
Fuses, EPROM, or Static RAM cells are used to store the configuration.
!
Here, i t determines function implemented by LUT, selection of Flip-flop, and
interconnection points.
!
Many FPGAs include special circui ts to accelerate adder carry-chain and many special
cores: RAMs, MAC, Enet, PCI, SERDES, ...
!
Two-dimensional
array of simple
logic- and
interconnection-
blocks.
!
Typical architecture:
LUTs implement any
function of n-inputs
(n=3 in this case).
!
Optional Flip-flop
with each LUT.
CS250, UC Berkeley Fall 12 Lecture 01, Introduction 1
26
Tradi tional FPGA versus ASIC argument
(circa 2000)
ASIC: High NRE costs ($2M for 0.35um chip). Relati vely Low cost
per die.
FPGAs: Very low NRE costs. Relati vely low silicon efficiency ! high
cost per part.
Cross-over volume from cost effecti ve FPGA design to ASIC in the
10K range.
volume
total
cost
FPGAs cost
effective
ASICs cost
effective
FPGA
ASIC
Build or Buy? Buy
wins at lower volumes.
Cross-over shifting
rightward over time
Thursday, August 29, 13
UC Regents Fall 2013 UCB CS 250 L1: Fab/Design Interface
Xilinx ZYNQ
A dual-core
ARM SoC with
a full set of
peripherals.
Plus, a
signicant
portion of the
chip area
devoted to
Xilinx FPGA
elements, that
interact with
ARM cores
efciently.
Thursday, August 29, 13
UC Regents Fall 2013 UCB CS 250 L1: Fab/Design Interface
Break
Play:
Thursday, August 29, 13
UC Regents Fall 2013 UCB CS 250 L1: Fab/Design Interface
CS 250: What we will do
Thursday, August 29, 13
CS 250 Flowchart
September++
Early October
October, November++
Mid-December:
(see website for exact dates)
11 lectures and 4 labs to prepare you for the project.
Each student does the 4 labs by themselves.
Students self-organize into two-person project teams.
Oral & written project proposals.
Lecture timeslots used for private meetings between
teams and instructors. Also, public progress talks.
Final project talks and written reports.
Thursday, August 29, 13
Project Background Rocket, a RISC-V (risk ve) implementation
Rocket is
an implementation of
Cals RISC-V ISA.
It is a current
project in Professor
Krste Asanovics
research group
Rocket lets an SoC designer dene new instructions in the ISA.
Those instructions are executed by an accelerator that the SoC
designer provides. Rocket denes the interface that the
accelerator uses to interact with the CPU.
Rocket is meant to be
used by SoC
designers.
Thursday, August 29, 13
The Project
Teams will dene an
accelerator concept,
and implement it using
the Synopsis
standard-cell ow
(logic synthesis,
place and route).
Teams will write their
accelerator in Chisel,
a new HDL by
Jonathan Bachrach.
Chisel is based on Scala.
Teams will design several implementations of their
accelerator, each Pareto-optimal for different points in
the power/performance/area space.
Thursday, August 29, 13
How we prepare you for the project
11 Lectures
4 Labs
2 lectures on Chisel (also, Chisel Bootcamp, 9/30).
2 lectures on Rocket and accelerator ideas.
2 lectures on Pareto optimality space.
3 lectures on microarchitectural design patterns.
1 lecture on testing your accelerator.
Using Chisel.
Using Synopsis.
Using the RISC-V and Rocket tool chains.
Thursday, August 29, 13
Administriva, Part I
Ben runs the class via Piazza.
https://piazza.com/ to sign up.
Tools run on EECS instructional machines.
Get the account form from Ben and sign up soon!
Vote on discussion section time.
Discussion section vital for class. Ben will be in touch.
Laptop/tablet/smartphone in class.
Fine for note taking and class-related activities.
Please wait till the break to check emails, etc.
Thursday, August 29, 13
Administriva, Part II
Undergrad prereq: B+ or better in CS 150.
Grad students: we expect you know digital
logic design, contact us for advice if you dont.
See class website for schedule, deadlines.
Class URL on rst slide of this lecture.
Deadlines.
All project deadlines are hard deadlines. See us if
you have a serious conict. For the labs, there are
4 late days -- see website and Ben for details.
Collaboration policy
You must do the labs by yourself and write your own
lab reports. Discussions about labs with others is OK.
Grading: 70% project, 25% labs, 5% class participation.
Thursday, August 29, 13
On Tuesday ... Chisel, Part One
Thursday, August 29, 13

Das könnte Ihnen auch gefallen