Sie sind auf Seite 1von 27

1. FUNCIONES DE LA LOGICA COMBINACIONAL. 1.1 COMPARADORES. FUNCIONAMIENTO DE LOS COMPARADORES.

La funcin bsica de un comparador consiste en comparar las magnitudes de dos cantidades binarias para determinar su relacin. En su forma ms sencilla, un circuito comparador determina si dos nmeros son iguales. Si analizamos la lgica interna de un comparador de magnitud que posee tanto salida de igualdad como desigualdad, tenemos los siguientes. Sabemos que la puerta OR-exclusiva se puede emplear como un comparador bsico, ya que su salida es 1 si sus dos bits de entradas son diferentes y 0 si son iguales. En la figura #1, tenemos una compuerta OR-exclusiva utilizada como comparador de 2 bits.

FIG #1. FUNCIONAMIENTO BASICO DE UN COMPARADOR. Para comparar nmeros binarios de dos bits, se necesita una puerta OR-exclusiva adicional. Los dos bits menos significativos (LSB) de ambos nmeros se comparan mediante la puerta G1 y los dos ms significativos (MSB) son comparados mediante la puerta G2, Si los dos nmeros son iguales, sus correspondientes bits tambin lo son, y la salida de cada puerta OR-exclusiva ser 0. Si los correspondientes conjuntos de bits no son idnticos, la salida de la puerta ORexclusiva ser un 1.

FIG#2 DIAGRAMA LOGICO DE LA COMPARACION DE IGUALDAD DE DOS NUMEROS DE 2 BITS. Para obtener un nico resultado de salida que indique la igualdad o desigualdad entre los dos nmeros, se pueden usar dos inversores y una puerta AND, como muestra la Figura #2. La salida de cada puerta OR-exclusiva se invierte y se aplica a la entrada de la puerta AND. Cuando los bits de entrada de cada ORexclusiva son iguales, lo que quiere decir que los bits de ambos nmeros son iguales, las entradas de la puerta AND son (1), por lo que el resultado a su salida tambin ser (1). Cuando los dos nmeros no son iguales, al menos uno o ambos conjuntos de bits ser distinto, lo que da lugar a, al menos, un 0 en una de las entradas de la puerta AND, y el resultado a su salida ser 0. Por tanto, la salida de la puerta AND indica la igualdad (1) o desigualdad (0) entre dos nmeros. DISEO DE COMPARADORES.

El comparador es un ejemplo tpico de diseo extensible en su capacidad. As, el diseo de un comparador de cuatro bits se basara en el de dos bits, y este a su vez en el de un bit. A continuacin se redactara como se disea un comparador de 1 bit y de 2 bits. COMPARADOR DE 1 BIT. Un comparador de 1 bit atiende a la siguiente tabla de la verdad (tabla #1), donde las lneas M0, m0 y I0 significan: M0: Si se activa M0 significa que A es mayor que B.
( )

m0. Si se activa m0 significa que A es menor que B.

I0. Si se activa I0 significa que A es igual a B.

De la tabla de verdad (tabla #1) se obtienen las expresiones booleanas, y de estas el circuito lgico de la figura #3. ,

TABLA #1 COMPARADOR DE 1 BITS.

FIG#3 CIRCUITO DE UN COMPARADOR DE 1 BIT.

DISEO DE UN COMPARADOR DE 2 BITS. Este comparador puede plantearse y resolverse como un combi nacional de cuatro entradas y tres salidas: tabla de verdad, diagramas V-K , etc. sin embargo tambin podemos acercarnos a la resolucin recordando que comparar dos nmeros de n dgitos es lo mismo que comparar n veces un digito , segn el siguiente razonamiento. A es mayor que B, si el bit de ms peso de A lo es, o si siendo estos iguales, es mayor el de menor peso de A.

A es menor que B si el bit de menor peso de A lo es, o siendo estos iguales, es menor el de menor peso de A.

A es igual a B si son iguales los bits de ms peso y los de menos peso.

Reunidas las ecuaciones anteriores e implementadas en su circuito lgico resultan las siguientes ecuaciones siguientes y la figura respectivamente. ;

FIG#4. CIRCUITO DE UN COMPARADOR DE 2 BITS. El diseo de un comparador de cuatro bits sigue las pautas anteriores. Partiendo de comparadores de 2 bits. APLICACIONES DE LOS COMPARADORES.

Entre las mltiples aplicaciones de los comparadores se encuentran las medidas precisas del tiempo. Por ejemplo: supongamos que la tensin utilizada para la base de tiempos de un osciloscopio de rayos catdicos se lleve a un comparador, dando a la entrada una rampa Vs=at que empieza en el tiempo cero. Cuando at se ha igual a VR , la salida cambia bruscamente los estados. La diferenciacin de la salida produce un pulso agudo en el instante V R/a. este pulso puede hacer las veces de marcador de tiempos. Puede gobernar el intervalo de tiempo de barrido, el cual se ajustara cambiando la tensin continua VR . Tenemos as un convertidor de la tensin en tiempo. Los sistemas de radar utilizan comparadores para este fin

2. DECODIFICADORES. Un decodificador es un circuito integrado por el que se introduce un nmero y se activa una y slo una de las salidas, permaneciendo el resto desactivadas. La funcin bsica de un decodificador es detectar la presencia de una determinada combinacin de bits (cdigo) en sus entradas y sealar la presencia de este cdigo mediante un cierto nivel de salida. En su forma general, un decodificador posee n lneas de entrada para gestionar n bits y en una de las 2n lneas de salida indica la presencia de una o ms combinaciones de n bits. Adems realiza la operacin inversa al codificador, porque transforma una seal que expresa un contenido en cdigo binario a otra que lo hace en cdigo humano, del tipo 1 entre n. El funcionamiento de un decodificador binario bsico, Supongamos que necesitamos determinar cundo aparece el nmero binario 1001 en las entradas de un circuito digital. Se puede utilizar una puerta AND como elemento bsico de decodificacin, ya que produce una salida a nivel ALTO slo cuando todas sus entradas estn a nivel ALTO. Por tanto, debe asegurarse de que todas las entradas de la puerta AND estn a nivel ALTO cuando se introduce el nmero 1001, lo cual se puede conseguir invirtiendo los dos bits centrales (cuyos bits son 0). Esto se pude observar en la (figura #3).

FIG #5. LOGICA DE DECODIFICACION DE UN CODIGO BINARIO.

Se debe comprobar que la salida es siempre 0 excepto cuando se aplican las entradas A0 = 1, A1 = 0, A2 = 0 y A3 = 1. A0 es el bit menos significativo y A3 el ms significativo. En el caso de un DECODIFICADOR DE 4 BITS, Para poder decodificar todas las posibles combinaciones de cuatro bits, se necesitan diecisis puertas de decodificacin (24=16). Este tipo de decodificador se denomina comnmente decodificador de 4 lneas a 16 lneas, ya que existen cuatro entradas y diecisis salidas, o tambin se le llama decodificador 1 de 16, ya que para cualquier cdigo dado en las entradas, slo se activa una de las diecisis posibles salidas. Si se necesita una salida activa a nivel BAJO para cada nmero decodificado, el decodificador completo se puede implementar mediante puertas NAND e inversores. Para decodificar cada uno de los diecisis cdigos binarios se requieren diecisis puertas NAND (las puertas AND se pueden usar para producir salidas activas a nivel ALTO).

FIG#6 SIMBOLO LOGICO DE UN DECODIFICADOR DE 4 LINEAS A 16LINEAS.

DISEO DE LOS DECODIFICADORES.

En este caso analizaremos como se realiza el diseo de decodificadores 3:8, 4:16 o 4:10. Adems tambin pueden disearse decodificadores donde para cada combinacin de valores de la entrada se active ms de una salida, estos se denominan decodificadores-excitadores. La siguiente tabla y ecuaciones, y el esquema posterior describen un decodificador de 3:8 con lnea de ENABLE. En este caso no procede simplificar, y las ecuaciones que representan al decodificador son las propias formas normales. Gracias a esto podemos disear un decodificador 3:8. o o o o ( ) ) ( ) ( ) ( ; ; ; ; ( ) ( ) ( ) ( )

TABLA # 2 TABLA DE VERDAD Y FUNCIONAL DE UN DECODIFICADOR 3:8.

FIG#7 DECODIFICADOR 3.8.

APLICACIONES DE LOS DECODIFICADORES.

Los decodificadores se utilizan en muchos tipos de aplicaciones, un ejemplo sencillo es el siguiente: Imaginemos que queremos realizar un circuito de control para un semforo. El semforo puede estar verde, amarillo, rojo o averiado. En el caso de estar averiado, se activar una luz interna azul, para que el tcnico sepa que lo tiene que reparar. A cada una de estas luces les vamos a asociar un nmero. As el rojo ser el 0, el amarillo el 1, el verde el 2 y el azul (averiado) el 3. Para controlar este semforo podemos hacer un circuito que tenga 4 salidas, una para una de las luces. Cuando una de estas salidas est a 1, la luz correspondiente estar encendida. Sin embargo, ocurre que NO PUEDE HABER DOS O MAS LUCES ENCENDIDAS A LA VEZ. Por ejemplo, no puede estar la luz roja y la verde encendidas a la vez. Si utilizamos un decodificador de 2 a 4, conseguiremos controlar el semforo asegurndonos que slo estar activa una luz en cada momento Adems, el circuito de control que diseemos slo tienen que tener 2 salidas.
_

FIG#7. CIRCUITO DEL CONTROL DEL SEMAFORO USANDO UN DECODIFICADOR DE 2 A 4. Adems del uso normal de los decodificadores, como parte de nuestros diseos, existen otras aplicaciones que veremos a continuacin. DECODIFICADORES COMO DEMULTIPLEXOR.

Si examinamos las tablas de verdad, observamos que realmente un decodificador con una entrada de validacin se comporta como un de multiplexor. De hecho no existen circuitos integrados con demultiplexores, sino que se usan decodificadores. Realizacin de mapas de memoria y de entrada/salidas en un computador. Realizacin de funciones booleanas. Visualizacin de resultados. Direccionamiento en una memoria RAM o ROM.

3. CODIFICADORES.

Un codificador es un circuito lgico combi nacional que, esencialmente, realiza la funcin inversa del decodificador. Un codificador permite que se introduzca en una de sus entradas un nivel activo que representa un dgito, como puede ser un

dgito decimal u octal, y lo convierte en una salida codificada, como BCD o binario. Los codificadores se pueden disear tambin para codificar smbolos diversos y caracteres alfabticos. El proceso de conversin de smbolos comunes o nmeros a un formato codificado recibe el nombre de codificacin. Un codificador es un circuito combi nacional de m entradas y n salidas. Cada una de las variables de entrada tiene asignado un nmero de orden de 0 a m-1. Cuando una de las entradas se activa a nivel lgico 1 (o 0, dependiendo del caso), y el resto de entradas permanecen en el estado contrario, en las n lneas de salida aparece una composicin binaria que indica, en un determinado cdigo, en nmero de orden de la lnea de entrada activada. A esta combinacin se le suele llamar tambin direccin de lnea activada. Normalmente, los cdigos utilizados en las lneas de salida son el binario natural (con m=2n) y el BCD (con m=10 y n=4). FUNCIONAMIENTO DE LOS CODIFICADORES.

En este casa analizaremos el funcionamiento de un codificador decimal BCD. Este tipo de codificador tiene diez entradas, una para cada dgito decimal, y cuatro salidas que corresponden al cdigo BCD. Este es un codificador bsico de 10lneas a 4-lneas. A partir de una tabla podemos determinar la relacin entre cada bit BCD y los dgitos decimales, con el fin de analizar la lgica propuesta.

FIG #8 SIMBOLO LOGICO DE UN CODIFICADOR DECIMAL A BCD.

En la lgica propuesta en este caso podemos analizar, los siguientes ejemplos: El bit ms significativo del cdigo BCD, A3, es siempre un 1 para los dgitos decimales 8 o 9. La expresin OR para el bit A3 en funcin de los dgitos decimales puede por tanto escribirse como: A3 = 8 + 9. El bit A2 es siempre un 1 para los dgitos decimales 4, 5, 6 o 7 y puede expresarse como una funcin OR de la manera siguiente: A2 = 4 + 5 + 6 + 7 El bit A1 es siempre un 1 para los dgitos decimales 2, 3, 6 o 7 y puede expresarse como: A1 = 2 + 3 + 6 + 7 Finalmente, A0 es siempre un 1 para los dgitos 1, 3, 5, 7 o 9. La expresin para A0 es: A0 = 1 + 3 + 5 + 7 + 9 Ahora vamos a implementar el circuito lgico necesario para codificar en cdigo BCD cada dgito decimal, utilizando las expresiones lgicas que se acaban de desarrollar. Consiste simplemente en aplicar la operacin OR a los dgitos decimales de entrada apropiados, para as formar cada salida BCD.

FIG #9 DIAGRAMA LOGICO BASICO DE UN CODIFICADOR DECIMAL-BCD. El funcionamiento bsico del circuito anterior es el siguiente: cuando aparece un nivel ALTO en una de las lneas de entrada correspondientes a los dgitos decimales, se generan los niveles apropiados en las cuatro lneas BCD de salida.

Por ejemplo, si la lnea de entrada 9 est a nivel ALTO (suponiendo que todas las dems entradas estn a nivel BAJO), esta condicin producir un nivel ALTO en las salidas A0 y A3, y un nivel BAJO en A1 y A2, que es el cdigo BCD (1001) del nmero decimal 9. DISEO DE CODIFICADORES. En este caso analizaremos el diseo de los codificadores de acuerdo a lo siguiente: los codificadores se dividen en dos tipos segn atiendan a la simultaneidad en la activacin de las lneas de entrada, estos tipos son: Codificadores sin prioridad. Codificadores con prioridad. CODIFICADORES SIN PRIORIDAD. Este tipo de codificador est diseado para atender solo una activacin en las lneas de entrada simultneamente, es decir solo se debe activar una lnea de entrada en cada instante. O dicho de otra forma, si se activara ms de una lnea de entrada la salida obtenida no tendra por qu ser correcta, que no es lo mismo que ser errnea. En este caso se disea un codificador 4:2 en binario puro sin prioridad (mientras no se diga lo contrario el cdigo siempre ser el binario puro). En la tabla de verdad, la activacin simultnea de ms de una entrada no aparece en la tabla y es considerada imposible, y por tanto se le asocia una condicin libre.

TABLA #3 CODIFICADOR 4:2 SIN PRIORIDAD. Las ecuaciones booleanas simplificadas que describen el comportamiento de un codificador 4:2 sin prioridad son las siguientes. Su implementacin aparece en la figura del codificador construido.

o o

A la vista del diseo anterior podemos destacar que la lnea de entrada A0 no participa en el circuito, eso no quiere decir que sea despreciable, todo lo contrario: la salida asociada al codificador en reposo es la correspondiente a la entrada A0. Es decir, este circuito por defecto se comporta como si tuviera activada la entrada A0.

FIG#10. CODIFICADOR 4:2 SIN PRIORIDAD. CODIFICADORES CON PRIORIDAD. Este codificador contempla y da respuesta adecuada a la posible activacin de varias entradas simultneamente. En esta situacin es necesario determinar el criterio que determine qu entrada tiene prioridad. El criterio ms comn es: se dar prioridad a la lnea de ms peso, aunque bien pudiera ser cualquier otro criterio. En este caso se disea un codificador 4: 2 con prioridad. La tabla de verdad correspondiente es la siguiente, y en este caso se contemplan y resuelven las situaciones en las que se activa ms de una entrada.

TABLA # 4 CODIFICADOR 4:2 CON PRIORIDAD. Las siguientes ecuaciones han sido simplificadas en los diagramas de V-K. Al aadir la lnea EI, resultan las siguientes ecuaciones, y de ellas la figura del codificador 4.2 con prioridad. o o o ( ( ); ( ) )

En este caso si se activaran E1 y E2, solo el efecto de E2 pasara a la salida pues:

FIG#11. CODIFICADOR 4:2 CON PRIORIDAD. o o o ( ( ) ) ( ( ) )

Justo lo correspondiente a , En cuanto a las expresiones, estas son algo ms complicadas pero igualmente clara: para que E1 muestre su efecto en la salida es necesario que E3 y E2 estn inactivas, y , y as sucesivamente para todas las entradas. APLICACIONES DE LOS CODIFICADORES.

El tpico ejemplo de aplicacin de los codificadores son los siguientes. CODIFICADORES DE TECLADO: codifican el nmero decimal pulsado en el teclado a su correspondiente BCD. Por ejemplo: los diez dgitos decimales del teclado de una computadora tienen que codificarse para poder ser procesados por el circuito lgico. Cuando se pulsa una de las teclas, el dgito decimal se codifica a su correspondiente cdigo BCD. Las Teclas se representan mediante diez pulsadores, conectados cada uno de ellos a una resistencia de pull-up (resistencia de conexin a la alimentacin +V). Las resistencias de pull-up aseguran que la lnea est a nivel ALTO cuando no haya ninguna tecla pulsada. Cuando se pulsa una tecla, la lnea se conecta a tierra y se aplica un nivel BAJO a la correspondiente entrada del codificador. La tecla cero no est conectada, ya que la salida BCD es cero cuando ninguna de las otras teclas est pulsada. La salida complementada BCD del codificador se conecta a un dispositivo de almacenamiento, de forma que los sucesivos cdigos BCD se almacenan hasta que se haya introducido el nmero completo. Interrupciones de la CPU a los perifricos. 4. MULTIPLEXORES.

Un multiplexor tiene varias entradas disponibles, de todas ellas una y solo una pasa a la salida, es decir, el multiplexor ofrece en la salida el contenido sin modificacin de la entrada seleccionada. Podemos observar un multiplexor como un distribuidor de las entradas hacia la nica salida, o nombrando a algunas traducciones como un encaminado.

Un multiplexor 2n:1 es un dispositivo con 2n entradas y una salida. El contenido de una de las entradas pasa a la salida segn el valor de la n lneas de control. FUNCIONAMIENTO DE MULTIPLEXORES.

Sabemos que un multiplexor (MUX) es un dispositivo que permite dirigir la informacin digital procedente de diversas fuentes a una nica lnea para ser transmitida a travs de dicha lnea a un destino comn. El multiplexor bsico posee varias lneas de entrada de datos y una nica lnea de salida. Tambin posee entradas de seleccin de datos, que permite conmutar los datos digitales proveniente de cualquier entrada hacia la lnea de salidas. El smbolo lgico de un multiplexor (MUX) de cuatro entradas se muestra en la siguiente figura, (FIG#12). Observe que dispone de dos lneas de seleccin de datos, dado que con dos bits se puede seleccionar cualquiera de las cuatro lneas de entrada de datos.

FIG #12 SIMBOLO LOGICO DE UN SELECTOR /MULTIPLEXOR. En este multiplexor de la anterior figura, un cdigo binario de dos bits en las entradas de seleccin de datos (S) va a permitir que los datos de la entrada seleccionada pasen a la salida de datos. Si aplicamos un 0 binario (S1 = 0 y S0 = 0) a las lneas de seleccin de datos, los datos de la entrada D0 aparecern en la lnea de datos de salida. Si aplicamos un 1 binario (S1 = 0 y S0 = 1), los datos de la entrada D1 aparecern en la salida de datos. Si se aplica un 2 binario (S1 = 1 y S0 = 0), obtendremos en la salida los datos de D2. Si aplicamos un 3 binario (S1 = 1 y S0 = 1), los datos de D3 sern conmutados a la lnea de salida. Estas condiciones anteriormente planteadas, la podemos observar en la siguiente tabla.

TABLA #5 SELECCIN DE DATOS DE UN MULTIPLEXOR DE 1 SALIDA Y 4 ENTRADAS. DISEO DE MULTIPLEXORES.

En el metodice diseo de los multiplexores. Se debe tener en cuenta que el multiplexor cuando esta como un conmutador con varias posiciones posibles que conecta, encamina o distribuye en cada caso una de las entradas siempre una y solo una a la salida. De acuerdo a lo anterior y a la siguiente tabla de verdad (tabla#5) observamos que la entrada que se conecta a la salida es aquella cuyo valor decimal coincide con el valor binario de las lneas de control. Por ejemplo, para conectar la entrada E2 a la salida las lneas de control deben ser C1C0 =10.

TABLA #6, TABLA DE VERDAD Y TABLA FUNCIONAL DE UN MULTIPLEXOR 4:1.

La siguiente ecuacin booleana se obtiene directamente de la funcionalidad del multiplexor. Es muy importante tener en cuenta que esta ecuacin se debe tener en cuenta en la presencia e importancia de la decodificacin.

FIG#13 CIRCUITO Y BLOQUE DE UN MULTIPLEXOR 4:1. Al igual que en otros elementos los multiplexores poseen una lnea ENABLE generalmente activa por nivel bajo que si no se estuviera activa hara que la salida tomara el valor cero, independientemente de las lneas de entrada y control. APLICACIN DE LOS MULTIPLEXORES.

Las principales aplicaciones que hoy en da tienen los multiplexores son: Distribuidor de seales. En la implementacin de ALUs. Para el uso compartido de buses de datos. Implementacin de funciones booleanas. Generador de funciones lgicas.

5. DEMULTIPLEXORES. Un demultiplexor tiene una entrada y varias salidas, de todas ellas una y solo una se conecta a la salida, es decir, el demultiplexor ofrece en la salida seleccionada el contenido sin modificar de la entrada. Un demultiplexor es el dispositivo complementario del multiplexor.

Un demultiplexor 1:2n es un dispositivo con una entrada y 2n salidas. El contenido de la entrada pasa a la salida seleccionada segn el valor de la n lneas de control. En la siguiente figura (FIG #14, y FIG #15 ), nos muestran el demultiplexor como un conmutador, y el multiplexor conectado con un demultiplexor para obtener un sistema de comunicacin basado en una nica lnea de transmisin.

FIG #14 EL DEMULTIPLEXOR COMO UN INTERRUPTOR POSICIONABLE.

FIG #15 CANAL DE TRANSMISION MULTIPLEXOR /DEMULTIPLEXOR. FUNCIONAMIENTO DE DEMULTIPLEXORES.

Un demultiplexor (DEMUX) bsicamente realiza la funcin contraria a la del multiplexor. Toma datos de una lnea y los distribuye a un determinado nmero de lneas de salida. Por este motivo, el demultiplexor se conoce tambin como distribuidor de datos. La siguiente figura (FIG #16), muestra un circuito demultiplexor (DEMUX) de 1lnea a 4-lneas. La lnea de entrada de datos est conectada a todas las puertas AND. Las dos lneas de seleccin de datos activan nicamente una puerta cada vez y los datos que aparecen en la lnea de entrada de datos pasarn a travs de la puerta seleccionada hasta la lnea de salida de datos asociada.

FIG#16 DEMULTIPLEXOR DE 1-LINEA A 4-LINEAS. DISEO DE DEMULTIPLEXORES.

En este caso examinaremos el demultiplexore 1:4. De acuerdo al circuito lgico de la siguiente figura (FIG #17), la ecuacin booleana, y la tabla de verdad observamos que la salida que se conecta a la entrada es aquella cuyo valor decimal coincide con el valor binario de las lneas de control. Por ejemplo, para conectar la salida 2 a la entrada, las lneas de control deben ser C1CO=10.

FIG #17 CIRCUITO Y BLOQUE DE UN DEMMULTIPLEXOR 1:4.

TABLA # 7 ; TABLA DE VERDAD Y TABLA FUNCIONAL DE UN DEMULTIPLEXOR 1:4. De acuerdo a lo anterior obtenemos las siguientes ecuaciones booleanas. ( ) ( ) ( ( ) )

En realidad los demultiplexores no son fabricados y vendidos como tales, sino que su funcionalidad se identifica con la de un decodificador cuyas lneas de entrada son las de control, y en el que la lnea de entrada de datos del demultiplexor es conectada al ENABLE del decodificador. As, los circuitos 74154 y 74138 son vendidos como decodificadores /demultiplexores. APLICACIN DE LOS DEMULTIPLEXORES.

Las aplicaciones principales que tienen los demultiplexores son: o Una de las aplicaciones ms caractersticas de los decodificadores era su transformacin en los circuitos digitales denominados demultiplexores.
o

Otra de las aplicaciones es la transferencia de datos desde un registro . Segn el valor de la seal de control, se selecciona qu entrada pasa a la

salida del multiplexor. Cuando se aplique el pulso de transferencia al registro, dicha seal de salida pasa al registro. LOCALIZACION DE AVERIEAS EN FUNCIONES DE LA LOGICA COMBINACIONAL.

En esta primera parte nos enfocamos en un problema de impulsos de muy corta duracin llamados (glitches) que se presentan generalmente en los decodificadores . sabemos que Un glitch es un pico de tensin o de corriente (impulso) no deseado de muy corta duracin. Los circuitos lgicos pueden interpretar estos impulsos como una seal valida, originando fallos en el funcionamiento del circuito. Una manera de eliminar este problema es aplicar impulsos de validacin (strobing), lo que consiste en activar el decodificador mediante un impulso de validacin (strobe) nicamente durante los intervalos de tiempo en que las seales no se encuentran en un estado de transicin. Adems de los glitches que son el resultado de los retardos de propagacin, como hemos visto en el caso de un decodificador, existen otros tipos de impulsos de ruido no deseados que pueden constituir tambin un problema. Los impulsos de corriente y tensin en las lneas de masa y alimentacin (VCC) son debidos a las seales de conmutacin rpida en los circuitos digitales. Este problema se puede minimizar realizando un apropiado diseo de la placa de circuito impreso. Los impulsos de conmutacin pueden ser absorbidos mediante el desacoplo de la tarjeta de circuito impreso con condensadores de 1 F entre V CC y masa. Tambin deberan distribuirse condensadores ms pequeos de desacoplo (0,022 F a 0,1 F) en distintos puntos de la placa de circuito impreso entre VCC y masa. El desacoplo debera realizarse muy prximo a los dispositivos que conmutan a altas velocidades o que excitan ms cargas, como por ejemplo, osciladores, contadores, buffers y controladores de bus. Adems es importante saber , que un porcentaje significativo del costo total de fabricacin de circuitos digitales los constituyen el tiempo empleado para la verificacin de la operacin de los mismos. En la siguiente figura , se representa un mtodo de verificacin automatico que se puede emplear para la deteccin de fallas en un circuito bajo prueba. El mtodo consiste en generar una secuencia de 1s y 0s como estmulo de entrada al circuito bajo prueba para comparar su respuesta con la respuesta correcta y producir una seal de aprobacin o rechazo

dependiendo de si el circuito esta bueno o defectuoso . a cada combinacin de 1s y 0s de la secuencia se le llama vector de prueba.

FIG #18. SISTEMAS DE DETENCION DE FALLAS. En la mayor parte de los sistemas automticos de deteccin de fallas las respuestas correctas a cada uno de los vectores aplicados al circuito bajo prueba estn almacenadas en una memoria. La comparacin se efecta recuperando de la memoria la respuesta correcta a cada vector de prueba aplicado y comparando la misma con la respuesta que da el circuito bajo verificacin. Los tipos de fallas que pueden encontrarse en un circuito digital son muy variados. Los niveles de tensin del circuito pueden ser inadecuados, interconexiones abiertas o en cortocircuito, etc. En este sentido las fallas lgicas hacen que el circuito funcione como uno completamente diferente, produciendo en su salida una respuesta lgica incorrecta o no deseada. Por el contrario las fallas no lgicas incluyen todas aquellas fallas que provocan niveles en los parmetros del circuito diferentes a los especificados. As por ejemplo el nivel de tensin que representa al 1 lgico puede ser inferior a lo especificado, la demora de propagacin del circuito puede estar fuera de los lmites establecidos, etc.

BIBLIOGRAFIA. 1. SISTEMAS DIGITALES PRINCIPIO Y APLICACIONES; AUTOR: Thomas L Floyd. octava edicin. CAPITULO 6 FUNCIONES DE LA LOGICA COMBINACIONAL; SECCIONES 6.4 A LA 6.10; PAG 344-379 (COMPARADORES, DECODIFICADORES, CODIFICADORES, MULTIPLEXORES, DEMULTIPLEXORES). 2. SISTEMAS DIGITALES Y TECNOLOGIAS DE COMPUTADORES: AUTOR: Jos mara Angulo usategui, Javier Garca zubia. 2 a edicin CAPITULO 4: ANALISIS Y DISEOS DE SISTEMAS; PAG 106. (COMPARADORES, DECODIFICADORES, CODIFICADORES, MULTIPLEXORES, DEMULTIPLEXORES). LINKS DE LAS FIGURAS Y TABLAS UTILIZADAS.

FIG #1. Y FIG #2< SISTEMAS DIGITALES PRINCIPIO Y APLICACIONES; AUTOR: Thomas L Floyd. octava edicin; CAPITULO 6; PAG: 344>. TABLA #1 , FIG #3 , Y FIG#4. < SISTEMAS DIGITALES Y TECNOLOGIAS DE COMPUTADORES: AUTOR: Jos mara Angulo usategui, Javier Garca zubia. 2a edicin CAPITULO 4: PAG 130, 131 >. FIG#5 Y FIG#6. < SISTEMAS DIGITALES PRINCIPIO Y APLICACIONES; AUTOR: Thomas L Floyd. octava edicin; CAPITULO 6; PAG: 349-350>.

TABLA

# 2 FIG #7. <http://www.iearobotics.com/personal/juan/docencia/apuntes-ssdd-0.3.7.pdf >

FIG #8 Y FIG #9. < SISTEMAS DIGITALES PRINCIPIO Y APLICACIONES; AUTOR: Thomas L Floyd. octava edicin; CAPITULO 6; PAG: 359-360>. TABLA #3, FIG #10 Y TABLA #4, FIG #11. < SISTEMAS DIGITALES Y TECNOLOGIAS DE COMPUTADORES: AUTOR: Jos mara Angulo usategui, Javier Garca zubia. 2a edicin CAPITULO 4: PAG 97, 101 >.

FIG #12. < SISTEMAS DIGITALES PRINCIPIO Y APLICACIONES; AUTOR: Thomas L Floyd. octava edicin; CAPITULO 6 ; PAG: 368 > TABLA #4, TABLA # 5, TABLA #6 , FIG #13, FIG#14 Y FIG #15. < SISTEMAS DIGITALES Y TECNOLOGIAS DE COMPUTADORES: AUTOR: Jos mara Angulo usategui, Javier Garca zubia. 2a edicin CAPITULO 4: PAG 116, 220>. FIG #16 < SISTEMAS DIGITALES PRINCIPIO Y APLICACIONES; AUTOR: Thomas L Floyd. octava edicin; CAPITULO 6 ; PAG: 378 > FIG #17 Y TABLA #7. < SISTEMAS DIGITALES Y TECNOLOGIAS DE COMPUTADORES: AUTOR: Jos mara Angulo usategui, Javier Garca zubia. 2a edicin CAPITULO 4: PAG 125, 126> . FIG #18. < http://www.usc.edu.co/laboratorios/files/DETECCION%20DE%20FALLAS.p df >.

Das könnte Ihnen auch gefallen