Sie sind auf Seite 1von 20

TRABAJO COLABORATIVO 1 Grupo 90178 - 23

PRESENTADO POR: WILSON TELLEZ FIGUEROA 80.426.892 ORLANDO DE JESUS POSADA 80364369 EDWIN IVAN MONTOYA

PEDRO ENRIQUE QUINTERO

JOS BERNARDO GARNICA M. 80431622

PRESENTADO A:

Ing. Carlos Emel Ruiz


Tutor

UNIVERSIDAD NACIONAL ABIERTA Y A DISTANCIA ESCUELA DE CIENCIAS BASICAS TECNOLOGIA E INGENIERIA PROGRAMA INGENIERIA ELECTRONICA SISTEMAS DIGITALES SECUENCIALES 21 OCTUBRE 2013

INTRODUCCION

Los ltimos aos se han visto marcados por el diseo y construccin de sistemas autnomos para la optimizacin de los procesos. Los robots son esencialmente sistemas tienen un gran nmero de implementaciones en la industria. El presente trabajo muestra el diseo de un pequeo robot, utilizando dispositivos electrnicos tales como flip-flop, temporizadores, etc. En el desarrollo del trabajo se mostrarn algunos aspectos importantes como el diagrama de bloques, descripcin de la forma en que los flip-flop pueden resolver el problema planteado. La implementacin del diseo ser ilustrada mediante simulacin en el software Proteus. Con el presente informe se evidencia la asimilacin de las temticas vistas en Sistemas Digitales Secuenciales, se logro disear y poner en funcionamiento un carro robot; utilizando como estrategia pedaggica el trabajo en equipo.

1. El estudiante debe describir la necesidad o problema muy bien Usando circuitera combi nacional y los circuitos secuenciales estudiados en la primera unidad, disear un pequeo robot, impulsado por dos motores DC, uno en cada rueda trasera del vehculo. El carro contar con dos micro-swiches en su parte frontal que le servirn para detectar el impacto con un obstculo. El diseo debe ser tal, que el vehculo inicie su marcha hacia adelante. Una vez el vehculo impacte, debe recordar este choque con el fin de que pueda retroceder girando en un sentido diferente luego de cada impacto. Una vez que uno de los sensores (micro-swiches) hayan detectado el impacto del vehculo, ste deber retroceder con un tiempo de reversa de 2 segundos, este tiempo debe ser controlado usando un temporizador 555 en modo monoestable, una vez transcurrido este perodo de tiempo, el vehculo deber iniciar nuevamente su marcha hacia adelante. SOLUCION DEL PROBLEMA: Para la solucin del problema debemos de llevar todos nuestros conocimientos adquiridos en lgica matemtica con los nmeros binarios y las operaciones con los mismos, la implementacin de compuertas, controlar el tiempo el cual el robot va a parar o va estar en marcha con un temporizador (555) impulsado por pulsos de reloj y algo de potencia con los motores los cuales son los del trabajo. DIAGRAMA DE BLOQUES. 555 Monoestable (T = 2 s) 555 Monoestable (T = 4 s) Nuestro dispositivo debe de funcionar siempre y cuando nuestras entradas sean cero (0) cuando all un (1) el dispositivo debe de parar por un periodo de (2) segundos y despus seguir su marcha para ellos implementaremos los flip flops ya que estos no son mas que latch con seal de control. A diferencia de los Latch sin seal de control, stos se pueden habilitar o deshabilitar a discrecin. Esta lnea de control, recibir ahora el nombre de seal de Reloj o CLK (Clock). Cuando la seal de reloj tiene una lnea superior, sta hace referencia al complemento que se estudi en Lgica Matemtica. Es decir, la lnea es habilitada en bajo o cero (0). En este caso, si se desea mantener deshabilitado el Flip-Flop, debes mantener en 1 la seal de Reloj. Ya que el (1) significa que alguno de nuestros switches esta oprimido y que el carro se debe de detener durante 2 segundos.

Los Flip-Flop se activan en un flanco determinado de la seal de reloj, ya sea el flanco de subida o el flanco de bajada. Para representar el tipo de flanco en que es activado el Flip-Flop, para este caso el flip-flop que vamos a implementar es el de flanco de bajada ya que es el cual funciona con ceros en la entrada y si se encuentra un 1 estara parado. La seal introducida por el pin de flanco, tambin se denomina seal de control o seal de reloj. Para indicar esto, usamos la palabra CLK (por el nombre en ingls para reloj CLOCK). Para saber cual es nuestro flanco es necesario saber cuales son las entradas en este caso si la entrada fuera un (1) tendramos que colocar una compuerta inversora para obtener el cero que necesitamos a la entrada. CALCULO DE TEMPORIZADOR 555 Para el clculo del tiempo empleamos la siguiente frmula: T = 1.1 * R1 * C1 Se deja el capacitor como constante por los valores que existen. R = T / (1.1 * 100uf) R = 2s / (1.1 * 100uf), R = 18.1 kilohmios

CIRCUITO TEMPORIZADOR

2. LISTA DE MATERIALES A USAR REFERENCIA 74L04 7408 L293D 74LS76 KIA7805AP (2) (2) 100F,150 F, 10 F 45.4K 10K 74LS32 DESCRIPCION COMPUERTA NOT COMPUERTAS AND PUENTE H FLIP-FLOP TIPO JK REGULADOR MOTORES 12 Vdc SWITCH CONDENSADORES POTENCIOMETRO RESISTENCIAS COMPUERTAS OR

DIAGRAMA DE BLOQUES

SOPORTE TEORICO

APLICACIN DE LOS FLIP-FLOP PARA RESOLVER EL PROBLEMA PLANTEADO

El flip-flop es multivibrador capaz de permanecer en un estado determinado o en el contrario durante un tiempo indefinido. Esta caracterstica es ampliamente utilizada en electrnica digital para memorizar informacin. El paso de un estado a otro se realiza variando sus entradas. Para nuestro caso utilizaremos un flip-flop tipo data el cual posee las siguientes caractersticas y nos ayudara a almacenar el ltimo estado para los giros de los motores. Flip Flop tipo D La operacin de un FF tipo D es mucho mas simple. Solo posee una entrada

adems de la del reloj. Se le denomina "data" y es muy til cuando queremos almacenar un dato de un bit (0 o 1). Si hay un 1 en la entrada D cuando se aplica el pulso de reloj la salida Q toma el valor de 1 (SET) y lo almacena. Si hay un 0 en la entrada D, cuando se aplica el pulso de reloj la salida toma el valor de 0 (RESET) y lo almacena. El cambio en la salida del FF se efecta en el flanco de bajada del reloj.

DESARROLLO DE CIRCUITO Diseamos y simulamos un carro que al detectar obstculos en su dependiendo la activacin de los respectivos

camino debe cambiar su rumbo

switchs; el vehculo consta de dos motores DC que pueden funcionar entre 5 y 12 vdc. Para cambiar el sentido de giro de un motor DC lo que se debe hacer es invertir su polaridad de alimentacin y esto es posible con el puente H en nuestro montaje empleamos el L293D.

El integrado L293D incluye cuatro circuitos para manejar cargas de potencia media, en especial pequeos motores y cargas inductivas, con la capacidad de

Controlar corriente hasta 600 mA en cada circuito y una tensin entre 4,5V a 36V. Los circuitos individuales se pueden usar de manera independiente para controlar
cargas de todo tipo y, en el caso de ser motores, manejar un nico sentido de giro. Pero adems, Cualquiera de estos cuatro circuitos sirve para configurar la mitad de un puente H.

Puente H

Integrado permite formar, entonces, dos puentes H completos, con el cual se puede gobernar los dos motores. En este caso el manejo ser bidireccional, con frenado rpido y con posibilidad de implementar fcilmente el control de velocidad.

Las salidas tienen un diseo que permite el manejo directo de cargas inductivas tales como rels, solenoides, motores de corriente continua y motores por pasos, ya que incorpora internamente los diodos de proteccin de contracorriente para cargas inductivas.

3.

DIAGRAMA DE FLUJO FUNCIONAMIENTO CARRO


INICIO 1 LEER SWITCH S1,S2

S1 =S2 0=0

Si

Motores Avanzan Hacia Ade ante

NO 1 Motor Retrocede !ira a a "erec#a NO S1=1 S2=0

NO

NO Motor Retrocede !ira a a "erec#a

Te$%oriza 2 Se&

S1=0 S2=1

SI

NO Te$%oriza 2 se& SI Reinicia Contador

4. Definir las variables lgicas de entrada y salida del sistema. De acuerdo a las seales capturadas por los sensores (micro switches), el robot tendr la capacidad de moverse libremente hacia adelante o cambiar sus movimientos para evadir el obstculo. Los sensores son los encargados de detectar los obstculos. Las seales de entrada a cargo de los sensores son las variables lgicas de entrada y la seal de salida se ver reflejada en el movimiento de los motores que a su vez generan el movimiento general del robot. Las seales de entrada y de salida son continuas y tienen un carcter binario, es decir que el 1 lgico indica cinco voltios DC y 0 lgico indican cero voltios DC Los sensores o micro switches estn conectados a 0 lgico en su estado normal, es decir cuando no hay obstculos y se mueve hacia adelante. Cuando alguno o los dos sensores detectan la presencia de un obstculo, el sensor se conecta a 1 lgico La seal de salida se ver reflejada en el movimiento de los motores que a su vez generan el movimiento general del robot, de la siguiente manera: Si hay deteccin del obstculo: 1. El robot para. 2. Encamina su movimiento hacia atrs por un tiempo de 2 segundos 3. Cambia su direccin hacia la derecha o hacia la izquierda. 4. Va hacia adelante nuevamente. Si no hay deteccin del obstculo, el robot continua su movimiento hacia adelante

5. Realizar el programa en la herramienta de VHDL.

6. Realizar una tabla de verdad que muestre la relacin entre las variables de entrada y salida del sistema, si es posible simplificar la funcin usando mapas de Karnaugh.

La relacin de entrada y salida se muestra en la siguiente tabla, en donde el 1 representa el interruptor conectado a 5 voltios. ENTRADAS MOVIMIENTO

SENSOR 1 0 0 1 1

SENSOR 2 0 1 0 1

ADELANTE PARA, ATRS, DERECHA, ADELANTE. PARA, ATRS, IZQUIERDA, ADELANTE. PARA, ATRS, DERECHA O IZQUIERDA, ADELANTE.

A la salida podremos mirar que el robot realiza los movimientos que se ven en la siguiente figura y tabla:

MOTOR 1 MOTOR 2 RESPUESTA


1 1 0 0 1 0 1 0

ADELANTE IZQUIERDA DERECHA ATRAS

7. Realizar la respectiva simulacin del sistema utilizando Proteus, Multisim, etc.

8. Realizar el montaje del circuito en un protoboard o en baquela para verificar su funcionamiento.

9. Describir el funcionamiento del sistema por sus propias palabras. Los sensores tienen la funcin de detectar los obstculos, luego, la seal de los sensores o microswitches pasa a ser controlada por los ff por medio de una o varias compuertas. La seal de salida del Flip Flop va al micro controlador 555 que es el encargado de hacer retroceder el robot por un tiempo de 2 segundos. La seal de salida del temporizador 555 va al puente H L293D que se encarga de controlar directamente el movimiento de los dos motores. La comunicacin de los motores con la salida del 555 se hace por medio del puente H. El puente H puede usarse para invertir el giro de un motor o para frenarlo para que el robot avance, retroceda, gire a la derecha o a la izquierda.

La comunicacin entre cada una de las partes del robot debe estar sincronizada, es decir que en el momento de capturar las seales provenientes de los sensores, el micro controlador 555 debe responde lo ms rpido posible a estos estmulos haciendo mover de manera adecuada los motores. 10. Realizar el video de la simulacin y del circuito fsico para enviar al tutor como evidencias.

CONCLUSIONES El diseo del Robot nos permiti afianzar nuestros conocimientos en el campo de los circuitos secuenciales, entrando en el anlisis de cada uno de los componentes empleados en su elaboracin. Los flip-flop permiten el almacenamiento de un solo bit, y de esta manera no se pierde la informacin. Cabe anotar que los flip-flop son voltiles, es decir, que una vez se ha retirado la alimentacin se pierde el dato almacenado.

La utilizacin de los flip-flop facilita el proceso de control, ya que al retener la informacin de los detectores, permite que se evada al obstculo en el momento apropiado.

La utilizacin del puente H permiti cambiar la polaridad de los motores a partir de una fuente sencilla, y de esta manera obtener el movimiento hacia adelanta y hacia atrs del robot.

REFERENCIAS

Georffrey Acevedo Gonzlez. (2008). Mdulo de Sistemas Digitales Secuenciales. Medelln, Colombia: UNAD Sede Web del Campus Virtual de la UNAD. Recuperado el 20 de Marzo de 2011, de http://campus07.unadvirtual.org/moodle/course/view.php?id=14 Foro MundoDivX &H264.Manual CamStudio. Actualizado 02 de Marzo de 2009, Recuperado 12 marzo de 2011 en la direccin electrnica http://www.mundodivx.org/foro/index.php?topic=34625.0 Sede Web UNICROM. Recuperado el 21 de Marzo de 2011, de http://www.unicrom.com/tut_multivibrador_monostable_555.asp

Sede Web ROBOTS ARGENTINA. Recuperado el 24 de Marzo de 2011, de http://robots-argentina.com.ar/MotorCC_L293D.htm

Sede Web MIS ALGORITMOS. Recuperado el 28 de Marzo de 2011, de http://mis-algoritmos.com/aprenda-a-crear-diagramas-de-flujo

Sede Web de La Enciclopedia Virtual WIKIPEDIA. Recuperado el 01 de Abril de 2011, de http://es.wikipedia.org/wiki/Regulador_de_tensi%C3%B3n

Das könnte Ihnen auch gefallen