Sie sind auf Seite 1von 11

Journal of Loss Prevention in the Process Industries 15 (2002) 6575 www.elsevier.

com/locate/jlp

A review of uses, environmental hazards and recovery/recycle technologies of peruorocarbons (PFCs) emissions from the semiconductor manufacturing processes
Wen-Tien Tsai *, Horng-Ping Chen, Wu-Yuan Hsien
Department of Environmental Engineering and Health, Chia Nan University of Pharmacy and Science, Tainan 717, Taiwan, ROC

Abstract Peruorocarbons (PFCs) are being used as etching/cleaning gases in microelectronic or semiconductor manufacturing processes. These compounds under industrial uses mainly include CF4 (tetrauorocarbon), C2F6 (hexauoroethane), C3F8 (octauoropropane), and cyclo-C4F8 or c-C4F8 (octauorocyclobutane). From the globally environmental issues and regulatory points of view, it is urgent to control the emissions of these signicant greenhouse gases from the industrial processes. This article reviews these PFCs in terms of physiochemical properties, industrial uses, and environmental hazards (e.g. global warming, and toxicity and exposure hazards). Further, it addresses some available recovery/recycle technologies (i.e. cryogenic condensation/distillation, pressure swing adsorption, and membrane separation) of process exhaust gases containing PFCs from semiconductor manufacturing processes in this review paper. 2002 Elsevier Science Ltd. All rights reserved.
Keywords: Peruorocarbons (PFCs); Property; Environmental hazard; Semiconductor manufacturing; Recovery/recycle technology

1. Introduction Certain gases in the tropospheric atmosphere that have the ability to absorb infrared (IR) radiation and bring out temperature enhancement are called greenhouse gases. These gases include carbon dioxide, methane, nitrous oxide and a variety of volatile organic compounds (VOCs). In the 1980s and 1990s, the emissions of highly radioactively active gases including chlorouorocarbons (CFCs), hydrochlorouorocarbons (HCFCs), hydrouorocarbons (HFCs), and peruorocarbons (PFCs) were discovered to be fast increasing like carbon dioxide. These anthropogenic compounds are emitted into the atmosphere that may increase the absorption of the outgoing IR radiation from the earths surface and translate it to heat. If the heat loss from the earths surface is retarded, the phenomenon is called the greenhouse effect or global warming. Therefore, the earths temperature, climate and ecosystem may be negatively affected (Wallington et al., 1994).

* Corresponding author. Tel.: +886-6-266-4911; fax: +886-6-2667323. E-mail address: wwtsai@mail.chna.edu.tw (W.-T. Tsai).

PFCs are extremely stable compounds with unique physical and chemical properties that make them usefully suited for some specialized applications. They are heavy, inert, non-toxic, and non-ammable materials. The major applications of PFCs are: (1) semiconductor manufacturing processes (e.g. CF4, C2F6, C3F8, and cC4F10) (Hawkinson & Korpela, 1998); (2) re suppression agents (e.g. n-C4F10, n-C5F12, and n-C6F14) (Robin, 1995); (3) precision cleaning solvents (e.g. nC6F14) (Owens, 1999; Stone & Springer, 1995); (4) heat transfer uids or coolant (e.g. peruoro-1,3dimethylcycloexane) (Smart & Fernandez, 1994); (5) atmospheric tracers (e.g. peruoromethylcyclopentane, and peruoromethylcyclohexane) (Straume, Dietz, Kof, & Nodop, 1998). It is noted that aluminum-smelting process is also the major generation source of CF4 and C2F6 in industrial applications (Weston, 1996). Due to their chemical stability and strong absorption in the radioactively important window of the IR region, PFCs are thus considered as efcient greenhouse gases. Among the PFCs, the light PFCs (i.e. CF4 and C2F6) are the most potentially damaging due to their long atmospheric lifetimes; e.g. CF4 and C2F6 have been estimated to have an atmospheric lifetimes of 50,000 and 10,000 years, respectively (Johnson, Entley, & Maroulis, 2000).

0950-4230/02/$ - see front matter 2002 Elsevier Science Ltd. All rights reserved. PII: S 0 9 5 0 - 4 2 3 0 ( 0 1 ) 0 0 0 6 7 - 5

66

W.-T. Tsai et al. / Journal of Loss Prevention in the Process Industries 15 (2002) 6575

Although the net contribution of PFCs to anthropogenic sources of global warming may be small, reduction strategies for their emissions should be developed. The third session of the United Nations Framework Convention on Climate Change (UNFCCC) was held in Kyoto in December 1997 (Sekiya & Misaki, 2000), where PFCs were included into the basket of the six major greenhouse gases for negotiation. According to the Kyoto Summit, a voluntary reduction goal of PFCs is scheduled in the 20082012 timeframe (Beu & Brown, 1999; Ohgita, 2000). Obviously, the capture (recovery/recycle) and destruction technologies of PFCs emissions, especially used for plasma chamber cleaning and plasma etching in the semiconductor manufacturing processes, will become more important. There are many approaches to reduce and eliminate PFCs emissions from industrial processes. Four potential methods are as follows (Hoover, 1999; Mocella, 1997; Streif, DePinto, Dunnigan, & Atherton, 1997; Williams, 1997): (1) recovery/recycle (capture/recycle) of PFCs; (2) conversion of PFCs to non-PFCs (abatement/destruction); (3) process optimization using reconguration and endpoint detection; (4) replacement of PFCs with non-PFCs (alternative chemistries). Reduction method based on replacements for PFCs has been developed. Currently, there has been some development work with nitrogen triuoride (NF3), chlorine triuoride (ClF3), carbonyluoride (COF2), cyclic peruorinated ether (i.e. C4F8O), triuoroacetic anhydride (TFAA), iodouorocarbons (IFCs), peruoro-2-butene (i.e. 1-C4F8) to replace PFCs (i.e. CF4 and C2F6) from etching processes and/or plasma enhanced chemical vapor deposition (PECVD) chamber cleaning processes (Chiarello, 2001; Hoover, 1999; Kang, Miura, Kitamura, Nakata, & Sekine, 2001; Karecki, Pruette, & Reif, 1997; Mitsui, Kosano, Takaichi, Beppu, & Sekiya, 2001; Pauly-Hyslop, 1999; Pruette et al., 1999). However, these alternative chemicals are more expensive than CF4/C2F6, and have potential hazards (e.g. highly toxic and reactive) in use. Notably, the replacement of other PFCs (i.e. C3F8 and c-C4F8) for CF4/C2F6 in cleaning of chemical vapor deposition (CVD) chambers has led to signicant emission reduction (Mocella, 2001; Sun, Bennett, Zazzera, & Reagen, 1998; Wang et al., 2001; Williams, 1997; Zazzera et al., 1999). The process optimization is perhaps the most immediate method for the reduction of PFCs emissions. The principle of this approach is based on the reduced usage of affected gases, which can be accomplished by efcient chamber clean process and improved endpoint detection in CVD clean operations (Johnson et al., 2001; Kesari, Zazzera, Tousignant, Reagen, & Bach, 1999; Maroulis, Langan, Johnson, Ridgeway, & Withers, 1994; Namose, 2001; Streif et al., 1997; Williams, 1997). This way of preventing overetch uses the etching gases more efciently, resulting in lower PFCs gases usage. However, overetch

may be necessary in some application. In addition, PFCs reduction through process and hardware optimization is not widely practiced due to its high capital costs (Hoover, 1999). PFCs can also be destroyed by different types of destruction or abatement such as thermal destruction (Lin, Shu, Shih, Tang, & Chiu, 2001; McNabb & Bischke, 1998; Pierce & Van Gompel, 1997; Van Gompel & Walling, 1997; Takase, 2001), catalytic decomposition (Bhatnagar et al., 1999; Takita et al., 1999), plasma abatement (Chang & Yu, 2001; Chen, David, Tiers, & Schroepfer, 1998; Hartz, Bevan, Jackson, & Wofford, 1998; Vartanian et al., 2000; Wofford, Jackson, Hartz, & Bevan, 1999; Worth, 2000), electrochemical reduction (Taylor-Smith, 1999) and other advanced or combined abatement processes (Cheng, Holber, Basnett, & Smith, 1999; Hayashi et al., 2001; Lee, Chang, Yu, & Wei, 2001). However, all the available destruction/abatement methods are quite costly and are the least desirable for the environment (e.g. toxic uoride gases and NOx formed) (Hoover, 1999). Fig. 1 shows various PFCs add-on-control technologies. Although the recovery/recycle and abatement/destruction methods are not the best approaches for PFCs emissions control, these control technologies are currently the most available and costefcient ways for treating PFCs gases from emissions of industrial processes (Hoover, 1999; Tom, McManus, Knolle, & Stoll, 1994). This paper aims to present the common physicochemical properties, uses, and environmental hazards (e.g. global warming, and toxicity and exposure hazards) of PFCs used in two important semiconductor manufacturing steps: plasma etching thin-lm (dry etching) and cleaning CVD chambers. The PFCs gases thus discussed in this article are CF4 (tetrauoromethane), C2F6 (hexauoroethane), C3F8 (octauoropropane), and octauorocyclobutane (c-C4F8). The article further reviews the recovery/recycle technologies (non-destructive) for PFCs emissions including cryogenic condensation/distillation, pressure swing (activated carbon) adsorption, and membrane separation.

2. Properties and industrial uses of PFCs Prior to mid-1990s, PFCs such as CF4 and C2F are commonly used during integrated circuit (IC) manufacturing processes, which include plasma etching (dry etching) and CVD chamber cleaning (Flamm, 1993; Hawkinson & Korpela, 1998; Sawchyn, 1995). Due to the effect of these compounds on global warming, on April 23, 1999, the World Semiconductor Council agreed to reduce the PFCs emissions from semiconductor fabrication facilities by more than 10% from the baseline year by the year 2010. One of the alternatives for reducing these PFCs gases is the use of alternative PFCs. It has been recognized that some PFCs have been

W.-T. Tsai et al. / Journal of Loss Prevention in the Process Industries 15 (2002) 6575

67

Fig. 1.

Control technologies for PFCs emissions.

used as replacements for CF4 and C2F6, because they pose many similar physiochemical properties but with lower atmospheric lifetimes and more efcient etching/cleaning. These replacements for CF4/C2F6 mainly include C3F8 and c-C4F8. 2.1. Properties The physical properties of PFCs are similar to those of HFCs (Schwertfeger, 1988; Smart & Fernandez, 1994). Their physical properties differ from those of the corresponding hydrocarbons; i.e. densities, compressibilities and viscosities are higher, whereas dielectric constants, refractive indices and surface tensions are lower. The main physical properties of these PFCs listed in Table 1 are mainly compiled from many available books and journals (Brookman & Tibbott, 1998; Daubert & Danner, 1989; Downing, Mader, & Tomkowit, 1985; Kao & Miller, 2000; LAIR LIQUIDE, 1976; Lewis, 2000; Lide, 2000; Poling et al., 2000; Smart & Fernandez, 1994). In contrast, the chemical properties tend to have chemical stability due to the presence of uorine atoms in the molecules. For example, PFCs are not affected by acids or oxidizing agents and are not hydrolyzed below 500C (Smart & Fernandez, 1994). In addition to their chemical inertness, PFCs are also characterized by high thermal stability. CF4 decomposes slowly at carbon arc temperatures, and it does not react with some transition metals at 900C. Although PFCs with more carbon atoms (i.e. C2F6 or C3F8) are less thermally stable, temperatures above 1000C are still required to destruct them.

2.2. Uses Prior to mid-1990s, the most commonly used PFCs in semiconductor industry were CF4 and C2F6 for the purpose of dry etching and CVD chamber cleaning. Due to the reduction goals of these gases by the Kyoto Protocol, several PFCs have been used as substitutes for CF4 and C2F6. These substitutes include C3F8 and c-C4F8. In Taiwan, the rapid growth of the semiconductor manufacturing industry has resulted in the generation of the large quantities of PFCs emissions since mid-1990s. According to the data of Taiwan Semiconductor Industry Association (TSIA) (Yu, 1999), the total procurement quantity of these PFCs has exceeded 246 metric tons in 1997. For further description for PFCs uses in semiconductor manufacturing steps (i.e. thin-lm etching and CVD chamber cleaning), the process used to form ICs on the wafer is illustrated in Fig. 2 (Foecke, 1988; Hess, 2000; Mattrey, Sherer, & Miller, 2000). 2.2.1. Thin-lm etching (plasma etching) Etching either chemical solution (wet) or reactive gas plasma (dry) is the process of removing exposed SiO2 thin-lm in the pattern formed by photoresist exposure and development. Dry etching provides a higher resolution than wet etching and therefore is increasingly being employed as circuit elements become smaller (Hess, 2000). The plasma is generated by applying microwave or radio-frequency excitation. The etching gas (i.e. PFCs gases) becomes ionized particles (i.e. electron, charges ions, neutral atom and molecule fragments). The plasma species physically or chemically interact with solid surface materials (i.e. photoresist, sili-

68

W.-T. Tsai et al. / Journal of Loss Prevention in the Process Industries 15 (2002) 6575

Table 1 Physical properties of PFCs used in the semiconductor manufacturing processes (Brookman & Tibbott, 1998; Daubert & Danner, 1989; Kao & Miller, 2000; LAIR LIQUIDE, 1976; Lewis, 2000; Lide, 2000; Poling, Prausnitz, & OConnel, 2000; Smart & Fernandez, 1994) Property CAS number Molecular weight Boiling point at 1 atm Freezing point Critical temperature Critical pressure Critical volume Critical compressibility factor Liquid molar volume at boiling point Acentric factor Dipole moment van der Waals volume van der Waals area Refractive index Viscosity of gas (25C, 1 atm) Thermal conductivity of gas (25C, 1 atm) Solubility in water Solubility parameter at boiling point Heat of vaporization at boiling point Vapor pressure coefcientb A B C D E
a b

Units g/mol C C C MPa l/g l/mol Cm l/mol m2/mol cp J/s cm C mole/l (J/m3)1/2 kJ/kg Pa

CF4 75-73-0 88.01 128 186.8 45.6 3.74 1.59103 0.277 0.054773 0.1855 0.0 0.02733 4.6105 1.151 (73C) 0.0170 1.80104 1.70104 1.3834104 135.9 52.636 2184.2 5.2422 3.9631015 6.0

C2F6 76-16-4 138.01 78.2 100.7 19.7 2.99 1.645103 0.274 0.086369 0.2452 0.0 0.04266 6.9105 1.206 (73C) 0.0144 1.62104 1.2956104 117.0 54.249 2830.5 5.6610 8.4574103 1.0

C3F8 79-19-7 188.03 36.7 183.0 71.9 2.68 1.59103 0.279 0.117173 0.3264 a 0.05799 9.2105 0.01454 1.38104 1.2303104 104.8 36.057 3026.1 2.1484 3.60881017 6.0

c-C4F8 115-25-3 200.03 6.0 40.2 115.2 2.32 1.624103 0.279 0.123887 0.3557 0.0 0.06132 9.2105 1.217 (25C) 0.01168 1.3018104 116.1 58.646 4378.3 5.5054 8.39961017 6.0

No available data. Vapor pressure (Ps, Pa) correlated as a function of temperature (T, K) as follows: ln(Ps)=A+B/T+C ln T+DTE.

Fig. 2.

Generalized process diagram for semiconductor (integrated circuit) fabrication.

W.-T. Tsai et al. / Journal of Loss Prevention in the Process Industries 15 (2002) 6575

69

con layers or SiO2 layers) forming volatile products that are then removed from the wafer surface (Mattox, 1997). Typical emissions from the plasma etching process are etchant gases such as Cl2, BCl3, C2F6, CF4, CHF3, C3F8, NF3, SF6, CH3F, and HF (Mattrey et al., 2000). This is because the conversion based on these reactants fed to tools is typically low. 2.2.2. Chemical vapor deposition (CVD) The CVD process consists of placing silicon wafers into a plasma-enhanced reactor chamber (so-called PECVD) where process gases are then introduced and heated to a high temperature. This induces a series of chemical reactions that result in the deposition of the desired epitaxial layer on the wafer substrates (Mattox, 1997; Sawchyn, 1995). The gases used in the CVD reactor include Ar, N2, WF6, H2, NH3, SiH4, SiCl4, SiF4, B2H6 and so on. It is noted that the majority of emissions are still feed gases because of the low conversion of these reactants in the deposition processes (Mattrey et al., 2000). Further, by-products of the reactions (typically SiO2) associated with CVD processing coat the walls of the vacuum chamber. Therefore, CVD reactor chamber cleaning is then applied by introducing cleaning gases (i.e. CF4 and C2F6), because they are nontoxic, non-corrosive cleaning gases which are safe to handle, and effective cleaners resulting form the strong afnity of silicon to the excited uorine radical and the volatility of the product SiF4 (Pauly-Hyslop, 1999). The main principle of cleaning the coating is to give plasmas generated by radio-frequency power technique so that the free radicals thus ionized can react with the by-products for dislodging surface atoms and molecules. However, this chamber cleaning process is also low-yield reactions and thus emits 6070% of them (Hoover, 1999).

aggravate global climate change, because these gases such as CO2, CH4 and other anthropogenic organics (e.g. PFCs) are excellent absorbers of IR radiation. On the other hand, PFCs are also very stable, extremely nonsoluble gases that are negligibly removed from the tropospheric atmosphere and have long lifetimes are shown in Table 2. For instance, the atmospheric lifetimes of CF4 and C2F6 are 50,000 and 10,000 years, respectively. The Intergovernmental Panel on Climate Change (IPCC) has adopted the use of a 100 year time horizon to express the Global Warming Potential (GWP) of PFCs relative to CO2 (i.e. GWP of CO2=1). It estimates the GWP for PFCs to be about 70009000 times larger than that of CO2 (Ohgita, 2000). PFCs have relatively large values of GWP compared to those of HCFCs, because these compounds have greater reactivity toward hydroxyl radicals and hence a shorter atmospheric lifetime (Naik et al., 2000; Tsai, 2002). 3.2. Toxicity, and exposure hazards Due to their physiochemical properties, there is a very low toxicity for PFCs. Also, no signicant bioaccumulation or microbiological process is known that could uptake or destruct PFCs in soils or plants at an appreciable rate. Briey, PFCs are essentially non-toxic (Smart & Fernandez, 1994), and primarily reect simple asphyxiation by oxygen displacement and defatting skin from excessive concentrations of c-C4F8 have reportedly caused slightly transient effect (Lewis, 2000). Rats exposed to 80% c-C4F8 and 20% oxygen for four hours showed only slight effects on respiration, but no pathological changes in organs (McKusick, 1988). It is expected that government and industrial parties do not mandate the approximate lethal concentrations and exposure limits of these PFCs, as shown in Table 2. However, there is an exception as in the case of c-C4F8 (ILO, 1991). Further, c-C4F8 must report in Toxic Substances Control Act (TSCA) inventory according to the US EPA regulation (Lewis, 2000).

3. Environmental hazards of PFCS The fact that any organic chemical has more or less potential to impact the environment and human health has been known in spite of their extremely attractive properties such as chemical stability, zero ozone depletion potential (ODP), non-ammability, and low toxicity compared to corresponding chemicals. PFCs inherently exhibit different environmental hazards, such as global warming, similar to HFCs (Hayman & Derwent, 1997; Naik, Jain, Patten, & Wuebbles, 2000). Table 2 summarizes main environmental hazards for PFCs discussed in the present paper. 3.1. Global warming Obvious increases in greenhouse gas emissions have led to a warming of the earths surface and therefore

4. Recovery/recycle technologies for PFCS emissions There are many different approaches available to control PFCs emissions from semiconductor manufacturing processes. These approaches are basically classied into two different techniques: (1) process/equipment and material modication, and (2) add-on-control techniques (Khan & Ghoshal, 2000). In the rst method, source reduction of PFCs emissions are achieved by modifying the process equipment, raw material substitution, and/or change of process (Streif et al., 1997; Pauly-Hyslop, 1999), while in the other class an additional control method has to be adopted to regulate emissions by

70

W.-T. Tsai et al. / Journal of Loss Prevention in the Process Industries 15 (2002) 6575

Table 2 Environmental hazards of PFCs used in the semiconductor manufacturing processes (Daubert & Danner, 1989; Hartz et al., 1998; ILO, 1991; Robin, 1995) PFCs Atmospheric lifetime (year) 50,000 10,000 2600 3200 ODPa GWPb Flash point Flammability limits Autoignition temperature Exposure limit

CF4 C2F6 C3F8 c-C4F8


a b c d e

0 0 0 0

6500 9200 7000 8700

NAd NA NA 200 mg/m3e

Ozone depletion potential (relative to ODP of CFC 11=1). Global warming potential with 100-year time horizon (relative to GWP of CO2=1). Property inappropriate for this substance. Not available data. Hungarys occupational exposure limit based on 8-h time-weighted average (ILO, 1991).

capture/recovery or abatement/destruction ways (Hoover, 1999; Worth, 2000). Although the former is the most effective and efcient method, its applicability is somewhat limited due to the cost and the difculty in the modication of process equipment and the potential hazards of material substitution. The techniques in the second method can be further classied into two groups: namely, the abatement/destruction and the recovery/recycle (non-destruction). The article further describes the applicability of recovery/recycle technologies in subsequent sections. Common technologies for recovery/recycle of organic gases are condensation, absorption, adsorption, and membrane separation (Khan & Ghoshal, 2000; Ruddy & Carroll, 1993; Spivey, 1988). Absorption involves the transfer of the target organics from a waste gas stream to a suitable liquid solvent (generally, water) in which the organics are soluble. Obviously, it is not practical for the removal of PFCs by this approach due to the extremely low solubility in aqueous solution. Available technologies for recover/recycle of PFCs such as cryogenic condensation, adsorption, and membrane separation are listed in Table 3 and discussed later in this paper. 4.1. Cryogenic condensation/distillation Condensation is the process of over-saturation which is practically achieved by chilling of organics-containing waste gas stream to a saturated liquid state through heat transfer. However, this technology is most efcient for organic gases/vapors with boiling points above about 40C and relatively high concentrations above 5000 ppmv (Spivey, 1988). On the other hand, organics with low boiling must require extensive cooling or cryogenic condensation with liquid nitrogen (at 196C) cooling system (Herzog, 1994), which is also recognized as one opportunity for separation technologies (Sikdar, Burckle, & Rogut, 2001). According to the published report (Hoover, 1999), the cryogenic capture/distillation

system consists of multiple units. The rst unit (so-called pretreatment) is to remove the moisture, carbon dioxide, residual silane, and other toxic/corrosive components from the process exhaust. Then, the treated gas stream is pumped to a cryogenic system to capture over 99.9% PFCs in the liquid phase and to allow removal of the atmospheric components such as N2 and O2. Thereafter, the concentrated PFCs are continuously routed to a batch holding tank, where the concentrated PFCs soup is then transferred to a batch distillation unit. The recycle loop is completed as the major PFCs components such as CF4, C2F6, and C3F8 are puried for recycle/reuse. 4.2. Pressure swing adsorption The adsorption process is perhaps the most common method for the capture and recovery of organic vapors/gases, such as cleaning solvent vapors (Spivey, 1988; Tsai, 2002). The principle of this approach is mainly based on the physical adsorption; namely, the interaction between adsorbate and adsorbent. Generally, the process gas stream containing organic vapors/gases (i.e. adsorbate) is passed through a packed bed of porous material (i.e. adsorbent), where organic molecules are held onto the inner pore surface of the adsorbent by the weak van der Waals force of attraction. Thus, physical adsorption is generally characterized by low heat of adsorption and its adsorption equilibrium is reversible and rapidly attained (Ruthven, 1984). Although activated carbon ber and hydrophobic zeolite have been two alternative adsorbents to replace activated carbon on the market since 1980s (Kenson, 1985; Khan & Ghoshal, 2000; Tsai, 2002), the granular carbon adsorbent is still the most suitable adsorbent for VOCs recovery application (Noll, Gounaris, & Hou, 1992; Ruhl, 1993). It has been recognized that VOC molecules are physically attracted and held to the microporous surface of the carbon adsorbent because of its large surface area. According to the operation of the process, physical adsorption is further classied into thermal swing

W.-T. Tsai et al. / Journal of Loss Prevention in the Process Industries 15 (2002) 6575

71

Table 3 List of studies/reports on control technologies of PFCs emissions by recovery/recycle methods Authors Recovery/recycle methods Cryogenic concentration and distillation PFCs and other target Related features gases Prior to feeding into capture/recycle system, process vent is required to remove residual and toxic/corrosive gases. Pretreated stream is routed to a cryogenic wash unit to achieve 99.9% capture of PFCs in liquid phase, which is then transferred to a batch distillation column for purication and reuse in recycle loop Dual bed adsorber, activated carbon as adsorbent. Pressured feed gas CF4, C2F6, SF6 into adsorpting bed, regenerative bed in the evacuated operation for concentration and recovery. Recycle streams are concentrated as 97%. Prototype system is developed by Novapure Co. (USA) and tested at AT&T facility (PA, USA), capable of recovering over 90% of C2F6 in the feed stream Effective at separating C2F6 from N2 in excess of 95% of PFCs. The C2F6 recovery system is developed by IMEC Co. (Belgium) and added several improvements to increase efciency such as pressure equalization and cocurrent product purge or rinse. Pretreatment unit of gas reactor column is designed to remove particulates and toxic/corrosive gases from process exhaust prior to feeding into PSA system Based on gas permeation in polymeric semi-permeable membrane. CF4, C2F6, SF6 Scrubbing removal of hazardous air pollutant (e.g. SiH4) prior to the staged/cascade PFCs concentration system. Original unit is developed by Air Liquide Co. (USA) and tested at Texas Instrument facility (TX, USA). Capture efciencies as high as 98%, with PFCs concentrations in the product stream above 99% CF4, C2F6, SF6, CHF3 Membrane-based recovery system is developed by Air Products and Chemical Co. and test at one of Motorolas facility. Prior to feeding into recovery system, process gas is rst scrubbed/ltered and then compressed to a multi-stage membrane separation unit. No observable degradation of system performance during test operation. Over 90% recovery efciency for feed C2F6, CF4, and SF6, (but less then 10% for CHF3) CF4, C2F6, C3F8, C4F8, Permeation properties by comparison with membranes mainly prepared CFCs, aliphatic by poly (ethylene oxide) (PEO), polyuorononyl moieties (PF) and hydrocarbons, silicone rubber (SR). PEO membrane with good performance for inorganic gases separation of hydrocarbons and CFCs from N2 or PFCs CF4, C2F6, C3F8, CHF3, SF6

Hoover (1999)

Tom et al. (1994)

Adsorption (pressure swing adsorption, PSA)

Van Hoeymissen et al. Pressure swing (1997) adsorption

Cummins et al. (1997) Membrane separation

Foder et al. (1999)

Membrane separation

Hirayama et al. (1999) Membrane separation

adsorption (TSA) and pressure swing adsorption (PSA) based on a dual bed adsorber; namely, one of the beds with the adsorption mode and the second bed with the desorption (regeneration) mode (Yang, 1987). For most applications in activated carbon organic vapor recovery system, the organic vapor in the pretreated process stream (40C and 50% relative humidity) is adsorbed on the carbon surface until the carbon pores are almost occupied in a packed-bed or rotary wheel system containing activated carbon, and then the carbon adsorbent is commonly done by steam heating or hot inert gas ow for the recovery/reuse of the organics (Sikdar et al., 2001; Tsai, 2002). Although this operation (i.e. TSA) is an attractive option for capture/recovery of VOCs such as solvent vapor, PSA operation is an available method for a variety of industrial applications in the elds of both gas separation and purication (Elli, Heggs, & Lawrie, 1993; Ruthven, 1984; Yang, 1987). Due to the relatively low boiling points (at 1 atm) of the PFC gases

as shown in Table 1, the conventional adsorption process with TSA operation is obviously ineffective. Thus, PSA process had tested for recovering PFC gases (Tom et al., 1994). Basically, PSA makes use of adsorption by employing two columns of carbon adsorbent that operate continuously. In one-half cycle of a typical PSA process, basic steps include: (1) pressurization of column 1 from the feed gas, (2) adsorption of the more adsorbed components (i.e. PFC gases) from the feed gas, while inert gases (less adsorbed species; i.e. N2, H2 etc.) owing through the column and out the vent, (3) depressurization in the direction opposite to the feed ow with the PFC gases leaving in the product stream, and (4) production of PFC gases from column 1 by means of countercurrent purge or pressure reduction. Alternatively, the same process steps are repeated for column 2. With respect to PFC gases purication, some improvements were made to increase PSA performance. For instance, a pressure equalization step for the two columns, using

72

W.-T. Tsai et al. / Journal of Loss Prevention in the Process Industries 15 (2002) 6575

a vacuum pump in the desorption step, and a somewhat cocurrent product purge or rinse (Van Hoeymissen, Daniels, Anderson, Fyen, & Heyns, 1997). 4.3. Membrane separation This technology has been used successfully in the rening and petrochemical industrial process for many years to separate hydrogen from hydrocarbon streams and in air separation applications (Koros, 1995). More recently, membrane-based separation for the recovery or concentration of VOCs (e.g. CFCs) has been reported in the past decade (Hirayama et al., 1999; Sikdar et al., 2001). However, this separation process is in the eld of experimental or pilot-plant researches and has yet to be fully commercialized (Khan & Ghoshal, 2000). Prior to the feeding of process gas stream into membrane separation unit, the feed gas must be pretreated by particulate ltration and demist, similar to other recovery/recycle processes (Khan & Ghoshal, 2000). A brief principle of this method is as follows (Cummins et al., 1997). The transport of target gases through a semipermeable membrane is governed by sorptiondiffusion mechanism or chemical potential driving-force, which arises from a partial pressure difference of the permeating components across the polymer membrane; namely, target gases rst dissolve into the membrane and then diffusion through it via an imparted pressure gradient. Membranes are characterized by ux and selectivity. Basically, transport ux of a given target gas is proportional to the partialpressure driving-force difference across the membrane and inversely proportional to the effective thickness (0.2 m, for commercial membranes) of the selective layer of the membrane. This thickness generally is much less than the overall thickness of the membrane. Selectivity or separation factor is the difference of transport rate between gas components. Generally, ux and selectivity are inversely proportional, so an offset balance of properties must be considered in designing a membrane separation system. While ux is a main determinant of membrane and capital cost, selectivity largely inuences recovered product purity and operating cost. In PFCs separation applications, nitrogen is the fast permeating component and PFC gases are the slow non-permeable components, because they have a relatively larger molecular size (kinetic diameter) than nitrogen. Since the concentrations of PFC gases in the process vents are mainly ranged between 0.01 and 5%, their partial-pressure driving-force through the membrane is minimal, and conversely their recovery in the non-permeate stream of the membrane will be very high. Further, nitrogen is separated from feed gas and thus recovered in the permeate stream at about atmospheric pressure and can be directly vented without consumption of compression energy. The membranes most commonly used in commercial

applications are non-porous and made of rubbery polymers or glassy polymers (Singh, 1998). Gas molecules like VOCs, which have a strong afnity (high solubility) for polymeric materials, permeate a rubbery polymeric membrane such as poly(dimethylsiloxane) and composite poly(ether imide) (PEI)-silicone rubber membranes faster than the relatively smaller O2 and N2 molecules. Furthermore, solubility dominates the transport of target components across the rubbery membrane. Because glassy polymeric membrane has a characteristic of chain segment motions and can discriminate between relatively small dimension differences of common gases (e.g. H2, CO2), transport in glassy polymeric membrane is mainly governed by the dimension of the molecule and the diffusion across it. Currently, asymmetric gasseparation membrane with a polyimide (PI) hollow ber module type has been developed successfully for the concentrations of organic vapors, chlorinated solvents, and CFCs (Hirayama et al., 1999). PI membranes have high chemical durability and thermal resistance. Notably, they have higher selectivity than other membranes for separations of common gases such as CH4 N2, CH4H2, and CH4He (Singh, 1998). In the application of PFCs recovery, a pilot test with membrane-based technology has been conducted at one semiconductor manufacturing workshop to recover PFCs from the process exhaust (Foder, Wimmer, Yang, & McCay, 1999). Basic steps in this recovery system are described as: (1) pretreatment of process exhaust containing PFCs, by-products, and N2 by wet scrubbing for the removal of acid gases and two sets of lters for the removal of particles; (2) feeding of the cleaned process stream into a multi-stage membrane separation unit by reciprocating compressor, additional moisture separators and coalescing lters to remove any entrained oil and water before entering the membrane unit; (3) low-pressure N2-rich vent stream further sent to the scrubbing system, while PFCs-rich product gas stream sent to the boost compressor for cylinder lling and PFCs thus recovered for reuse/recycle. Test data showed that the system can recover over 90% of the feed CF4 and C2F6 and its performance did not degrade during the test operation.

5. Conclusions Although the etching/cleaning gases PFCs (i.e. CF4, C2F6, C3F8, and c-C4F8) discussed in this review paper have signicant characteristics of non-ozone-depletionpotential due to no release of the chlorine atom or radical to the stratosphere, these compounds for the uses of semiconductor manufacturing have recently become a globally environmental issue. A convention known as the Kyoto Protocol has been negotiated that called for a proactive reduction in these greenhouse gases emissions below baseline year levels from developed countries by

W.-T. Tsai et al. / Journal of Loss Prevention in the Process Industries 15 (2002) 6575

73

20082012. For the prevention of global warming, the emissions of process vent gases containing PFCs need to be controlled and even recovered for reuse/recycle. Several reduction technologies including alternative chemistries, process optimization, abatement/destruction, and recovery/recycle are available in the semiconductor industry since mid-1990s. Generally, recovery/recycle techniques are effective and also satisfy the pollution prevention or clean technology approach without requiring process changes and additional pollution issues, and thus resulting in environmental performance advantages over the other three approaches. However, abatement/destruction will be good option when PFCs recovery/recycle approach is not practical in terms of availability, efciency, and cost. Also, alternative chemistry is another potent technology for the prevention of PFCs emissions in the near future.

References
Beu, L., & Brown, P. B. (1999). An analysis of uorinated compound emissions reduction technologies and emission reduction goals. Electrochemical Society Proceedings, 99-8, 19. Bhatnagar, A., Kaushal, T., Wong, M., Chan, M., Ramaswamy, K., Moalem, M., Kats, S., & Shamouilian, S. (1999). Catalytic destruction of PFC emission from semiconductor fabrication tools. Electrochemical Society Proceedings, 99-8, 95102. Brookman, R. P, & Tibbott, B. (1998). Gases and gas equipment. In R. A. Bolmen, Semiconductor safety handbook (pp. 448). Westweed (USA): NOYES (chap. 10). Chang, M. B., & Yu, S. J. (2001). An atmospheric-pressure plasma process for C2F6 removal. Environmental Science & Technology, 35 (8), 15871592. Chen, D. T., David, M. M., Tiers, G. V. D., & Schroepfer, J. N. (1998). A carbon arc process for treatment of CF4 emissions. Environmental Science & Technology, 32 (20), 32373240. Cheng, X., Holber, W., Basnett, R., & Smith, D. (1999). Exhaust gas abatement using ASTROM a compact, low-eld toroidal plasma source. Electrochemical Society Proceedings, 99-8, 7078. Chiarello, R. (2001). ESH issues make progress. Semiconductor International, 24 (March), 8188. Cummins, W. R., Dupuis, G., Kesari, S., Miner, D., Trilli, K., & Fleming, G. (1997). The future of peruorocarbon capture and recycling: Membrane technology. Semiconductor International, 20 (July), 265272. Daubert, T. E., & Danner, R. P. (1989). Physical and thermodynamic properties of pure chemicals. New York: Hemisphere. Downing, R. C., Mader, F. W., & Tomkowit, T. W. (1985). Fluorocarbons. In (pp. 216). J. J. McKetta, & W. A. Cunningham, Encyclopedia of chemical processing and design, 23. New York: Marcel Dekker. Elli, D. I., Heggs, P. J., & Lawrie, M. G. (1993). The removal of freon 12 from air using pressure swing adsorption. Trans IchemE, 71 (Part A), 169180. Flamm, D. L. (1993). Feed gas purity and environmental concerns in plasma etching-part 1. Solid State Technology, 36 (October), 49 54. Foder, M., Wimmer, R., Yang, J., & McCay, T. (1999). Recovery of peruorocompounds (PFCs) from semiconductor manufacturing processes using a membrane-based system. Electrochemical Society Proceedings, 99-8, 6069.

Foecke, T. L. (1988). Waste minimization in the electronics products industries. JAPCA, 38 (3), 283291. Hartz, C. L., Bevan, J. W., Jackson, M. W., & Wofford, B. A. (1998). Innovative surface wave plasma reactor technique for PFC abatement. Environmental Science & Technology, 32 (5), 682687. Hawkinson, T. E., & Korpela, D. B. (1998). Chemical hazards in semiconductor operations. In R. A. Bolmen, Semiconductor safety handbook (pp. 163). Westweed (USA): NOYES (chap. 3). Hayashi, Y., Hirose, K., Kikuchi, T., Egami, A., Nakamura, M., & Sekine, M. (2001). PFC gases decomposition for semiconductor process using plasma-assisted catalytic technology. In International Semiconductor Environment, Safety, and Health (ISESH) Eighth Annual Conference, 1820 June, Kenting, Taiwan. Hayman, G., & Derwent, R. D. (1997). Atmospheric chemical reactivity and ozone-forming potentials of potential CFC replacements. Environmental Science & Technology, 31 (2), 327336. Herzog, F. (1994). Solvent recovery and waste gas purication with cryogenic processes. In J. Hermia, & J. Chaouki, Characterization and control of odours and VOC in the process industries (pp. 309). Amsterdam: Elsevier. Hess, P. F. (2000). Semiconductor manufacturing. In A. J. Buonicore, & W. T. Davis, Air pollution engineering manual (pp. 294). New York: Van Nostrand Reinhold (chap. 9). Hirayama, Y., Tanihara, N., Kusuki, Y., Kase, Y., Haraya, K., & Okamoto, K. (1999). Permeation properties to hydrocarbons, peruorocarbons and chlorouorocarbons of cross-linked membranes of polymethacrylates with poly(ethylene oxide) and peruorononyl moieties. Journal of Membrane Science, 163, 373381. Hoover, C. A. (1999). Environmental impact of PFC abatement, capture and recycle. SSA Journal, 13 (3), 2126. ILO (1991). Occupational exposure limits for airborne toxic substances (3rd ed.). Geneva: ILO (International Labour Ofce). Johnson, A. D., Entley, W. R., & Maroulis, P. J. (2000). Reducing PFC gas emissions from CVD chamber cleaning. Solid State Technology, 43 (December), 103114. Johnson, A. D., Maroulis, P. J., McGuigan, S. A., Mendicino, L., Brown, P. T., Nauert, C., & Filipiak, S. (2001). Reducing PFC emissions generated from CVD chamber clean processes. In International Semiconductor Environment, Safety, and Health (ISESH) Eighth Annual Conference, 1820 June, Kenting, Taiwan. Kang, C. J., Miura, Y., Kitamura, A., Nakata, H., & Sekine, M. (2001). High performance oxide etching with low PFC emission using peruoro-2-butene gas. In International Semiconductor Environment, Safety, and Health (ISESH) Eighth Annual Conference, 1820 June, Kenting, Taiwan. Kao, C., & Miller, R. N. (2000). Vapor pressures of hexauoroethane and octauorocyclobutane. Journal of Chemical Engineering Data, 45 (2), 295297. Karecki, S. M., Pruette, L. C., & Reif, L. R. (1997). Plasma etching of silicon dioxide and silicon nitride with non-peruorocompound chemistries: Triuoroacetic anhydride and iodouorocarbons. Mat. Res. Symposium Proceedings, 447, 6774. Kenson, R. E. (1985). Recovery and reuse of solvents from VOC air emissions. Environmental Progress, 4 (3), 161164. Kesari, S., Zazzera, L., Tousignant, L., Reagen, W. K., & Bach, T. (1999). Process optimization and PFC emission reduction using C3F8 chamber clean processes in AMAT P-5000 PECVD tools. Electrochemical Society Proceedings, 99-8, 3039. Khan, F. I., & Ghoshal, A. K. (2000). Removal of volatile organic compounds from polluted air. Journal of Loss Prevention in the process Industries, 13, 527543. Koros, W. J. (1995). Membranes: Learning a lessom from nature. Chemical Engineering Progress, 91 (10), 6881. LAIR LIQUIDE (1976). Gas encyclopaedia. Amsterdam: Elsevier. Lee, H. M., Chang, M. B., Yu, S. J., & Wei, T. C. (2001). A new atmospheric-pressure PFC abatement process: Combined plasma catalysis. In International Semiconductor Environment, Safety, and

74

W.-T. Tsai et al. / Journal of Loss Prevention in the Process Industries 15 (2002) 6575

Health (ISESH) Eighth Annual Conference, 1820 June, Kenting, Taiwan. Lewis, R. J. Sr. (2000). Saxs dangerous properties of industrial materials. (10th ed.). New York: Wiley. Lide, D. R. (2000). CRC handbook of chemistry and physics. (81st ed.). Boca Raton (USA): CRC Press. Lin, S. N., Shu, J. N., Shih, H. Y., Tang, K., & Chiu, C. L. (2001). On-site abatement efciency evaluation of a thermal processing unit (TPU) for treating W-CVD exhaust gases. In International Semiconductor Environment, Safety, and Health (ISESH) Eighth Annual Conference, 1820 June, Kenting, Taiwan. Maroulis, P., Langan, J., Johnson, A., Ridgeway, R., & Withers, H. (1994). PFCs and the semiconductor industry: A closer look. Semiconductor International, 17 (November), 107110. Mattox, D. M. (1997). Thin lms. In (pp. 1040). J. I. Kroschwitz, & M. Howe-Grant, Kirk-Othmer encyclopedia of chemical technology (4th ed.), 23. New York: Wiley. Mattrey, J. F., Sherer, J. M., & Miller, J. D. (2000). Minimize emissions from semiconductor facilities. Chemical Engineering Progress, 96 (5), 3541. McKusick, B. (1988). Toxicology and occupational health (Fluorine compounds, organic). In (pp. 354). B. Elvers, J. F. Rounsaville, & G. Schulz, Ullmanns encyclopedia of industrial chemistry (5th ed.), A11. Veinheim (Germany): VCH. McNabb, J., & Bischke, S. (1998). Optimization of C2F6 burnbox destruction. Semiconductor International, 21 (April), 131134. Mitsui, Y., Kosano, Y., Takaichi, T., Beppu, T., & Sekiya, A. (2001). The possibility of carbonyl uoride as a new CVD chamber cleaning gas. In International Semiconductor Environment, Safety, and Health (ISESH) Eighth Annual Conference, 1820 June, Kenting, Taiwan. Mocella, M. T. (1997). PFC emission control options for plasma processing tools: A current assessment. Mat. Res. Symposium Proceedings, 447, 2934. Mocella, M. T. (2001). New studies of c-C4F8, an optimum uorocarbon gas for CVD chamber cleaning. In International Semiconductor Environment, Safety, and Health (ISESH) Eighth Annual Conference, 1820 June Kenting, Taiwan. Naik, V., Jain, A. K., Patten, K. O., & Wuebbles, D. J. (2000). Consistent sets of atmospheric lifetimes and radioactive forcings on climate for CFC replacements: HCFCs and HFCs. Journal of Geophysical Research, 105 (D5), 69046914. Namose, I. (2001). PFC emissions reductions in the semiconductor operations division at Seiko Epson Corporation. In International Semiconductor Environment, Safety, and Health (ISESH) Eighth Annual Conference, 1820 June, Kenting, Taiwan. Noll, K. E., Gounaris, V., & Hou, W. S. (1992). Adsorption technology for air and water pollution control. Chelsea, MI: Lewis. Ohgita, T. (2000). Results and impressions of expert meetings for the preparation of IPCC good practice guidance. Journal of Japan Society for Safety Engineering, 39 (5), 334342 (in Japanese). Owens, J. G. (1999). Low GWP alternatives to HFCs and PFCs. In Proceedings of 1999 Taipei International Conference on Atmosphere Protection, September 14, Taipei, Taiwan. Pauly-Hyslop, N. M. (1999). Alternative chemistries for chamber cleans to reduce peruorocompound (PFC) emissions. SSA Journal, 14 (1), 1725. Pierce, A. M., & Van Gompel, J. (1997). Effectiveness of an inwardly red burner on abatement of PFCs. Mat. Res. Symposium Proceedings, 447, 4954. Poling, B. E., Prausnitz, J. H., & OConnell, J. P. (2000). The properties of gases and liquids. (5th ed.). New York: McGraw-Hill. Pruette, L., Karecki, S., Reif, R., Tousignant, L., Reagan, W., Kesari, S., & Zazzera, L. (1999). Evaluation of C4F8O as alternative plasma enhanced chemical vapor deposition chamber clean chemistry. Electrochemical Society Proceedings, 99-8, 2029. Robin, M. L. (1995). Halogenated re suppression agents. In A. W.

Miziolek, & W. Tsang, Halon replacements: Technology and science (pp. 85). Washington, DC: American Chemical Society (chap. 9). Ruddy, E. N., & Carroll, L. A. (1993). Select the best VOC control strategy. Chemical Engineering Progress, 89 (7), 2835. Ruhl, M. J. (1993). Recover VOCs via adsorption on activated carbon. Chemical Engineering Progress, 89 (7), 3741. Ruthven, D. M. (1984). Principles of adsorption and adsorption processes. New York: Wiley. Sawchyn, I. (1995). Integrated circuits. In (pp. 677). J. I. Kroschwitz, & M. Howe-Grant, Kirk-Othmer encyclopedia of chemical technology (4th ed.), 14. New York: Wiley. Schwertfeger, W. (1988). Fluorinated alkanes (Fluorine compounds, organic). In (pp. 354). B. Elvers, J. F. Rounsaville, & G. Schulz, Ullmanns encyclopedia of industrial chemistry (5th ed.), A11. Veinheim (Germany): VCH. Sekiya, A., & Misaki, S. (2000). The potential of hydrouoroethers to replace CFCs, HCFCs and PFCs. Journal of Fluorine Chemistry, 101, 215221. Sikdar, S. K., Burckle, J., & Rogut, J. (2001). Separation methods for environmental technologies. Environmental Progress, 20 (1), 111. Singh, R. (1998). Industrial membrane separation processes. CHEMTECH, 28 (4), 3344. Smart, B. E., & Fernandez, R. E. (1994). Fluorinated aliphatic compounds. In (pp. 499). J. I. Kroschwitz, & M. Howe-Grant, KirkOthmer encyclopedia of chemical technology (4th ed.), 11. New York: Wiley. Spivey, J. J. (1988). Recovery of volatile organics from small industrial sources. Environmental Progress, 7 (1), 3140. Stone, K. R., & Springer, J. Jr. (1995). Review of solvent cleaning in aerospace operations and pollution prevention alternatives. Environmental Progress, 14 (4), 261265. Straume, A. G., Dietz, R. N., Kof, E. D., & Nodop, K. (1998). Peruorocarbon background concentrations in Europe. Atmospheric Environment, 32 (24), 41094122. Streif, T., DePinto, G., Dunnigan, S., & Atherton, A. (1997). PFC reduction through process and hardware optimization. Semiconductor International, 20 (June), 129134. Sun, S. P., Bennett, D., Zazzera, L., & Reagen, W. (1998). Reducing PFC emissions using C3F8-based PECVD clean. Semiconductor International, 21 (February), 8592. Takase, H. (2001). Abatement of PFC by newly developed system. In International Semiconductor Environment, Safety, and Health (ISESH) Eighth Annual Conference, 1820 June, Kenting, Taiwan. Takita, Y., Morita, C., Ninomiya, M., Wakamatsu, H., Nishiguchi, H., & Ishihara, T. (1999). Catalytic decomposition of CF4 over AIPO4-based catalysts. Chemical Letters, 417418. Taylor-Smith, R. (1999). Electrochemical routes to peruorocompound abatement. Electrochemical Society Proceedings, 99-8, 116125. Tom, G. M., McManus, J., Knolle, W., & Stoll, I. (1994). PFC concentration and recycle. Mat. Res. Soc. Symposium Proceedings, 344, 267272. Tsai, W. T. (2002). A review of environmental hazards and adsorption recovery of cleaning solvent hydrochlorouorocarbons (HCFCs). Journal of Loss Prevention in the process Industries, 15 (2), 147157. Van Gompel, J. V., & Walling, T. (1997). A new way to treat process exhaust to remove CF4. Semiconductor International, 20 (September), 95100. Van Hoeymissen, J. A. B., Daniels, M., Anderson, N., Fyen, W., & Heyns, M. (1997). Gas stream analysis and PFC recovery in a semiconductor process. Mat. Res. Soc. Symposium Proceedings, 447, 5560. Vartanian, V., Beu, L., Lii, T., Graves, D., Tonnis, E. J., Jewett, R., Wofford, B., Bevan, J., Hartz, C., & Gunn, M. (2000). Plasma abatement reduces PFC emission. Semiconductor International, 23 (June), 191198.

W.-T. Tsai et al. / Journal of Loss Prevention in the Process Industries 15 (2002) 6575

75

Wallington, T. J., Schneider, W. F., Worsnop, D. R., Nielsen, O. J., Sehested, J., Debruyn, W. J., & Shorter, J. A. (1994). The environmental impact of CFC replacements-HFCs and HCFCs. Environmental Science & Technology, 28 (7), 320A326A. Wang, S. P., Chou, C. C. K., Tseng, J., Hong, T. J., Chen, Y. W., Shyu, K. W., & Liaw, W. (2001). Reduction of PFC emissions using C3F8 as an alternative CVD chamber clean gas. In International Semiconductor Environment, Safety, and Health (ISESH) Eighth Annual Conference, 1820 June, Kenting, Taiwan. Weston, R. E. Jr. (1996). Possible greenhouse effects of tetrauoromethane and carbon dioxide emitted from aluminum production. Atmospheric Environment, 30 (16), 29012910. Williams, J. D. (1997). Environmental issues of peruorocompounds in the semiconductor industry. Mat. Res. Symposium Proceedings, 447, 4348.

Wofford, B. A., Jackson, M. W., Hartz, C. L., & Bevan, J. W. (1999). Surface wave plasma abatement of CHF3 and CF4 containing semiconductor process emissions. Environmental Science & Technology, 33 (11), 18921897. Worth, W. F. (2000). Further evaluation of two plasma technologies for PFC emissions reduction. SSA Journal, 14 (4), 1119. Yang, R. T. (1987). Gas separation by adsorption processes. Stoneham: Butterworths. Yu, J. P. (1999). Outlook of TSIA PFC emission reduction. Taiwan Semiconductor Industry Association (TSIA) Newsletter, 10 (April) (in Chinese). Zazzera, L., Kesari, S., Reagen, W., Tousignant, L., Holber, W., & Chen, X. (1999). PFC emission reduction and atomic uorine generation using C3F8 and remote CVD chamber clean technology. Electrochemical Society Proceedings, 99-8, 1019.

Das könnte Ihnen auch gefallen