Sie sind auf Seite 1von 127

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET

GVHD : BUI THANH HUYEN & 1 & SVTH :NGUYEN MINH TAM

uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 2 & SVTH :NGUYEN MINH TAM

CHNG 1 :
GII THIEU HE THONG
IEU KHIEN NHIET O
VA NHIEM VU LUAN VAN

1.1. Gii thieu ve nhiet o va phng phap ieu khien :

Nhiet o la mot ai lng vat ly hien dien khap ni va trong nhieu lnh
vc, trong cong nghiep cung nh trong sinh hoat. Nhiet o tr nen la moi quan
tam hang au cho cac nha thiet ke may va ieu khien nhiet o tr thanh mot
trong nhng muc tieu cua nganh ieu Khien T ong. Trong nhieu lnh vc cua
nen kinh te, van e o va kiem soat nhiet o la mot qua trnh khong the thieu
c, nhat la trong cong nghiep. o nhiet o trong cong nghiep luon gan lien
vi quy trnh cong nghe cua san xuat , viec o va kiem soat nhiet o tot quyet
nh rat nhieu en chat lng cua san pham trong cac nganh cong nghiep thc
pham, luyen kim, xi mang, gom s, cong nghiep che tao ong c ot trong...

Tuy theo tnh chat , yeu cau cua qua trnh ma no oi hoi cac phng
phap ieu khien thch hp. Tnh on nh va chnh xac cua nhiet o cung c
oi hoi ay at ra cac van e can phai giai quyet.

Mot ieu thc s can thiet la ta phai khao sat ky oi tng cung cap
nhiet ma ta can phai ieu khien e dan en mo hnh toan hoc cu the. T o
chung ta se giai quyet bai toan ieu khien tren c s ly thuyet a nghien cu
san . He thong ieu khien nhiet o co the phan lam hai loai : he thong ieu
khien hoi tiep (feedback control system) va he thong ieu khien tuan t
(sequence control system) .

ieu khien hoi tiep thng c xac nh va giam sat ket qua ieu khien ,
so sanh no vi yeu cau thc thi (v du iem at) va t ong ieu chnh ung .

ieu khien tuan t thc hien tng bc ieu khien tuy theo hoat ong ieu
khien trc khi xac nh tuan t .
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 3 & SVTH :NGUYEN MINH TAM

Mot he thong muon chnh xac can phai thc hien hoi tiep tn hieu ve so
sanh vi tn hieu vao va ra se c gi en bo ieu khien hieu chnh au ra .
He thong ieu khien hoi tiep co nhieu u iem nen thng c thay trong cac
he thong t ong .

Cac phng phap ieu khien khac nhau nhng nguyen tac ieu khien la
giong nhau . Mot he thong ieu khien nhiet o da tren nguyen tac he thong
hoi tiep co dang tong quat nh hnh di ay :








ay la mot he thong hoi tiep qua bo cam bien cho tn hieu o lng nhiet
o ve so sanh vi gia tr at , sai lech gia tn hieu at va o se c a ti bo
ieu khien tao tn hieu ieu khien cong suat cap cho bo phan gia nhiet . Nh
vay cac phng phap ieu khien khac nhau ve ban chat la do cac bo ieu khien
khac nhau tao nen .

1.2.Nhiem vu luan van :

1.2.1.Nhiem vu cua luan van la tm hieu thc hien cac muc tieu sau :
1. S dung PLC SIEMENS S7-300 ieu khien lo nhiet bang phng
phap m lai
2. Giam sat ieu khien va thu thap d lieu hoat ong cua lo nhiet s
dung phan mem WinCC (Windows Control Center)
1.2.2.Pham vi ieu khien : do trong khuon kho gii han cua luan van nen em ch gii
han trong pham vi nh sau :
- oi tng : PLC S7-300 (CPU 314IFM), modun AI SM331
chuyen dung co kha nang oc trc tiep tn hieu t cac cam bien
nh Thermocoup, PT... va lo nhiet dan dung
- Cam bien : Thermocoup TC loai K co o nhay nhiet 40V/1
0
C
X
Bo ieu khien Bo phan gia nhiet
Cam bien nhiet o
Gia tr at
Nhiet o
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 4 & SVTH :NGUYEN MINH TAM
- Phng tien ieu khien : OP (Operation Panel), tuy nhien c
thay the bang PC ( Personal Computer ) vi he ieu hanh
Windows 98
- Giao tiep may tnh : thong qua phan mem WInCC cua cong ty
SIEMENS
- Bo ieu khien m va PID : tch hp trong PLC S7-300 cua cong
ty SIEMENS
- Chng trnh ieu khien : soan thao bang phan mem Step7 cua
cong ty SIEMENS





























uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 5 & SVTH :NGUYEN MINH TAM



CHNG 2 : GII THIEU PLC SIEMEN VA PHAN MEM
STEP7
1. GII THIEU PLC
PLC (Programmable Logic Control): thiet b ieu khien logic kha trnh la
loai thiet b cho phep thc hien linh hoat cac thuat toan ieu khien logic thong
qua mot ngon ng lap trnh, thay cho viec phai the hien thuat toan o bang
mach logic.
1.1. Vai net ve lch s cua PLC:
PLC bat au phat trien t nam 1968 e ap ng mot yeu cau cua hang
Hydraumatic Division of General Motors (GM). Thi gian nay, GM thng mat
nhieu thi gian e thay the he thong ieu khien da tren relay moi khi can hieu
chnh hay thay oi mau ma cua xe hi. e giam chi ph cao ve viec noi lai day,
ky thuat ieu khien cua GM goi he thong ien t co s linh ong cua may tnh,
co the lap trnh va bao dng bi cac ky s va cac chuyen gia trong x nghiep.
No cung co the chu ng c bui ban cua khong kh, s rung, nhieu ien, o
am va nhiet o cao trong cac moi trng cong nghiep.
PLC au tien c lap at vao nam 1969 va thanh cong nhanh chong.
Nhng chc nang giong nh relay c thay the, tham ch nhng PLC au tien
cung co o tin cay hn he thong relay, ly do la thanh phan ien t cua no n
gian so vi cac phan chuyen ong cua cac relay ien c. No chiem t khong
gian hn cac counters, timers va cac thanh phan ieu khien khac ma no thay
the. Kha nang lap trnh lai cua no rat ln khi co s thay oi ve ke hoach ieu
khien.
Co le cha khoa ln nhat e PLC co the chap nhan c trong cong
nghiep la ngon ng lap trnh au tien da tren gian o hnh thang (ladder
diagram) va cac ky hieu thng dung cua th ien.
Hau het cac nhan vien trong x nghiep c huan luyen theo mc logic hnh
thang nen ho de dang chap nhan no trong PLC. Thc ra mc logic hnh thang co vai tro
chung trong viec lap trnh va sa cha, cho du ngon ng lap trnh tan tien hn a c
phat trien.
1.2 . ac iem cua PLC :
Mot he ieu khien c goi la ieu khien lap trnh c khi cac ngo ra
va cac ngo vao c noi ket vi nhau thong qua mot bo ieu khien co the lap
trnh c. Chc nang cua mot he ieu khien c chuyen oi thanh mot
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 6 & SVTH :NGUYEN MINH TAM
chng trnh va c nap vao bo ieu khien. Bo ieu khien da theo chng
trnh nay e thc hien qua trnh ieu khien cac ngo ra va cac thong so ngo vao.
Chung ta co nen dung mot ngon ng co the lap trnh ? Trong nhng nam
1970 va au thap ky 80 nhieu ky s, nha ieu hanh san xuat va nhng ngi
thiet ke he thong a ton nhieu thi gian e tranh luan ve e tai nay song song
o la chi ph cho PLC. Ngay nay, ngi ta chap nhan PLC v no tr nen kinh te
va co hieu qua trong he thong ieu khien can t ba en bon relay hay nhieu hn
trong khi o chi ph cho mot PLC nho ch vai tram dollars. Cac nha san xuat at
hieu suat va chat lng len hang au nen chi ph tr nen khong quan trong.
Vi s phat trien manh me cua ky thuat may tnh nhng chung ta van
dung PLC e ieu khien may trong cong nghiep la v:
Mot PLC n gian co the dung trong nhieu lnh vc cong
nghiep, t viec ieu khien lap i lap lai mot tac vu n gian
nao o cho en viec ieu khien e chay ch mot tac vu phc
tap.
Cac chng trnh ieu khien co the c thay oi mot cach de
dang, cai tien e phu hp vi hoat ong mi.
Chng trnh ieu khien co the de dang nap vao nhieu PLC, de
dang trao oi chng trnh.
Thi gian ap ng nhanh tr thanh mot tieu chuan o i vi PLC.
ieu nay la can thiet bi v trong ieu khien cong nghiep, cac
tn hieu t cac cam bien thay oi rat nhanh.
Trong PLC co san cac bo em, bo nh th co the s dung vi
nhieu o chnh xac khac nhau, khi trong qua trnh ieu khien
co can them.
Co the chap nhan c ve tnh kinh te khi PLC c s dung
trong cac he thong ieu khien co 4 relay hay nhieu hn.
Khi dung PLC co the giao tiep vi cac thiet b nh en LED 7
oan, bo chon nhan ( thumbwheels), cac chc nang ve x ly tn
hieu analog, x ly tn hieu tan so cao...
Cho phep co the hien th o hoa tren mot he thong.
Truyen thong: Nhng hoat ong giao tiep vi nhng PLC khac
hay mang may tnh giup cho viec thu thap d lieu va trao oi
thong tin c de dang.
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 7 & SVTH :NGUYEN MINH TAM
Cac thiet ke au tien la nham thay the cho phan cng cac relay day noi
va cac logic thi gian. Tuy nhien, ben canh o viec oi hoi tang cng dung
lng nh va tnh de dang cho PLC ma van am bao c toc o x ly cung
nh gia ca...
Chnh nhng ieu nay a gay ra s quan tam sau sac en viec s dung
PLC trong cong nghiep. Cac tap lenh nhanh chong i t cac lenh logic n gian
en cac lenh em, nh thi, thanh ghi dch... sau o la cac chc nang lam toan
tren cac may ln... S phat trien cua may tnh dan en cac bo PLC co dung
lng nh ln hn, so lng I/O nhieu hn. PLC s dung bo nh co the lap trnh
c e lu tr cac lenh va thc thi cac chc nang ac biet gom co ieu khien
ON/OFF, nh thi, em, th t, so hoc va x ly d lieu. Vi moi he ieu khien,
cac tn hieu au vao, au ra c noi vao PLC. PLC hoat ong bang cach kiem
tra lai tn hieu cac lenh (a c lap trnh trong bo nh), sau o ghi ket qua vao
ngo ra a en cac thiet b ieu khien.
Trong PLC, phan cng CPU va chng trnh la hai n v c ban cho
qua trnh ieu khien hoac x ly he thong. Chc nang ma bo ieu khien can thc
hien se c xac nh bi mot chng trnh. Chng trnh nay c nap san vao
bo nh cua PLC, PLC se thc hien viec ieu khien da vao chng trnh nay.
Nh vay neu muon thay oi hay m rong chc nang cua quy trnh cong nghe, ta
ch can thay oi chng trnh ben trong bo nh cua PLC. Viec thay oi hay m
rong chc nang se c thc hien mot cach de dang ma khong can mot s can
thiep mang tnh vat ly nao so vi cac bo ieu khien dung day noi va relay.
Nhieu PLC giup gia tang s canh tranh. Cac qua trnh thng s dung
PLC bao gom: ong goi, ong chai va van chuyen, x ly vat lieu, van hanh
may, phat nang lng, nhng he thong ieu khien xay dng, he thong bao ve,
day chuyen t ong, ve ng va x ly nc. PLC ng dung trong nhieu nganh
cong nghiep bao gom thc an va o uong, chuyen ong t ong, hoa hoc, nha,
bot giay va giay, dc va vat lieu. Thc ra, bat ky mot ng dung nao can en
ien eu co the s dung PLC.
So sa nh PLC v i mo t so he tho ng kha c:
Ch tieu so
sanh
R-le Mach so May tnh PLC
Gia thanh tng
chc nang
Kha thap Thap Cao Thap
Kch thc vat
ly
Ln Rat gon Kha gon Rat gon
Toc o ieu
khien
Cham Rat nhanh Kha nhanh Nhanh
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 8 & SVTH :NGUYEN MINH TAM
Kha nang
chong nhieu
Xuat sac Tot Kha tot Tot
Lap at Mat thi gian
thiet ke va lap
at
Mat thi gian
thiet ke
Mat nhieu
thi gian lap
trnh
Lap trnh
va lap at
n gian
Kha nang ieu
khien tac vu
phc tap
Khong Co Co Co
De thay oi
ieu khien
Rat kho Kho Kho n gian
Cong tac bao
tr
Kem-co rat
nhieu cong tac
Kem- neu IC
c han
Kem- co rat
nhieu mach
ien t
chuyen
dung
Tot- cac
module
c tieu
chuan hoa


1.3. Mot vai u iem cua PLC trong t ong:
u iem :
- Thi gian lap at cong trnh ngan gon.
- De dang thay oi ma khong ton that tai chnh.
- Co the tnh toan c chnh xac gia thanh.
- Can t thi gian huan luyen.
- De dang thay oi thiet ke nh phan mem.
- ng dung trong pham vi rong.
- De bao tr, cac ch th ra vao giup x ly s co de hn va
nhanh hn.
- o tin cay cao.
- Chuan hoa c phan cng ieu khien.
- Thch ng trong moi trng khac nghiet: nhiet o, o am,
ien ap dao ong, tieng on


.
1.3.1. ieu khien giam sat:
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 9 & SVTH :NGUYEN MINH TAM
- Thay cho ieu khien Relay.
- Thi gian em.
- Thay cho cac panels ieu khien mach in.
- ieu khien t ong va ban t ong bang tay,cac may va cac
qua trnh.
1.3.2 ieu khien day:
Cac phep toan so hoc.
- Cung cap thong tin.
- ieu khien lien tuc: nhiet o, ap suat...
- ieu khien P.I.D.
- ieu khien ong c chap hanh.
- ieu khien ong c bc.
1.3.3 ieu khien mem deo:
- ieu khien qua trnh va bao ong.
- Phat hien loi va ieu hanh.
- Ghep noi qua may tnh.
- Ghep noi vi may in.
- Mang t ong hoa x nghiep.
- Mang cuc bo.
- Mang m rong.
1.4. Cau truc cua mot PLC :
- Mot he thong lap trnh c ban phai gom co hai phan: khoi x ly
trung tam (CPU: Central Processing Unit) va he thong giao tiep vao/ra (I/O)









Hnh 1.4.a-S o khoi cua he thong ieu khien lap trnh
CENTRAL
PROCESSING
UNIT
IN
PUT
OUT
PUT
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 10 & SVTH :NGUYEN MINH TAM
- Khoi ieu khien trung tam (CPU) gom 3 phan: bo x ly, he thong
bo nh va he thong nguon cung cap








Hnh 1.4.b-S o khoi tong quat cua CPU

2. Gii thieu PLC SIEMEN
2.1. Cau truc , chc nang
1- Cac khoi cua S7-300
- Khoi tn hieu (SM)
+ Khoi ngo vao digital: 24V DC, 120/230V AC
+ Khoi ngo ra digital: 24V DC, ngat t
+ Khoi ngo vao analog: Ap, dong, ien tr, cap nhiet
+ Khoi ngo vao digital: 24V DC, Ap, dong
- Khoi giao tiep (IM): Khoi IM360/IM365 va IM365 dung e noi
nhieu cau hnh. Chung ieu khien thanh ghi cua he thong.
- Khoi gia lap (DM): Khoi DM 370 d phong cac khoi tn hieu cha
c ch nh. Chang han nh danh cho cho cac khoi trong tng lai se lap at.
- Khoi chc nang (FM): The hien nhng chc nang ac biet sau
+ em
+ nh v
+ ieu khien hoi tiep
- X ly lien lac (CP): Cung cap nhng tien nghi lien lac sau
+ Noi iem iem
+ Mang PROFIBUS
+ Ethernet cong nghiep
- Phu tung: Cac thanh noi va bo phan noi pha trc mat
2.2- Cac thanh phan c ban cua S7-300
- Dan nhap: Bang ke cac thiet b cau hnh S7-300

Bo phan Nhiem vu
Ranh trt La gia cua S7-300
Processcor Memory
Power
Supply
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 11 & SVTH :NGUYEN MINH TAM
Nguon cung cap (PS) Bien oi ap khu vc (120/230V) ra 24V DC la ap
lam viec cua S7-300
Vi x ly (CPU) Thc hien chng trnh cua ngi dung phu kien:
khoi nh, pin lu tr
Khoi giao tiep (IM) Ket noi cac thanh d lieu gia cac gia (day)
Khoi tn hieu (SM)
(Digital/Analog)
Lam thch nghi vi nhieu mc x ly cua S7-300.
Phu kien: noi thanh ghi, noi pha trc
Khoi chc nang (FM) Thc hien nhiem vu nh v, ieu khien hoi tiep
v.v
X ly truyen thong
(CP)
e noi cac bo phan PLC vi nhau. Phu kien: cap,
phan mem, khoi giao tiep

- Ray: Nguon cung cap, CPU, IM, va 8 loai khoi khac c gan
chat vao thanh ray.
- Nguon:
+ Nguon cung cap co nhieu kieu: 2A, 5A, en 10A ap 24V.
Nguon ap cung cap la lpai cach ly, co bao ve ngan mach, ap on nh khi khong
tai. Co LED bao trang thai h hong. en LED nhap nhay khi ngan mach.
+ Ban lu y nut oi ien ap s cap 120V va 230V.
- Khoi vi x ly: CPU co nhng thanh phan sau ay mat trc:
+ Bao trang thai va bao loi
+ Cong tac chuyen oi cach van hanh vi 4 chon la
+ Moi noi nguon cung cap 24V
+ Phan giao tiep nhieu iem MPI e noi vi cac thiet b lap
trnh hay PLC khac
+ Hoc cha pin (khong co oi vi CPU312/FM)
+ Hoc cha khoi nh (khong co oi vi CPU312/FM, 314/FM)
- Khoi giao tiep: Co the sap xep cau hnh theo nhieu kieu.
- Khoi tn hieu: Nhng khoi nay c chon tuy theo day ien ap s
dung va ien ap ngo ra. Co bo noi bus ieu khien cho moi khoi, va cac vong noi
cac bus d lieu pha sau. Tn hieu x ly bo noi pha trc.
- Noi lien ket: Ban can co cap e noi CPU trc tiep vi may lap
trnh.
- Cap: Cap PROFIBUS va cap noi can co e noi nhieu PLC vi
nhau.
- FM: Nhng khoi chc nang thay the cac khoi IP.
- CP: Bo x ly truyen thong danh cho he thong bus d lieu cua
PROFIBUS.
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 12 & SVTH :NGUYEN MINH TAM
2.3- Kha nang m rong cua S7-300
- o m rong toi a: cho phep m rong en 32 khoi, nhieu nhat la
8 khoi tren moi gia (chong). Khong co qui luat ve so ranh ang ky cho cac khoi
tn hieu, cac khoi chc nang, va cac bo x ly truyen thong. Ngha la chung co
the chiem bat ky ranh.
- Khoi giao tiep (IM):
+ Khoi giao tiep (IM 360/361) c dung e hoi tiep thanh ghi
d lieu gia. Bo IMS la khoi gi, va bo IMR la khoi nhan. Cac khoi giao tiep
phai dung ung ranh ch nh.
+ Neu can, nguon cung cap phai gan them gia m rong.
+ Co loai khoi giao tiep ten IM 365 la dang tiet kiem dung cho
cau hnh kieu xep 2 khoi. (Khong dung cho nguon them; khong noi CP).
- Phan oan noi bo:
+ Co vai khoi chc nang, chang han khoi FM NC, co the phai
ch nh cong I/O cho chung. Khoi FM co vung I/O rieng, va khoi nay co the
truy cap vung I/O nhanh chong. Vung I/O nay la phan oan noi bo.
+ Mot phan oan noi bo co the cau hnh cho tng ranh, CPU
khong the truy cap I/O.
- So ranh
+ Ranh 1 en 3:ch nh thng trc
Ranh 1: PS (nguon cung cap), neu co
Ranh 2: CPU (vi x ly), neu co
Ranh 3: IM (khoi giao tiep), neu co
+ Ranh 4 en 11 (t do ch nh): SM, FM, CP co the cam va o
bat c ranh nao
- Khoang cach: cac loai cap vi o dai sau c dung cho cac
kieu sap xep cau hnh
+ Cau hnh 2 chong vi IM 365 toi a 1m
+ Cau hnh nhieu chong vi IM 360/361 toi a 10m.
2.4. Cau truc phan cng
Cac thanh phan trong cau truc cua S7-300
1. Gia chuan DIN: Nguon cung cap CPU, IM va nhieu nhat
la 8 module co kha nang lap at tren mot gia.
2. Nguon cung cap: co kha nang vi dong ra 2A, 5A, 10A va
ien ap ra 24V DC. ien a p ra phai cach biet, bao ve khi ngan mach va on nh
nh khi lam viec khong tai. Mot en bao nguon lam viec che o bnh thng
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 13 & SVTH :NGUYEN MINH TAM
va en nhap nhay khi qua tai. Co the chon cong tac, chon li ien cung cap
120V hay 230V AC.
3. Bo x ly trung tam: CPU c lap rap vi cac phan t at
mat trc cua thiet b sau ay:
- en bao trang thai va bao loi.
- Cong tac chon che o lam viec 4 v tr.
- Cho noi cho nguon 24V.
- Cong MPI cho thiet b lap trnh hoac cho ghep noi vi mot
PLC khac.
- Ngan ng pin (khong co oi vi CPU 312).
- Ranh at mot module (khong co oi vi CPU 312).
4. Module giao dien: tao kha nang ghep noi nhieu cau hnh.
5. Module tn hieu: c la chon theo mien gii han cua tn
hieu vao va ra. Moi module co them mot bus noi e tao ra kha nang m rong
tiep cac tn hieu o c noi bang au day cua bo noi.
6. Cable noi (Cap): au day trc tiep vi thiet b lap trnh can co
mot cable danh cho may lap trnh PG... Neu noi mot vai PLC vi nhau trong
mot mang can phai co cable profibus va bo noi cable.
7. FM: module chc nang thay cho cho module IP.
8. CP: Bo x ly truyen thong danh cho he profibus.
2.5. Modul m rong: c chia thanh 5 loai
1. PS (power supply): module nguon nuoi. Co 3 loai 2A, 5A, 10A
2. SM (signal module): module m rong cong tn hieu vao ra. Bao
gom:
- DI (digital input): module m rong cac cong vao so. So cac
cong vao so co the la 8, 16 hoac 32 tuy thuoc vao tng loai module.
- DO (digital output): module m rong cac cong ra so. So cac
cong ra so co the la 8, 16 hoac 32 tuy thuoc vao tng loai module.
- DI/DO: module m rong cac cong vao/ra so. So cac cong
vao/ra so co the la 8, 16 hoac 32 tuy thuoc vao tng loai module.
- AI (analog input): module m rong cac cong vao tng t.
Chung chnh la bo chuyen oi so 12 bits (AD) tc la moi tn hieu tng t c
chuyen thanh mot tn hieu so (nguyen) co o dai 12 bits. So cac cong vao tng
t co the la 2/4 hoac 8 tuy tng loai module.
- AO (analog output): module m rong cac cong ra tng t.
Chung chnh la bo chuyen oi so 12 bits (AD) tc la moi tn hieu tng t c
chuyen thanh mot tn hieu so (nguyen) co o dai 12 bits. So cac cong ratng t
co the la 2/4 hoac 8 tuy tng loai module.
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 14 & SVTH :NGUYEN MINH TAM
- AI/AO: module m rong cac cong vao/ra tng t. So cac cong
tng t co the la 4 vao/ 4 ra hoac 4 vao/2 ra tuy loai module.
3. IM (interface module): module ghep noi. ay la loai module
chuyen dung co nhiem vu noi tng nhom cac module m rong lai vi nhau
thanh mot khoi va c quan ly chung bi mot module CPU. Thong thng cac
module m rong c ga lien vi nhau tren mot thanh goi la rack. Tren moi
rack ch co the ga c nhieu nhat 8 module m rong (khong ke module CPU,
module nguon nuoi). Mot module CPU S7-300 co the lam viec trc tiep c
vi nhieu nhat 8 rack va cac rack nay phai c noi vi nhau bang module IM.
4. FM (function module): module chc nang ieu khien rieng. V
du module ieu khien ong c bc, ong c serco...
5. CP (communication module): module phuc vu truyen thong
trong mang gia cac PLC vi nhau hoac gia PLC vi may tnh.

CHNG 3 : TAP LENH S7-300
Tham khao phu luc












CHNG 4. CHNG TRNH FCPA

4.1 Chuan b mot Project cho viec khai bao bo ieu khien m bang FCPA

Chng trnh FCPA (Fuzzy Control Parameter Assinment) la phan mem ho tr
viec tao lap bo ieu khien m cho PLC Simatic S7-300 theo tng bc nh a
trnh bay
Trc het ta phai cai at FCPA tren may tnh ca nhan.Viec cai at thanh cong
FCPA oi hoi :
- co t nhat 1Mbytes con trong trong o cng .
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 15 & SVTH :NGUYEN MINH TAM
- Chay di he ieu hanh Window 95/98 hoac NT.

Toan bo chng trnh goc cua FCPA gom 2 phan Fuzzy/Tool va Fuzzy/FB vi
dung lng tong cong 2,27MB.e cai dat ,ta goi tep Setup .exe cua
Fuzzy/Tool va cua Fuzzy/FB t Window va thc hien nhng ch dan hien tren

Sau khi a c cai dat, phan chnh cua FCPA se c tch hp trong Step7
di th muc S7WRFUZ, cac cong cu ho tr khac c a vao th vien cua
phan mem Step7 cung nh Project
FuzConEx. Xem hnh minh hoa

Bo ieu khien m c tong hp vi
FCPA co dang mot khoi d lieu (DB) cho
Project ng dung. Khoi DB tao bi FCPA
se c goi la khoi DB m va c s dung
cung vi FB Fuzzy Control co trong Project
FuzConEx khi cai at chng trnh
Fuzzy/FB vi ten mac nh la FB30. Bi
vay trc khi s dung FCPA e tao lap DB
m cho Project ng dung, bat buoc Project
ng dung a phai co FB Fuzzy Control.
Hnh 1: th vien cua FuzCon
V du Project ng dung cua ta co ten la FuzCon. Trc khi s dung FCPA e
tao lap khoi DB m cho Project ng dung FuzCon, ta phai sao chep FB Fuzzy
Control co ten mac nh FB30 t Project FuzConEx sang Project FuzCon. Co
the thay oi ten FB30 neu nh trong Project ng dung cua ta a co mot FB trung
ten.

uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 16 & SVTH :NGUYEN MINH TAM
Hnh 2 : copy FB30 t v du FuzConEx sang Project hien hanh
4.2 Tao DB m
Sau khi a chuan b mot Projct ng dung cho bo ieu khien m (Project co
cha FB Fuzzy Control), ta co the bat au s dung FCPA e tao lap DB m cho
bo ieu khien m va khoi DB m nay phai nam trong cung mot th muc vi FB
Fuzzy Control cua Project ng dung . e vao FCPA ta thc hien lenh goi t
Window theo th t.
Start simatic step7 Fuzzy Control Parameter Assignment
khi o tren man hnh se xuat
hien ca so
Do khoi DB m phai
nam trong mot Poject nao o
nen khi kch vao mot trong hai
bieu tng, FCPA se yeu cau ta
cho biet ten Project cha khoi
DB m o. Chang han khi kch
vao bieu tng tao DB m mi
va khoi DB m c tao ra nay
se phai nam trong Project co
ten FuzCon th ta phai cho FCPA Hnh 3: tap m s dung trong chng trnh
biet ten se c at cho khoi DB m,(vd DB2) va ten cua Project la FuzCon. Ca
so man hnh khai bao cac d lieu o co dang nh sau (Hnh 3)
Bien ngon
ng au
vao
Bien ngon
ng au ra
Luat
hp
thanh
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 17 & SVTH :NGUYEN MINH TAM
Sau khi a cho ay u ten Project, ten khoi DB m, ta an phm OK.Chng trnh
FCPA se kiem tra lai trong Project FuzCon thc s a co khoi ham Fuzzy
Control hay cha bang thong bao liet ke tat ca cac khoi ham a co trong Project
ng dung. Ta phai chon trongbang danh muc c liet ke ra o khoi ham Fuzzy
Control a c lay t Project FuzConEx sang.
An phm OK e xac nhan va ta bat au cong viec tong hp bo ieu khien
m vi phan mem FCPA.
Trong luan van, tap m c xay dng vi hai au vao ET, DET va ba
au ra Kr, Kp va An_pha. ET la tn hieu sai lech t bo ieu khien va tr at,
DET la ao ham sai lech. Kr, Kp, An-pha la cac tham so tnh toan c theo
phng phap Zhao Tomizuka va Isaka (xem them phan ly thuyet ieu khien
m)

4.3.Khai bao so bien ngon ng vao ra
Neu tao mot DB m mi th sau khi an phm
OK xac nhan khoi FB Fuzzy Control, chng
trnh FCPA se hoi so cac bien ngon ng vao/ra
cua bo ieu khien m bang hop hoi thoai
Viet ten bo ieu khien m (neu muon)
va so cac bien ngon ng vao ra vao nhng o
tng ng. Han che cua FCPA la:
-Ch tao lap c nhng bo ieu khien
m vi toi a 8 bien vao. Hnh 4 : khai bao bien ngon ng
vao/ra
-Ch tao lap c bo ieu khien vi toi a 4 bien ra.
An phm OK e xac nhan cac gia tr va cho. Nhng bien ngon ng au vao
se co ten mac nh Input01, Input02,va Output01, Output02lan lt la ten
mac nh cua bien ngon ng au ra.
Sau khi an OK, tren man hnh se xuat hien ca so soan thao tiep gia tr ngon
ng cua tng bien vao/ra cung nh luat hp thanh cua bo ieu khien m

4.4. Soan thao gia tr cho tng bien (ngon ng ) au vao
Cac gia tr cua moi bien ngon ng au vao c goi la bien ngon ng. V
ban chat cua gia tr ngon ng la tap m, nen e soan thao gia tr ngon ng cho
mot bien ngon ng ta can phai:
1. Khai bao so cac gia tr ngon ng (tap m) cua bien.
2. Soan thao tap nen cung nh ham thuoc cho tng gia tr ngon ng.
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 18 & SVTH :NGUYEN MINH TAM
e vao che o soan thao gia tr ngon ng (tap m) cho mot bien au vao nao
o ta nhay kep phm chuot trai tai bieu tng cua bien o. V du e soan thao
gia tr cho bien vao Input01, ta nhay kep vao bieu tng cua(a uc anh dau
tren man hnh ).Khi o ca so soan thao hien ra:
Khai bao so cac gia tr ngon ng (tap m): e khai bao so cac tap m cho bien
Input01, ta ch can kch chuot vao phm Insert roi viet so cac tap m can co vao
o tng ng trong ca so hien ra (toi a la 7):
Tiep theo ta an phm OK. So cac tap m toi a ma FCPA cho phep khai bao la
7. Cac tap m c khai bao se mac nh:
-Co ten lan lt la n-big, n-small, zero, p-small, p-big.
-Co ham thuoc hnh tam giac c chia eu tren tap nen.
Sau khi an phm OK, FCPA se in ra man hnh ca so soan thao ham thuoc cho
moi tap m nh sau:
* Cu the trong luan van :
a. Ham thuoc cho bien ET :
b. Ham thuoc cho bien DET :
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 19 & SVTH :NGUYEN MINH TAM













c. Ham thuoc cho bien Kr va Kp :
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 20 & SVTH :NGUYEN MINH TAM


d. Ham thuoc cho bien An_pha :





uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 21 & SVTH :NGUYEN MINH TAM
Sa oi ham thuoc: Muon sa oi ham thuoc mac nh cho tap m nao, ta kch
hoat tap m o bang cach ghi trc tiep ten tap vao o cha ten tap m hoac an
phm va chon ten tap m trong bang danh muc hien ra. Ham thuoc cua tap m
c chon se chuyen sang mau o bao trang thai tch cc cua no.
Viec sa oi ham thuoc ong ngha vi viec oi dang (Singleton, tam giac hay
hnh thang) va mien xac nh. Co 2 cach sa nh sau:
1. -Cach th nhat: Chon nh cua ham thuoc can sa bang cach a
chuot vao nh o va an phm chuot trai FCPA se bao nh a c
tch cc bang mot vanh khuyen nho quanh nh o, v du nh hnh
di th nh C la nh a c tch cc. Gi nguyen phm chuot roi
keo nh o sang phai hoac sang trai e thay oi toa o cua nh.
2. -Cach th hai: Sa trc tiep bang cach ghi toa o mi vao cac o
trong ca so Point.
Nh vay muon co ham thuoc hnh tam giac, ta cho nh B trung vi nh C (hai
nh co cung toa o). e co dang singleton ta cho A trung vi D, B trung vi C.
Sau khi a soan thao hay sa oi xong tat ca cac gia tr cua mot bien vao, ta
an phm OK e ket thuc, FCPA se quay lai man hnh ban au.
4.5. Soan thao gia tr cho tng bien (ngon ng) au ra
Tng t nh a khai bao hay sa oi cho gia tr bien vao, viec khai bao
cac gia tr (tap m) cho bien ra cung c bat au bang cach nhay kep phm
chuot trai tai bieu tng cua bien au ra. Muon soan thao hay sa oi gia tr
ngon ng(tap m) cho mot bien au ra nao o ta nhay kep phm chuot trai tai
bieu tng cua phm o.
V du e soan thao gia tr cho bien ra Output01, ta nhay kep vao bieu tng
cua no. Khi o ca so soan thao se hien ra. Tiep tuc ta kch chuot vao phm
Insert e khai bao so cac tap m cho bien Output01.
Chu y la FCPA ch cho phep khai bao toi a 9 gia tr cho moi bien ra.
Sau khi khai bao xong so cac gia tr (tap m )cho bien ra Output01 ta nhan
phm OK vao man hnh soan thao. Khac vi bien ngon ng au vao, gia tr(tap
m) cua cac ra ch co duy nhat mot dang singleton.
Muon sa oi gia tr ngon ng nao ta tch cc no bang cach chon ten tap m
cua gia tr o trong bang danh muc hien ra khi an phm . FCPA se bao trang
thai tch cc cau ham thuoc cua tap m c chon bang cach chuyen no sang
mau o va them mot hnh khuyen chnh gia.
e sa oi ham thuoc dang singleton n gian ta ch can sa oi toa o cua
no bang cach a con tro vao hnh khuyen, gi phm chuot trai roi keo sang
phai/trai, hoac trc tiep ghi toa o mi vao o Point cua ca so man hnh soan
thao.
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 22 & SVTH :NGUYEN MINH TAM
Luat hp thanh cua cac bien ngon ng Kr, Kp,
An_pha c xay dng theo phng phap
Zhao Tomizuka va Isaka
4.6.Soan thao luat hp thanh :
Sau khi khai bao xong bien ngon ng vao/ra va cac gia tr(tap m) cho chung
chang han nh ta a khai bao m bien vao Input, ,Inputm v cac gia tr A
m

va s bien ra Output 1=B
n1
,, output s vi cac gia tr B
i1
,, B
is
bc tiep theo la
ta xay dng luat hp thanh. e vao che o xay dng luat hp thanh co cau truc
.
R
1
: Neu Input 1=A
11
va va Input 1= A
1m
th Output 1= B
11
vava
Outputs =B
1s

R
2
: Neu Input 1=A
21
va va Inputm =A
2m
th Output 1= B
21
vava
Outputs=B
2s
:
R
n
: Neu Input 1=A
n1
vava Inputm=A
nm
th Output 1= B
n1
vava
Outputs=B
ns


ta nhay kep phm trai cua chuot tai o if then:
e soan thao tng menh e hp thanh. An phm insert e chen them se la mot
cot gom cac o trong. So cac o trong nay c qui nh bi so cac bien ngon ng
vao ra ma ta a khai bao t trc. Moi o trong ng vi mot bien ngon ng. Tiep
theo, neu ta nhay chuot tai o trong cua bien ngon ng nao, tren man hnh se
hien ra bang cac gia tr(tap m) cua bien ngon ng o e ta chon. V du man
hnh soan pha tren, menh e hp thanh th nhat ma ta va soan thao bang cach
chon gia tr cho no t bang cac gia tr chnh la:
Neu Intput01=n
-
big va Intput02=n
-
big th Output01=p_big.
Sau khi khai bao xong ay u cac menh e hp thanh, man hnh soan thao
luat hp thanh se co dang nh sau:

uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 23 & SVTH :NGUYEN MINH TAM
trong o, do b khong che ve kch thc ca so man hnh, so cac menh e hp
thanh nhieu nhat co the c hien th la 9. tuy nhien ta co the xem cac menh e
hp thanh khac bang cach dch chuyen phm hien th nh chuot.
4.7. Chon ong c suy dien
FCPA ch cung cap mot ong c suy dien la max-MIN nen ta khong co kha
nang chon mot ong c suy dien khac.
4.8. Chon phng phap giai m
FCPA cung ch cung cap mot phng phap giai m duy nhat la phng phap
iem trong tam. Bi vay trenman hnh soan thao bo ieu khien cua FCPA
khong co phm la chon phng phap giai m.
4.9. Quan sat quan he vao ra cua bo ieu khien m
Cung vi viec khai bao xong luat hp thanh ta a ket thuc qua trnh soan thao
mot bo ieu khien m. An phm OK e ket thuc qua trnh soan thao va tr ve
ca so man hnh chnh cua FCPA. V du sau khi khai bao mot bo ieu khien m
co 3 au vao, 2 au ra vi luat hp thanh gom 13 menh e hp va an phm OK,
FCPA se quay tr ve man hnh chnh.
* Quan sat mot cach trc quan quan he vao ra cua bo ieu khien m va soan
thao ta chon Debug3D Graphic Display, khi o tren man hnh xuat hien o th
mo ta quan he vao/ra cua bo ieu khien m nh sau:
a. Kr :
b. Kp :
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 24 & SVTH :NGUYEN MINH TAM

















uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 25 & SVTH :NGUYEN MINH TAM
c. An_pha :

Ngoai ra, ta con co the s dung chng trnh FCPA e mo phong tn hieu vao ra
va cac moi quan he cua bo ieu khien m (hnh)
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 26 & SVTH :NGUYEN MINH TAM
Bang cach thiet lap gia tr cho cac tap m ta co the quan sat trang thai va gia tr
hien thi cua Kr, Kp, An_pha (hnh )

S dung DB m vi FB30(fuzzy control)
- Cac tham bien hnh thc cua F B30
Bo ieu khien m c soan thao xong can phai c cat gi vao Project
bang lenh filesave. No se c lu tr vao Project di dang mot khoi DB
ma ta a at ten. Khoi d lieu m nay c s dung cu ng vi khoi ham FB30
a c lay t Project FuzConEx trong th vien cua Simatic Manager khi cai
at chng trnh Fuzzy/FB. Bi vay khi s dung khoi d lieu m ta phai ket thuc
FCPA bang lenh File Exit va quay tr lai Simatic Manager e viet lenh s
dung theo cau truc:
Cu phap CALL FB30 , DBx
Trong o DBx la ten khoi d lieu m. Khoi FB30 (ten hnh thc Fuzzy Control)
co 8 bien au vao INPUT 1 INPUT 8 kieu so thc, 5 bien ra gom OUTPUT
1OUTPUT 4 cung kieu so thc va INFO kieu byte. Khi thc hien lenh goi khoi
FB30 nh tren, toan bo 8 bien hnh thc au vao va 5 bien au ra hien tren man
hnh ch ta truyen tham tr:
CALL FB 30 , DBx
INPUT 1 :=
INPUT 2 :=
INPUT 3 :=
INPUT 4 :=
INPUT 5 :=
INPUT 6 :=
INPUT 7 :=
INPUT 8 :=
OUTPUT 1:=
OUTPUT 2:=
OUTPUT 3:=
OUTPUT 4:=
INFO :=
V du, xet lai bai toan ieu khien cau truc a c e cap ti muc 5.1.1. Goi
ten koi d lieu m vi hai bien vao o ,o mot bien ra v va luat hp thanh nh a
mo ta c soan thaobang FCPA la DB2 th khi s dung ta dung lenh:
CALL FB 30 , DBx
INPUT 1 := MD0 // Gia tr tn hieu o goc
INPUT 2 := MD4 // Gia tr tn hieu o toc o goc
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 27 & SVTH :NGUYEN MINH TAM
INPUT 3 := // Khong s dung
INPUT 4 := // _
INPUT 5 := // _
INPUT 6 := // _
INPUT 8 := // _
INPUT 7 := // _
OUTPUT 1:= MD8 // Gia tr hieu ieu khien ong c
OUTPUT 2:= // Khong s dung
OUTPUT 3:= // _
OUTPUT 4:= // _
INFO := // Thanh ghi bao trang thai
Neu nh trc o gia tr tn hieu o goc o a c ghi vao trong o nh MD0, gia
tr o toc o thay oi goc o c ghi vao MD4. Tn hieu ieu khien ong c se
c FB30 truyen vao o nh MD8.
- Thanh ghi bao trang thai lam viec cua FB30
Gia tr tra ve co ten INFO vi kch thc mot byte la ma bao trang thai thc
hien cong viec cua khoi ham FB30.No c quy nh nh sau:
B#16#00 Khoi ham FB30 a c thc hien bnh thng.
B#16#01 Khoi ham FB30 khong c thc hien. Gia tr tra ve au ra
van la nhng gia tr cu.
B#16#11 Khong tm thay khoi DB m a ch th. Co the khoi DB m
nay a khong c o vao CPU.
B#16#21 Khoi d lieu DB m c goi theo ham FB30 khong cung kch
thc ve bien vao ra. Chang han nh khoi DB m a c soan thao cho 4 bien
vao va 2 bien ra, nhng khi goi cung vi FB30 lai khai bao 5 bien vao va 2 bien
ra.
Lien quan ti ma B#16#01 bao FB30 khong lam viec la noi dung t kep co
ten START_STOP trong DB m a c soan thao bang FCPA. T kep nay co
tac dungnh mot bien ieu kien e thc hien lenh CALL FB30, DBx:
-Neu START_STOP = W#16#0000 lenh se c thc hien.
-Ngc lai khi START_STOP = W#16#0000 th lenh se khong c
thc hien.



CHNG 5 : MODULE MEM PID

uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 28 & SVTH :NGUYEN MINH TAM

Nhieu nam trc ay, bo ieu khien PID c coi la bo ieu khien ly tng oi
vi cac oi tng co mo hnh lien tuc. Bo PID thc s la bo ieu khien ong ma
viec thay oi cac tham so cua bo ieu khien co kha nang lam thay oi ac tnh
ong va tnh cua he thong ieu khien t ong.

Bo ieu khien PID thc chat la thiet b ieu khien thc hien luat ieu khien
c mo ta bang phng trnh sau :
) ( ) (
1
) ( ) (
'
0
t e T d e
T
t e k t u
D
l
p
+ + =
}
t t








Trong o ) (t e la tn hieu vao, ) (t u la tn hieu ra cua bo ieu khien,
p
k la he so
khuyech ai cua luat ieu khien ty le,
l
T hang so thi gian tch phan va
D
T la
hang so thi gian vi phan.

oi vi he thong co o d tr on nh ln, neu muon tang o chnh xac ieu
khien ta ch tang he so khuech ai cua luat ieu khien ty le

He thong se khong co sai lech tnh khi tn hieu vao la ham bac thang n v va
hang so thi gian tch phan
l
T c chon khac khong. Luat ieu khien tch phan
con goi la ieu khien cham sau v sai so ieu khien c tch luy cho en khi u
ln th quyet nh ieu khien mi c a ra.

Tang kha nang tac ong nhanh cua he, giam bt thi gian qua ieu chnh bang
cach thay oi hang so thi gian cua luat ieu khien vi phan. Luat ieu khien vi
phan con c goi la ieu khien vt trc.

Luat ieu khien trong phng trnh (5.1) thng c bieu hien di dang ham
truyen at nh sau :
) 1 )( 1 (
1
1 ) (
2 1
p T p T
p
k
p T
p T
k p W
D D
i
D
l
p DK
+ + =
|
|
.
|

\
|
+ + = (5.2)
) (t u
) (t e

PID
oi tng
ieu khien
Hnh 1 : ieu khien vi bo ieu khien PID
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 29 & SVTH :NGUYEN MINH TAM

T nam 1975 tr lai ay, do s phat trien khong ngng cua ky thuat ien t va
ky thuat vi x ly, cac PID so ngay cang c s dung rong rai trong cong
nghiep, PID so c mo ta qua phng trnh vi sai phan sau :

2 2 1 1 1
+ + + =
k k k k k
e r e r e r u u
o


Hoac bang ham truyen at gian oan

1
2
2
1
1 0
1
) (


+
+ +
=
z
z r z r r
z W

Vi bo ieu khien PID, ngi s dung de dang tch hp cac luat ieu khien
khac nh luat ieu khien ty le (luat P), ieu khien ty le tch phan (luat PI), luat
ieu khien ty levi phan (luat PD). Bo ieu khien PID luon la mot phan t
khong the thay the c trong cac qua trnh t ong khong che nhiet o, mc,
toc o ..Ngay ca khi ly thuyet ieu khien t ong hien ai c ng dung vao
viec thiet ke, cac bo ieu khien nh bo ieu khien m, bo ieu khien n ron ,
bo ieu khien ben vng th viec ket hp gia cac phng phap ieu khien hien
ai va bo ieu khien PID kinh ien van em lai nhng hieu qua bat ng ma
khong bo ieu khien nao co kha nang em lai.

Mot trong nhng ng dung cua bo ieu khien PID trong ieu khien thch nghi va
ieu khien m la thng xuyen phai chnh lai cac tham so cua no cho phu hp
vi s thay oi khong biet trc cua oi tng cung nh cua moi trng nham
am bao c cac ch tieu chat lng a e ra cho he thong. Neu nh ta a t
ong hoa c cong viec thay oi tham so nay th bo ieu khien PID o se la
mot bo ieu khien ben vng vi moi tac ong cua nhieu noi cung nh nhieu
ngoai len he thong [3]. ay chnh la phng phap ieu khien c ng dung
trong luan van : ieu khien m lai

Phan ly thuyet ve PID so c trnh bay kha chi tiet trong ly thuyet ve PID
phan sau, nen trong phan nay ch khai quat mot so net chnh

Cung chnh v vay ma cac thiet b ieu khien qua trnh nh DCS Disbuted
Control system, PLC Progerammable Logic Control, PCS Process Control
system cua cac hang san xuat thiet b t ong tren the gii khong the thieu c
module ieu khien PID hoac cng hoac mem.
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 30 & SVTH :NGUYEN MINH TAM

e s dung tot cac module nay, ngi thiet ke phai nam c cac phng pha p
chon luat ieu khien va cac tham so cho bo ieu khien.

5.1 Xac nh tham so cho bo ieu khien PID

Luat ieu khien thng c chon tren c s a xac nh c mo hnh toan
hoc cua oi tng va phai phu hp vi oi tng cung nh thoa man cac yeu
cau bai toan thiet ke [7], [15].

Trong trng hp mo hnh toan hoc cua oi tng khong xac nh c co the
chon luat ieu khien va cac tham so cua bo ieu khien theo phng phap thc
nghiem. Tuy nhien, e tien hanh c phng phap thc nghiem, he thong phai
am bao thoa man them mot so ieu kien.

5.1.1 Phng phap Reinich

Phng phap thiet ke thuat ieu khien cua Reinisch da tren c s mo hnh toan
hoc cua oi tng a xac nh mot cach tng minh. Mo hnh ong hoc cua oi
tng c a ve hai dang c ban sau:

1) Dang khau nguyen ham vi mo hnh ac trng :

) ... 1 (
) 1 (
) 1 (
) 1 (
) (
1
1
n
n
p T
dt
n
i
i
p T
dt
p a p a p
e bp
k
pT
e bp
k p W
t t
+ + +
+
=
+
+
=

=

[
(5.5)

Vi
i
T la cac so thc thoa man 0 ...
2 1
> > > >
n
T T T va hang so thi gian tre
t
T , la
mot so thc hien hu han khong am. Khong mat tnh tong quat neu ta gia
thiet
1
T , hang so thi gian ln nhat va
2
T la hang so thi gian ln th hai.

Neu
3
0 T h s s , th bo ieu khien thch hp se la P hoac PI. Trong trng hp
4
0 T h s s , ngi ta lai thng hay chon bo ieu khien PD hoac PID.

Dang khau ong hoc co thanh phan tch phan

uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 31 & SVTH :NGUYEN MINH TAM
) ... 1 (
) 1 (
) 1 (
) 1 (
) (
1
1
n
n
p T
idt
n
i
i
p T
idt
p a p a p
e bp
k
pT
e bp
k p W
t t
+ + +
+
=
+
+
=

=

[
(5.6)
vi nhng ieu kien han che giong nh cua (5.5)

e thuan li cho viec thiet ke he thong vi luat ieu khien I cho oi tng dang
1 va khong co luat ieu khien I cho oi tng dang 2, Reinisch a a ham
truyen phai co cua he h ve dang gan ung nh sau :
) 2
2 1
0
1 (
1
) (
p c p c pT
p W
+ +
= (5.7)

vi hai trng hp phan biet 0
2
= c va 0
2
= c .Tham so T c tnh bi :


i dt
k k cho oi tng dang 1

i idt
k cho oi tng dang 2

va
1
c c xac nh t cac tham so cua oi tng sau :
1
c =
1
1
T b T
n
i
i
+

=
=
t
T b a +
1


Tham so Ki cua bo ieu khien PID se c xac nh t T theo (5,8). Cac tham
so
2 1
,
v v
T T , con lai th c tnh n gian la
1 1
T T
v
= va
2 2
T T
v
= .

ieu khien oi tng dang 1

e chon T cho oi tng dang 1 ta i t o qua ieu chnh cc ai mong muon
max
o thong qua he so chnh nh o =](
max
o ) theo cong thc :

o
o
1
1 1
1
C K
K C T
dt
= = (5.10)

1) Cho trng hp (5.7) co c
2
=0, he so chnh nh o c tnh theo


max
2
max
2
ln
ln 4
o t
o
o
+
= (5.11)

uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 32 & SVTH :NGUYEN MINH TAM
2) Cho trng hp (5.7) co c
2=
0 th o= a+ c vi a va c xac nh t
max
o theo
bang ben, hang so co the c xac nh theo cac cach :
a)
2
1
2
c
c
= o neu ieu khien c s dung la 1 (5.12 )
b)
2
1
2
c
c
= o neu ieu khien c s dung la P hoac PI (5.13)
c)
2
1
2
c
c
= o neu ieu khien c s dung la PD hoac PID (5.14)
trong o

d)
2 1 1
' '
1 1 1
'
1 1 1 1
, , T T c c T c c T b a c = = + =
(5.15)

e)
( )( )
'
1 2
'
1 1 2
'
2
2
1
1 1 2 2
,
2
c T c T c c
T
b a b T a c = + + =
(5.16)


V du 1 : Cho mot oi tng thuoc dang 1 (theo phng trnh (5.5) vi mo
hnh
( )
p p
e
p p
e
p p
p W
6 6
2
) 4 1 )( 10 1 (
1
40 4 1
1

+ +
=
+ +
=
Hay thiet ke luat ieu khien va chon tham so sao cho o qua ieu chnh
max
o khong vt qua 10%.

e ieu khien oi tng tren ta co the s dung cac bo ieu khien I, P hoac
PI.
Theo nh bang tren th yeu cau
max
o % 10 > dan en a=1,4 va c=1. Hn na
oi tng co cac tham so s T s a s a b k
dt
4 , 40 , 14 , 0 , 1
1
2
2 1
= = = = = va T=6. Bi
vay theo (5.15) va (5.16) th
. 74 , 98 , 198 , 6 , 10 , 20
2 ' '
2
2 '
2
2
2
' '
1
'
1 1
s c s c s c s c s c s c = = = = = = Suy ra co the co
nhng gia tr sau :
= 495 , 0
2
1
2
=
c
c
neu bo ieu khien c s dung la I.
= 98 , 0
2
1
2
=
c
c
neu bo ieu khien c s dung la P hoac PI.
Gia s rang ta s dung bo ieu khien I. Vay th do o c a + = =1,9 nen t
(5.10) co 03 , 0
1
= k va
2 1 p p
T T = = 0.

uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 33 & SVTH :NGUYEN MINH TAM
Neu bo ieu khien ma ta s dung lai la PI th cac tham so can xac nh cua
bo ieu khien la
1
k va
1 p
T . T 38 , 2 = + = o c a ta suy ra c
05 , 0
1
= k va 0 , 10
2 1
= = Tp s T
p


ieu khien oi tng dang 2

u iem cua phng phap Reiniseh la ngay ca trong trng hp oi tng co
thanh phan tch phan (dang 2), cac gia tr can thiet cho cong viec tnh toan
tham so bo ieu khien nh
' '
2
'
2 2
' '
1
'
1 , 1
, , , , c c c c c c cung c tnh giong nh cho oi
tng dang 1.
oi vi van e ieu khien oi tng dang 2, Reiniseh e xuat s dung bo
ieu khien P hoac PD (khong co I) va do o theo cong thc ham truyen at
(5.2) cua bo ieu khien th ch con hai tham so
p
k va
p
T phai xac nh.
Vi nhng gia tr trung gian
' '
2
'
2 2
' '
1
'
1 , 1
, , , , c c c c c c , tnh theo (5.12) : (5.16), ta co
:
a)
2
1
2
c
c
= neu bo ieu khien c s dung la P
b)
2
1
'
2
c
c
= neu bo ieu khien c s dung la PD.
T o suy ra :
1)
a c k
k
idt
p
1
1
= cho bo ieu khien P.
2)
a c k
k
idt
p
' '
1
1
= va
1
T T
p
= cho bo ieu khien PD.

Trong o o c a + = va a, c c tnh t o qua ieu chnh cc ai mong muon
max
o theo bang a cho trang 210.

V du 2 : Tm bo ieu khien cho oi tng thuoc dang 2 vi mo hnh

p
p
e
p p
p W
6
2
) 40 14 1 (
1
) (

+ +
=


e %. 10
max
s o Giong nh v du 1, cac gia tr trung gian la
2 '
2
2 '
2
2
2
' '
1
'
1 1
74 , 98 , 198 , 6 , 10 , 20 s c s c s c s c s c s c = = = = = = . Bi vay neu chon bo ieu
khien PD th
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 34 & SVTH :NGUYEN MINH TAM

45 , 3 05 , 2
2 ' '
1
' '
2
= = = o hay
c
c


va do o

, 05 , 0 =
p
k 10 =
p
T

5.1.2 Phng phap thc nghiem

Trong trng hp khong the xay dng mo hnh cho oi tng th phng phap
thiet ke thch hp la phng phap thc nghiem. Thc nghie m ch co the tien
hanh neu he thong am bao ieu kien : khi a trang thai lam viec cua he en
bien gii on nh th moi gia tr cua cac tn hieu trong he thong eu phai nam
trong gii han cho phep.



Phng phap Zlegier va Nichois
Trc khi tien hanh thc nghiem he thong phai c lap at theo s o hnh
5.1, bao gom oi tng va bo ieu khien theo luat PID. Sau khi lap at xong,
thc nghiem c tien hanh theo cac bc sau :

1) Cho he thong lam viec bien gii on nh
ieu khien oi tng theo luat P, tc la cho 0
p
T va
1
T
Tang he so khuech ai
p
k cua luat ieu khien P cho en khi he thong bien
gii on nh. Xac nh he so
pth
k va chu ky giao ong ti han dao ong


Trong nhieu trng hp , viec xac nh chu ky dao ong rieng gap kho khan va
khong am bao o chnh xac th phng phap gii thieu sau ay se khac phuc
nhc iem o.


Phng phap Jassen va Offerein

Thc nghiem theo phng phap nay c tien hanh theo cac bc sau ay :

1) Cho he thong lam viec bien gii on nh
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 35 & SVTH :NGUYEN MINH TAM

ieu khien o i tng theo luat P( 0
p
T va
1
T )
Tang he so khuech ai
p
k cua luat ieu khien P cho en khi he thong bien
gii on nh. Xac nh he so
pth
k va chu ky giao ong ti han dao ong.
2) Chon luat ieu khien va tnh toan tham so t
pth
k ,
th
T theo bang sau
Luat ieu
khien
ph
p
k
k

ph
p
T
T

th
D
T
T

Luat P 0,5
Luat PI 0,45 0,8
Luat PID 0,6 0,5 0,12


Trong nhieu trng hp, viec xac nh chu ky dao ong rieng gap kho khan va
khong am bao o chnh xac th phng phap gii thieu sau ay se khac phuc
nhc iem o.

Phng phap Jassen va Offerein
1) Cho he thong lam viec bien gii on nh
ieu khien oi tng theo luat 0 (
p
T P va )
1

T
Xac nh he so
pth
k
2) Chon tham so cho luat PI

Cho he lam viec vi luat PI va vi he so
p
k =0,45
pth
k ,
1
T tuy chon.
Giam hang so thi gian tch phan
1
T cho en khi he thong lam viec bien gii
on nh. Xac nh hang so thi gian tch phan
tth
T che o nay.
Chon
1
T = 3
lth
T


3) Chon luat ieu khien PID

Cho he thong lam viec theo luat PID vi
p
k =
pth
k ( du nho),
D
T va
1
T tuy
chon.
Tang hang so thi gian vi phan cho en khi he thong at c qua ieu chnh
cc ai ln nhat max
max
= o .Xac nh
DMAX
T
Chon
DMAX P
T T
3
1
= va
1
T = 4,5
D
T
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 36 & SVTH :NGUYEN MINH TAM
Giam
p
k cho en khi he thong at c ac tnh ong hoc mong muon.

5.2 Module mem PID
5.2.1 Nhng module PID mem co trong co trong step7

Phan mem Step7 cung cap cac module mem PID e ieu khien cac oi tng
co mo hnh lien tuc nh lo, ong c, mc au ra cua oi tng c a vao
au vao cua bo ieu khien qua cac cong vao tng t cua cac module vao tng
t cua cac Simatic S7-300/400. Tn hieu ra cua bo ieu khien co nhieu dang va
c a en cac c cau chap hanh qua cac module vao ra khac nhau nh:
- qua cac cong ra tng t cua module ra tng t (AO) hoac
- qua cac cong ra so cua module ra so (DO), hoac
- qua cac cong phat xung ra toc o cao.
Phu thuoc vao c cau chap hanh, ngi s dung co the chon c module mem
PID tng thch. Ba module PID c tch hp trong phan mem Step7 phu hp
vi ba kieu c cau chap hanh neu tren, o la:
1 ieu khien lien tuc vi module mem FB41 ( ten hnh th CONT_ C).
2 ieu khien bc vi module mem FB42 (ten hnh thc CONT _ S)
3 ieu khien kieu phat xung vi khoi ham ho tr FB43 ( ten hnh thc
CONT_S)
Moi module mem PID eu co mot khoi lng d lieu rieng (DB) e lu gi cac
d lieu phuc vu cho chng trnh tnh toan thc hien luat ieu khien. Cac khoi
ham FB cua module mem PID eu cap nhat c nhng khoi d kieu na y moi
thi iem.
Module mem FB PULSEGEN c s dung ket hp vi module mem FB
CONT _ C nham tao ra bo ieu khien co tn hieu ra dang xung toc o cao thch
ng vi nhng c cau chap hanh kieu ty le.
Mot bo ieu khien PID mem c hoan thien thong qua cac khoi ham FB nhieu
chc nang tao ra tnh linh hoat cao trong thiet ke. Ngi s dung co the chon
cac chc nang nay hoac loai bo cac chc nang khong can cho mot he thong.
Cac chc nang c ban khac nh x ly tn hieu chu ao, tn hieu qua trnh va tnh
toan cac bien khac cung vi bo ieu khien PID cung c tch hp san trong
mot module ieu khien mem.
Mot ieu can chu y la nhng module PID mem khong toan nang ti mc co the
ng dung c vao moi bai toan ieu khien. ac tnh ieu khien va toc o x ly
cua module PID mem phu thuoc vao loai CPU c chon e giai quyet bai
toan ieu khien. Do khi x ky mot mach vong ieu khien ngi ta phai thc
hien cong viec trch mau tn hieu au vao cho mach vong ieu khien o (lien
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 37 & SVTH :NGUYEN MINH TAM
quan en tn hieu bao ngat theo chu ky thi gian OB30 OB38), nen can phai
co s tng thch gia so mach vong ieu khien PID va kha nang cung nh toc
o tnh toan cua CPU. Neu bai toan ieu khien yeu cau tan suat cap nhat cang
cao th so dong ieu khien phai cang giam. Ch nhng bai toan co so vong
ieu khien t ngi ta mi co the s dung cac bo module PID mem co tan suat
truy nhap cao.
Tat ca cac module PID mem eu cung cap nhieu giai phap la chon luat ieu
khien trong khi thiet ke e o ieu khien phu hp c vi oi tng nh : luat
ieu khien ty le (luat P), luat ieu khien ty le vi phan ( luat PD), luat ieu
khien ty le tch phan ( luat PI). Chat lng cua he thong phu thuoc vao cac
tham so cua bo ieu khien do o, ieu kien bat buoc e am bao thanh cong
trong thiet ke la ngi s dung phai co mo hnh oi tng chnh xac. o cung
chnh la nhc iem c ban cua cac phng phap ieu khien kinh ien.
Cac ai lng vat ly cua oi tng va ac tnh cua bo ieu khien quyet nh
ac tnh ong cua he thong trong qua trnh ieu khien va ch b thay oi rat t so
vi thiet ke. Ch co the at c chat lng ieu khien tot neu nh ngi thiet
ke chon thuat ieu khien va thi gian trch mau phu hp vi oi tng.
Hoan toan co the thiet ke bo ieu khien (cau truc ,tham so , goi module mem
PID trong chng trnh he thong ) ma khong can lap trnh. Tuy nhien muon lam
c nh vay phai nam c phan mem Step7.

5.2.2Khai bao tham so va cac bien cua module mem PID
Ngi thiet ke co the khai bao tham so va cac bien cho bo ieu khien trong mot
d lieu a phng (instance data block ) bang cach s dung giao dien cua
module mem PID. e vao chng trnh khai bao tham so kho thc hien .
Star Simatic Step7 PID Control Paramete
Assignment
Trong hop hoi thoai au tien,ngi thiet ke co the m khoi d kieu (DB ) a
tch hp san cho FB14 :con- C, FB42 CON_S hoac m mot khoi d lieu mi
hoan toan. Rieng FB43 PULSEGEN khong the thc hien chon tham so va
bien qua giao dien, trong trng hp nay ngi thiet ke phai s dung cong cu
cua STEP7 e thiet lap tham so va khai bao bien cho bo ieu khien .
oi vi CPU 314 IFM co the thiet lap tham so va bien cho module mem SFB41
hoac SFB42 bang cach nhap trc tiep mot khoi d lieu bat ky va chon no lam
khoi lng d lieu cuc bo cho nhng module nay.
5.3 ieu khien lien tuc vi FB41 CONT C
5.3.1Gii thieu chung ve FB41
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 38 & SVTH :NGUYEN MINH TAM
S o cau truc cua module mem FB41 CONT- C c minh hoa trong hnh
5.2



FB41 CONT C c s dung e ieu khien cac qua trnh ky thuat vi cac
bien au vao va au ra tng t tren c s thiet b kha trnh Simatic. Trong khi
thiet lap tham so, co the tch cc hoac khong tch cc mot so thanh phan chc
nang cua bo ieu khien PID cho phu hp vi cac oi tng.
Co the s dung module mem PID nh mot bo ieu khien vi tn hieu chu ao
at cng (fixed setpoint) hoac thiet ke mot he thong ieu khien nhieu mach
vong theo kieu ieu khien cascade. Nhng chc nang ieu khien c thiet ke
tren c s cua thuat ieu khien PID cua bo ieu khien mau vi tn hieu tng
t.
Hnh 2 : Cau truc cua modun mem FB41 CONT_C
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 39 & SVTH :NGUYEN MINH TAM
Module mem PID bao gom tn hieu chu ao SP=INT, tn hieu ra cua cac oi
tng PV_PER, tn hieu gia e mo phong tn hieu ra cua oi tng PV_IN, cac
bien trung gian trong qua trnh thc hien luat va thuat ieu khien PID nh
PVPER-ON, P-SEL, D_SEL, Man-ON.
Tn hieu ra cua CRP-IN = PV-PER x
27648
100

Chuan hoa :Chc nang cua ham chuan hoa PV NORM tn hieu ra cua oi tng
la chuan hoa tn hieu ra cua ham CRP-IN theo cong thc :

Tn hieu ra cua PV_NORM = ( Tn hieu ra cua Crp-IN) x PV- FAC- OFF

Hai tham tr khong che day gia tr cho phep cua PV_NORM la PV_FAC va
PV_OFF. Mac nh PV_FAC cua ham PV_NORM co gia tr bang 1 va PV_ OFF
co gia tr bang 0.
Loc nhieu tac ong trong lan can iem lam viec : Tn hieu sai lech la hieu gia
tn hieu chu ao va tn hieu ra cua oi tng.No c tao ra t ngay trong FB41
va la dau vao cua khoi DEADBAND co tac dung loc nhng dao ong nho xung
quanh gia tr xac lap. Neu khong muon s dung DEADBAND hoac vi oi
tng ma co the bo qua s anh hng cua nhieu trong lan can iem lam viec ta
chon DEAD- W =0

5.3.2 Chon luat ieu khien tren Module FB41 CONT- C

Hnh 5.3 mo ta thuat PID c thiet ke theo kieu song song cua ba thuat ieu
khien n le: ty le (P), tch phan (I) va vi phan (D) theo s o cau truc trong
hnh 5.2(sau khoi DEADBAND). Chnh v cau truc song song nh vay nen ta co
the thong qua cac tham tr P_SEL, I-SEL hay D_SEL ma tch hp c cac thuat
Hnh 3 : Thuat ieu khien PID
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 40 & SVTH :NGUYEN MINH TAM
ieu khien khac nhau t bo ieu khien mau nay nh thuat ieu khien P, PI, PD,
PID.

5.3.3 at gia tr

Phan mem cho phep chon che o t ong (automatic mode ) hoac che o bang
tay. che o bang tay cac che o cua cac bien c chon bang tay. Bo tch
phan (INT) t thiet lap che o LNM-LNM-P-DISV va bo vi phan (DIF) t ong
ve 0. ieu o am bao cho viec chuyen che o t thiet lap gia tr bang tay ve
che o t ong khong gay mot bien oi nao oi vi cac bien a c thiet lap
gia tr bang tay.
Cung co the at gii han cho cac gia tr c thiet lap bang tay nh ham
LMNLIMIT. Mot bt c se co mot gia tr logic bang 1 khi bien vao co gia tr
vt qua gii han a chon. Ham LMN- NORM se chuan hoa tn hieu ra cua
ham LMNlimit theo cong thc:

LMN = (TN HIEU CUA LMNLIMIT )*LMN-FAC + LMN-OFF

Mac nh LMN_FAC co gia tr bang bang 1, con LMN_OFF co gia tr bang 0.
Cac gia tr at bang tay co the theo mot cach bieu dien rieng. Ham CRP-OUT
co chc nang bien oi t kieu bieu dien so thc dau phay ong sang kieu bieu
dien rieng theo cong thc:

LMN-PER = LMN *
100
27648


Ngoai ra nhieu co the c loc trc bang cach a quan au vao DISV.

5.3.4 Khi ong va thong bao loi

FB41 CON- C co mot chng trnh con phuc vu cho viec khi tao lai hoan
toan he thong. Chng trnh nay c c goi khi tn hieu vao COM-RST co
gia tr logic bang 1.
Trong khi khi tao, luat ieu khien tch phan c t ong thiet lap vi gia tr
khi tao I_ITVAL. Neu luat ieu khien c goi theo ngat thi gian, no se luon
luon lam viec vi gia tr nay. Tat ca au ra khac c at gia tr mac nh.
Khoi FB41 CON- C khong co kha nang t kiem tra loi ben trong cua module
mem PID. Ma bao loi RET-VAL khong c s dung.
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 41 & SVTH :NGUYEN MINH TAM


5.3.5 Tham bien hnh thc au vao

Khoi FB41 Con C co 26 tham bien hnh thc au vao nh sau:


Ten bien Kieu
d
lieu
Pham vi
gii han
Gia tr
mac nh
Mo ta chc nang
COM-RST BOOL FALSE COMPLETE RESTART
Khoi co chc nang khi tao lai he
thong hoan toan khi au vao
complete restart c thiet lap gia
tr logic TRUE.

MAN-ON BOOL TRUE MANUAL VALUE ON
khi au vao manual value on co
gia tr logic TRUE mach vong ieu
khien se b ngat, cac gia tr se c
thiet lap bang tay.
PVPER-ON BOOL FALSE PROCESS VARIABLE
PERIPHERAL ON
Khi oc bien qua trnh t cac cong
vao/ ra, au vao PV_PER phai c
noi vi cong vao /ra va au vao
process variable peripheral on co
gia tr logic TRUE.
P-SEL BOOL TRUE PROPORTIONAL ACTION ON
Hoat ong cua bo ieu khien PID
co the tch cc hoac khong tch cc
tng phan rieng trong thuat ieu
khien PID. Thuat ieu khien ty le
c kch hoat khi gia tr TRUE
c thiet lap tai cong vao
proportional action on
I-SEL BOOL TRUE INTERGER ACTION ON
Hoat ong cua bo ieu khien PID
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 42 & SVTH :NGUYEN MINH TAM
co the tch cc hoac khong tch cc
tng phan rieng trong thuat ieu
khien PID. Thuat ieu khien ty le
c kch hoat khi gia tr logic
TRUE c thiet lap tai cong vao
Proportional action on
INT-
HOLD
BOOL FALSE INTERGAR ACTION HOLD
au ra cua bo ieu khien tch phan
co the b ong lanh ( khong c
s dung) khi thiet lap gia tr logic
TRUE cho au vao intergral
action hold.
I-ITL-ON BOOL FALSE INTIALIZATION OF INTERGRAL
ACTION
au ra cua bo ieu khien tch phan
co the c noi vao cong vao
I_ITL_VAL neu nh cong vao
intialization of intergral action co
gia tr logic TRUE.
D-SEL BOOL FALSE DERIVATE ACTION ON
Hoat ong cua bo ieu khien PID
co the tch cc hoac khong tch cc
tng phan rieng trong thuat ieu
khien PID. Thuat ieu khien vi
phan c kch hoat khi gia tr
TRUE c thiet lap tai cong vao
derivate action on
CYCLE TIME >1ms T#1s SAMPLING TIME
Thi gian lay mau la khoang thi
gian khong oi gia cac lan khoi
c cap nhat.
SP-INT REAL -100.0 .
100.0%
hoac gia tr
vat ly.
0.0 INTERNAL SEPOINT
au vao internal sepoint c s
dung e thiet lap tn hieu chu ao (
tn hieu mau)
PV-IN REAL -100.0
100.0%
hoac gia tr
0.0 PROCESS VARIABLE IN
Ga tr khi tao co the at au vao
process variable in hoac t bien
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 43 & SVTH :NGUYEN MINH TAM
vat ly. qua trnh c bieu dien di dang
so thc dau phay ong.
PV-PER WOR
D
W#16 #
0000
PROCESS VARIBLE ERIPHERAL
Bien qua trnh c noi vi CPU
thong qua cong vao tng t.
MAN REAL -100.0
..100.0%
hoac gia tr
vat ly.
0.0 MANUAL VALUE
Cong vao manual value c s
dung e at gia tr bang cac ham
giao dien.
GAIN REAL 2.0 PROPORTONAL GAIN
au vao proportionall gain c s
dung e thiet lap he so ty le cho bo
ieu khien theo luat ty le.
TI TIME >CYCLE T # 20s RESET TIME
Cong vao reset time c thiet
lap hang so thi gian tch phan cho
bo ieu khien vi phan
TD TIME
>
CYCLE T # 10s DERIVATE TIME
Cong vao Derivate time s dung
e thiet lap hang so thi gian vi
phan cho bo ieu khien vi phan.
TM-LAG Time >CYCLE T # 2s TIME LAG OF DERIVATE
ACTION
Thi gian tch cc cua luat ieu
khien vi phan c chon thong qua
cong vao time lag of derivate
action.
DEADB- W REAL >0.0(%)
hoac gia tr
vat ly.
0.0 DEAD BAND WIDTH
Mot vung kem nhay c s dung
e x ly tn hieu sai lech. o rong
cua vung kem nhay c at thong
qua cong vao dead band width.
LMN-
HLM
REAL LMN_LLM
(%) hoac
gia tr vat
ly.
100.0 MANIPULATED VALUE HIGH
LIMIT.
Gia tr han che c thiet lap bang
tay qua cong vao Manipulated
value high limit.
LMN-LLN REAL -100 0.0 MANIPULATED VALUE LOW
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 44 & SVTH :NGUYEN MINH TAM
..LMN-LLN
(%) hoac
gia tr vat
ly.
LIMIT
Ga tr han che di c thiet lap
bang tay qua cong vao
manipulated value low limit.
PV-FAC REAL 1.0 PROCESS VARIBLE
FACTOR
Bien qua trnh c nhan vi vi
mot he so cho phu hp vi pham vi
quy nh cua bien nay.Gia tr bu
c chon thong qua cong vao
process variable
factor
PV-OFF REAL 1.0 PROCESS VARIBLE OFFSET
Bien qua trnh c cong vi mot
lng bu cho phu hp vi pham vi
quy nh cua bien nay. Gia tr bu
c thong qua cong vao process
variable offset
LMN-FAC REAL 1.0 MANIPULATED VALUE OFFSET
Gia tr gii han c nhan oi vi
mot he so cho phu hp vi pham vi
quy nh cua bien qua trnh.He so
nay c at qua cong vao
manipulated value offset.
LMN-OFF REAL 0.0 MANIPULATED VALUE OFFSET
Ga tr gii han c cong them mot
lng bu cho phu hp vi pham vi
quy nh cua bien qua trnh. Gia tr
bu c at qua cong vao
I-ITLVAL REAL -100.0
100.0(%)
hoac gia tr
vat ly.
0.0 INTIALIZATION VALUE OF THE
INTERGRAL ACTION.
Ga tr au ra cua bo ieu khien tch
phan co c thiet lap thong qua
cong vao of the integral action.
DISV REAL -100.0
100.0(%)
hoac gia tr
vat ly.
0.0 DISTURBANCE VARIBLE
Khi ieu khien he thong bang
phng phap feedforward th mot
gia tr bu nhieu c at thong qua
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 45 & SVTH :NGUYEN MINH TAM
cong vao disturbance variable.

5.3 Tham bien hnh thc au ra

khoi FB41 CON C co tham bien hnh thc au ra nh sau :


Ten bien Kieu d lieu Mac nh Mo ta

LMN REAL 0.0 MAINPULATED VALUE
Gia tr ra c thiet lap bang tay
thong qua cong ra manipulated
value
LMN- PER WORD W#16#00
00
MANIPULATED VALUE
PERIPHERAL
Gia tr au ra thiet lap bang tay theo
kieu bieu dien phu hp vi cac cong
vao/ ra tng t c chon qua cong
ra manipulated value peripheral
QLMN-HLM BOOL FALSE LOW LIMIT OF MANIPULATED
VALUE REACHED
Cong ra low limit of manipulated
value reached thong bao gia tr cua
bien qua trnh nho hn gia tr gii
han di .
LMN-P REAL 0.0 PROPORTIONAL COMPONENT
Tn hieu ra cua bo ieu khien ty le
c xuat ra cong ra proportional
component.
LMN-I Real 0.0 INTEGRAL COMPONENT
Tn hieu ra cua bo ieu khien tch
phan c xuat qua cong ra
integral component.
LMN-D REAL 0.0 DERIVATIVE COMPONENT
Tn hieu ra cua bo ieu khien vi
phan c xuat qua cong ra
Derivative component
PV REAL 0.0 PROCESS VALUE
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 46 & SVTH :NGUYEN MINH TAM
Tn hieu qua trnh c xuat qua
cong ra process value.
ER REAL 0.0 ERROR SIGNAL
Tn hieu sai lech c xuat qua
cong ra error signal










5.4. Khoi ham tao xung FB43 PULSEGEN:
5.4.1. Gii thieu chung ve FB43PULSEGEN:
FB43 "PULSEGEN" c dung e thiet ke mot bo ieu khien PID vi tn hieu
au ra dang xung. Mot mnh no khong phai la bo ieu khien PID. FB43 "PULSEGEN"
khong co giao dien e thiet lap tham so nen phai dung cac cong cu cua STEP 7.
Bo ieu khien PID hai hoac ba v tr vi bo tao xung theo nguyen tac
ieu bien co the c thiet ke bang FB43 "PULSEGEN". Khoi ham FB43
"PULSEGEN" thng c s dung cung vi bo ieu khien lien tuc CONT_C
(Hnh 1).

Hnh 1
Khoi ham PULSEGEN chuyen oi tn hieu au vao INV (au ra LMN
cua module mem PID) bang cach ieu bien tn hieu xung lien tuc thanh mot day
xung co chu ky co nh va o rong tng ng vi o ln cua tn hieu au vao.
Chu ky cua mot xung ty le vi gia tr bien au vao. Chu ky PER_TM chnh la
chu ky cua CONT_C ch khong phai la thi gian x ly cua FB "PULSEGEN". Chu ky
PER_TM c xac nh sau vai chu ky x ly cua FB "PULSEGEN" So lan kch hoat
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 47 & SVTH :NGUYEN MINH TAM
FB "PULSEGEN" trong mot chu ky PER_TM chnh la thc o o chnh xac cua qua
trnh ieu bien.

Hnh 2: Qua trnh ieu bien cua FB43 "PULSEGEN"

Mot bien au vao la: 30% va 10 lan kch hoat FB "PULSEGEN" tren mot
PER_TM ngha la :
- Gia tr xuat QPOS bang 1 trong ba lan kch hoat au tien cua FB
"PULSEGEN" (30% trong 10 lan kch hoat).
- Gia tr xuat QPOS bang 0 trong 7 lan hch hoat sau cua FB
"PULSEGEN" (70% trong 10 lan kch hoat ).

Hnh 3: S o cau truc nguyen ly cua PULSEGEN

Vi ty le mau la 1:10 ( ty le gia so lan kch hoat CONT_C va so lan kch
hoat PULSEGEN ) th o chnh xac cua gia tr c thiet lap bang tay trong v
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 48 & SVTH :NGUYEN MINH TAM
du nay la 10%, noi cach khac, tap cac gia tr au vao INV ch co the c gia
lap bang mot bo tao xung vi gia tr au ra QPOS trong cac bc la 10%.
o chnh xac tang khi so lan kch hoat FB "PULSEGEN" trong mot lan kch
hoat CONT_C tang. Khi PULSEGEN c kch hoat, v du nhieu hn 100 lan so
vi CONT_C, th 1% day gia tr c thiet lap bang tay.
Chu y:
Tan so kch hoat phai c lap trnh bi ngi dung.

Che o t ong ong bo hoa:
che nay, xung au ra co the c ong bo hoa vi khoi thay oi gia tr bien
au vao INV (v du nh CONT_C). Khi o, mot thay oi cua bien au vao se
lam thay oi xung au ra. Bo tao xung lng gia o ln cua INV cac khoang
thi gian PER_TM tng ng va chuyen oi no thanh tn hieu xung co o rong
tng ng. Neu INV thay oi ma khoi kch hoat khong phai la khoi au tien
hay hai khoi cuoi cung cua chu ky th qua trnh ong bo c thc hien. (Hnh
2). Neu muon tat che o ong bo th at gia tr logic 0 cho tham tr SYN_ON

Hnh 4: ong bo hoa au chu ky






uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 49 & SVTH :NGUYEN MINH TAM

5.4.2. at gia tr:
Phan mem cho phep chon cac thuat ieu khien PID hai hoac ba v tr hay
bipolar or monopolar two-step tuy vao cac tham so c thiet lap cho bo tao
xung. Bang di ay liet ke cac che o:

Bang 1

che o ba v tr, tn hieu hanh ong co the nhan 3 trang thai : heat, off, cool.
Tn hieu nay do tn hieu so au ra la QPOS_P hay QNEG_P quyet nh. Bang 2
la mot v du cua ieu khien nhiet o.

Bang 2

e tn c o rong cua xung FB43 "PULSEGEN" da vao o ln cua tn hieu
au vao. ac iem cua o th bieu dien o rong xung phu thuoc vao tham bien
P_B_TM (minimum pulse hay minimum break time) va he so ty le (Hnh 3).

uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 50 & SVTH :NGUYEN MINH TAM
Hnh 3: o th dang oi xng cua bo ieu khien ba v tr (he so ty le 1)

Thng th he so ty le la 1. Gia tr tuyet oi cua INV nho th tao ra mot xung co
o rong nho hn P_B_TM, va gia tr tuyet oi cua INV ln hn th tao xung co
o rong ln hn theo cong thc sau:
Pulse duration = INV/100*PER_TM
He so ty le RATIOFAC se lam thay oi o rong xung am va ca xung dng. V
du nh trong x ly nhiet o, RATIOFAC cho phep ieu khien thi gian nong va
thi gian lanh cua thiet b la khac nhau. He so nay cung anh hng en
P_B_TM. Neu he so ty le < 1 th ngha la gia tr ngng cua cac xung am se
c nhan vi he so ty le.
Ratio factor <1 :
Duration of the positive pulse = (INV/100)*PER_TM
Duration of the negative pulse = (INV/100)*PER_TM*RATIOFAC
Ratio factor >1:
Duration of the negative pulse = (INV/100)*PER_TM
Duration of the positive pulse = (INV/100)*(PER_TM/RATIOFAC)
che o hai v tr, ch co tn hieu xung dng QPOS_P cua PULSEGEN mi
ieu khien tat hay m. che o nay, phan mem cho phep chon hai day gia tr
nhap bang tay: lng cc va n cc.

Hnh 4 : o th dang cua bo ieu khien hai v tr
Trong che at tr bang tay, (MAN_ON = TRUE), hai tn hieu xuat cua bo ieu
khien PID hai hoac ba v tr co the c thiet lap thong qua cac tham bien
POS_P_ON va NEG_P_ON ma khong phu thuoc vao INV (Bang 3).
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 51 & SVTH :NGUYEN MINH TAM

Bang 3 : Cac gia tr cua tham bien
1.3/ Khi ong va thong bao loi:
Trong khi khi tao lai he thong, tat ca cac tn hieu xuat c at bang 0.
Khoi FB43 "PULSEGEN" khong co kha nang t kiem tra loi. Ma bao loi
RET_VAL khong c s dung.
1.4/ Tham bien hnh thc au vao:

Khoi FB43 "PULSEGEN" co 12 tham bien hnh thc au vao nh sau:

Ten bien Kieu
d lieu
Pham vi gii
han
Gia tr mac
nh
Mo ta chung
INV REAL -
100.0100.0
0.0 INPUT VARIABLE
Mot gia tr tn hieu tuan
t a vao tham so au
vao input value
PER_TM TIME >=20*CYCL
E
T#1s PERIOD TIME
Tham so au vao period
time la mot chu ky co
nh trong qua trnh ieu
bien.
P_B_TM TIME >=CYCLE T#50ms
MINIMUM PULSE/BREAK
TIME
Tham bien nhap vao, gia
tr xung toi thieu.

RATIOFA
C
REAL 0.1 ...10.0 1.0 RATIO FACTOR
He so ty le, nham muc
ch thay oi o rong cua
xung am hay xung dng.
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 52 & SVTH :NGUYEN MINH TAM
STEP3_O
N
BOOL TRUE THREE STEP CONTROL
ON
Tham bien nhap e tat
m che o ba vi tr. che
o nay, ca hai tn hieu
xuat eu hoat ong.
ST2BI_O
N
BOOL FALSE TWO STEP CONTROL
FOR BIPOLAR
MANIPULATED VALUE
RANGE ON

MAN_ON BOOL FALSE MANUAL MODE ON
Khi thiet lap tham so
nhap nay la mannual
mode on th cac tn hieu
xuat co the c ieu
khien bang tay.
POS_P_O
N
BOOL FALSE POSITIVE PULSE ON
che o at tr bang tay
cua bo ieu khien ba v
tr, tn hieu xuat QPOS_P
co the c thiet lap
tham so nhap la positive
pulse on. che o at tr
bang tay cua bo ieu
khien hai haiv tr,
QNEG_P luon c thiet
lap ngc vi QPOS_P.
NEG_P_O
N
BOOL FALSE NEGATIVE PULSE ON
che o at tr bang tay
cua bo ieu khien ba v
tr, tn hieu xuat QNEG_P
co the c thiet lap
tham so nhap la negative
pulse on. che o at tr
bang tay cua bo ieu
khien hai hai v tr,
QNEG_P luon c thiet
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 53 & SVTH :NGUYEN MINH TAM
lap ngc vi QPOS_P.
SYN_ON BOOL TRUE SYNCHRONIZATION
ON
Khi thiet lap tham so
nhap synchronization
on, xung au ra co the
c ong bo hoa vi
khoi thay oi gia tr bien
au vao INV. Khi o, mot
thay oi cua bien au vao
se lam thay oi xung au
ra.
COM_RS
T
BOOL FALSE COMPLETE RESTART
Module mem c khi
tao lai hoan toan khi
cong vao complete start
co gia tr logic bang 1.
CYCLE TIME >= 1ms T#10ms SAMPLING TIME
Khoang thi gian gi cac
lan goi khoi phai co nh.
Thi gian trch mau
(sampling time) c thiet
lap qua cong vao
sampling time.

1.5/ Tham bien hnh thc au ra:

Khoi FB43 "PULSEGEN" co 2 tham bien hnh thc au ra nh sau:

Ten
bien
Kieu d
lieu
Pham vi
gii han
Gia tr
mac nh
Mo ta chung
QPOS_P BOOL FALSE OUTPUT POSITIVE PULSE
Tham bien au ra output positive
pulse c thiet lap khi xuat ra
mot xung(positive pulse). Trong
che o 2 v tr, tham bien nay luon
co gia tr TRUE, QNEG_P luo n co
gia tr ngc vi QPOS_P
uploaded by http://scribd.com/pvdai
LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN & 54 & SVTH :NGUYEN MINH TAM
QNEG_
P
BOOL FALSE OUTPUT POSITIVE PULSE
Tham bien au ra output positive
pulse c thiet lap khi xuat ra
mot xung(negative pulse). Trong
che o 2 v tr, tham bien nay luon
co gia tr TRUE, QNEG_P luon co
gia tr ngc vi QPOS_P



uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &54 & SVTH : NGUYEN MINH TAM

uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &55 & SVTH : NGUYEN MINH TAM
CHNG 1
LY THUYET IEU KHIEN M
1.1. M au.
Cac cong cu co ien ma ta dung e xay dng cac phep anh xa gia the gii
thc va cac mo hnh eu at tren c s logic hai-gia-tr Boolean. Cach xay
dng nh vay the hien mot s thieu chat che : mot oi tng ch co the co hai
kha nang hoac la phan t cua tap hp ang xet hoac khong, ma khong d tru
cho trng hp cua cac oi tng co mot phan tnh chat cua tap hp ang xet.
Th du : khi quy nh trong thanh pho xe gan may co toc o nhanh gay nguy
hiem la xe co toc o v thuoc tap hp A : {v>50km/h} , ta khong the cho rang
mot xe chay toc o 49,9km/h la hoan toan khong nguy hiem theo nh ly
thuyet tap hp co ien.
Hau het cac hien tng ma ta bat gap hang ngay eu khong hoan toan ro
rang, co ngha la chung luon co mot mc o m ho nao o trong viec dien ta
tnh chat cua chung.
Th du : khai niem nhiet o NONG la mot khai niem m. Ta khong the ch ra
c chnh xac mot iem nhiet o ma tai o khong NONG, va khi ta tang
nhiet o len mot n v th nhiet o lai c xem la NONG.
Trong nhieu trng hp, cung mot khai niem se co nhieu mc o m trong
cac thi iem va ng canh khac nhau.
Th du : khai niem NONG cua mot can phong can ieu hoa nhiet o se khong
hoan toan giong vi khai niem NONG cua mot lo nhiet can ieu khien lam
viec tam nhiet o hang tram o C.
Kieu logic hai-gia-tr rat hieu qua va thanh cong trong viec giai quyet cac bai
toan c nh ngha ro rang. Tuy nhien, thc te ton tai mot lp cac khai
niem khong thch hp vi cach tiep can nh vay.
Muon s dung cac khai niem nay mot cach hieu qua hn trong mo hnh ta can
tm hieu mot cong cu, o la logic m va at c s tren no la giai thuat ieu
khien m.
1.2. Tap hp m.
1.2..1. nh ngha :
Tap m F xac nh tren tap kinh ien M la mot tap ma moi phan t cua no la
mot cap cac gia tr (x,
F
(x)) trong o xeM va
F
la anh xa :
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &56 & SVTH : NGUYEN MINH TAM

F
: M [0,1]
- tap kinh ien M c goi la c s cua tap m F.
- anh xa
F
c goi la ham phu thuoc cua tap m F.
1.2.2. Y ngha :
Tap m F la ham anh xa moi gia tr x co the la phan t cua mot tap kinh ien
M sang mot so nam gia 0 va 1 e ch ra mc o phu thuoc that s cua no vao
tap M. o phu thuoc bang 0 co ngha la x khong thuoc tap M, o phu thuoc
bang 1 co ngha la x hoan toan la ai dien cho tap hp M. Khi
F
(x) tang dan
th o phu thuoc cua x tang dan. ieu nay tao ra mot ng cong qua cac
phan t cua tap hp.












Mot tap m bao gom 3 thanh phan :
- Mien lam viec [x
1
,x
2
] gom cac so thc tang dan nam tren truc hoanh.
- oan [0,1] tren truc tung the hien o phu thuoc cua tap m.
- ng cong ham so
F
(x) xac nh o phu thuoc tng ng cua cac phan
t cua tap m.
1.2.3. Cac tnh chat va ac iem c ban cua tap m :
1. o cao va dang chnh tac cua tap m :
o cao cua mot tap m la gia tr cc ai o phu thuoc cua cac phan t tap
m.






x

F
(x)
1
x
1

x
2

0
1
0,75
0 0
(a). Tap m A co o cao la 1 (b). Tap m B co o cao la 0,75
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &57 & SVTH : NGUYEN MINH TAM





Tap m dang chnh tac khi co t nhat mot phan t co o phu thuoc la 1. V
du nh trong hai tap m hnh tren ta thay tap m A la dang chnh tac.
Trong cac mo hnh bo ieu khien m, tat ca cac tap m c s eu phai dang
chnh tac nham khong lam suy giam ngo ra.
Tap m c a ve dang chnh tac bang cach ieu chnh lai tat ca gia tr o
phu thuoc mot cach t le quanh gia tr o phu thuoc cc ai.
Th du : tap m B tren c a ve dang chnh tac nh sau :








2. Mien xac nh cua tap m :
Trong thc te tap cac phan t co o phu thuoc ln hn 0 cua tap m thng
khong trai dai het mien lam viec cua no. Nh v du di ay, mien lam viec
cua tap m la oan [x
1
,x
2
] , tuy nhien ng cong thc s bat au x
3
va at
en o phu thuoc toan phan x
4
. Ta goi oan [x
3
,x
4
] la mien xac nh cua
tap m.










1
0,75
0
x

F
(x)
1
0
x
3
x
4
x
2
x
1

uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &58 & SVTH : NGUYEN MINH TAM



3. Mien gia tr cua bien :
Mot bien mo hnh thng c ac trng bi nhieu tap m vi mien xac nh
co phan chong len nhau.
Th du : ta co bien NHIET O gom cac tap m LANH, MAT, AM, NONG.









Mien gia tr cua bien la tap hp tat ca cac gia tr co the co cua bien. V du
oi vi bien NHIET O tren mien gia tr la oan [x
1
,x
6
] .
1.2.4. Cac dang ham phu thuoc :
1. Dang tuyen tnh :
ay la dang tap m n gian nhat, thng c chon khi mo ta cac khai niem
cha biet hay cha hieu ro rang.










1
0
MAT AM NONG
x
1
x
2
x
3
x
4

LANH
x
5
x
6

1
0
1
0
Tap m tuyen tnh Tap m tuyen tnh
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &59 & SVTH : NGUYEN MINH TAM







2. Dang ng cong S :










Mot tap m dang ng cong S co 3 thong so la cac gia tr o, |, co o phu
thuoc tng ng la 0, 0.5 va1.
o phu thuoc tai iem x c tnh bi cong thc sau :
| |
| |

>
s s
s s
s
=

| o o
| o o o
o
| o
x khi
x khi x
x khi x
x khi
x S
1
) /( ) ( 2 1
) /( ) ( 2
0
) , , ; (
2
2

3. Dang ng cong hnh chuong :
Dang ng cong hnh chuong ac trng cho cac so m (xap x mot gia tr
trung tam), bao gom 2 ng cong dang S tang va S giam.








|
0.5
1
0
o
x
|

0.5
1
0
x uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &60 & SVTH : NGUYEN MINH TAM





T 2 tap m dang ng cong S ta suy ra o phu thuoc tai iem x cua tap m
dang ng cong hnh chuong nh sau :

)
`

> + +
s
= [
| |
| |
|
x khi x S
x khi x S
x
) , 2 / , ; ( 1
) , 2 / , ; (
) , ; (
4. Dang hnh tam giac, hnh thang va hnh vai :
Cung vi s gia tang cua cac bo vi ieu khien 8 bit va 16 bit, dang tap m
chuan hnh chuong c thay bang cac dang tap m hnh tam giac va hnh
thang do yeu cau tiet kiem bo nh von han che cua cac bo vi ieu khien.
Dang hnh thang :





Dang tam giac :









(
(
(
(

>
s s
s s
<
=

| |
| o o | o
o
| o
x khi
x khi x
x khi x
x khi
x T
0
) /( ) (
) /( ) (
0
) , , ; (
Dang hnh vai :
Thong thng vung gia cua bien mo hnh c ac trng bang cac tap m co
dang hnh tam giac v no lien quan ti cac khai niem tang va giam. Tuy
nhien vung bien cua bien khai niem khong b thay oi.
o
0
1
|
x
1
0
o
x
A
x
B

|
x
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &61 & SVTH : NGUYEN MINH TAM
Th du : xet bien NHIET O gom cac tap m LANH, MAT, AM, NONG nh
hnh ve











Khi ta a at en NONG th tat ca nhiet o cao hn se luon la NONG.
Khi ta a at en LANH th tat ca nhiet o thap hn se luon la LANH.
Do o ta co 2 tap m NONG va LANH co dang hnh vai.
1.3. Cac toan t m.
1.3.1. Cac toan t c ban cua Zadeh tren tap m :
1. Giao hai tap m :
A B = min(
A
[x],
B
[y])











2. Hp hai tap m :
A B = max(
A
[x],
B
[y])


1
0
MAT AM NONG
x
1
x
2
x
3
x
4

LANH
x
5
x
6

A A B B
A A B B
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &62 & SVTH : NGUYEN MINH TAM






3. Bu cua mot tap m :
~A = 1 -
A
[x]








1.3.2. Cac toan t bu tren tap m :
Trong khi xay dng cac mo hnh m, co nhng trng hp ngi ta thay can
thiet phai nh ngha cac cac toan t khac thay the cho cac toan t c ban
AND, OR, NOT cua Zadeh. Cac toan t nay c goi la cac toan t bu.

Ten goi Giao Hp
Zadeh min(
A
[x],
B
[y]) max(
A
[x],
B
[y])
Mean (
A
[x]+
B
[y]) /2
(2*min(
A
[x],
B
[y])+
+4*max(
A
[x],
B
[y])) /6
Mean
2
Mean
2
mean
2

Mean
1/2
Mean
1/2
mean
1/2

Product (
A
[x]*
B
[y]) (
A
[x]+
B
[y]) (
A
[x]*
B
[y])
Bounded Sum max(0,
A
[x]+
B
[y]-1) min(1,
A
[x]+
B
[y])

Khi i vao xem xet cac he m phan sau ta se s dung 2 toan t bu la
product and va bounded sum or trong cac phep lien he va tng quan m.
1. Toan t product and :
g
product and
=
A
[x]*
B
[y]
A ~A
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &63 & SVTH : NGUYEN MINH TAM
Toan t product and khong thay oi cac ac tnh min/max cua toan t giao c
ban cua Zadeh.
- g
product and
(0,
A
[x]) = 0
- g
product and
(1,
A
[x]) =
A
[x]
Ngoai ra toan t product and co them 1 tnh chat la tng tac hoan toan ngha
la no thay oi vi moi cap gia tr (
A
[x],
B
[y]). Tnh chat nay can thiet khi
mo ta cac trang thai m bien oi theo thi gian.
2. Toan t bounded sum or :
g
bounded sum or
= min(1,
A
[x]+
B
[y])
Khi s dung toan t bounded sum or ca hai vung m tham gia eu ong gop
vao ket qua cuoi cung cho du 1 trong chung co o phu thuoc nho hn (se b
bo qua khi s dung toan t hp c ban cua Zadeh).

1.4. Bo t m (fuzzy hedge).
Hedge la bo t them vao trc ten cua mot tap m nham thay oi va bo sung
tnh chat cua tap m o. Hedge thay oi hnh dang cua tap m, thay oi ham
phu thuoc va do o tao ra mot tap m mi. Hedge khoang, xap x, gan vi
con dung e chuyen mot so thc thanh mot tap m c goi la so m.
1.4.1. S dung cac Hedge :
Ta co the s dung cung luc nhieu Hedge e them vao mot tap m.
Th du :
chac chan khong rat cao
c giai thch nh sau :
chac chan (khong (rat cao))
1.4.2. Xap x mot vung m :
e xap x mot vung m (bao gom ca viec chuyen mot so thc thanh mot tap
m) ta s dung cac Hedge khoang, xap x, gan vi . Cac Hedge nay co tac
dung hi m rong vung m.
Th du : xet tap m tuoi TRUNG NIEN va tap m xap x cua no la tap m
KHOANG TRUNG NIEN.




TRUNG NIEN KHOANG TRUNG NIEN
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &64 & SVTH : NGUYEN MINH TAM





1.4.3. Gii han mot vung m :
Co 2 Hedge dung e gii han mot vung m la tren va di
Th du :


















1.4.4. Lam manh va lam giam tnh chat cua tap m :
Hedge rat dung e tang o manh tnh chat cua tap m. ieu nay c thc
hien bang cach giam o phu thuoc cua moi gia tr trong mien lam viec ngoai
tr cac gia tr co o phu thuoc la 0 hay 1.
Th du : xet tap m CAO va RAT CAO



TRUNG NIEN TREN TRUNG NIEN
TRUNG NIEN DI TRUNG NIEN
RAT CAO CAO
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &65 & SVTH : NGUYEN MINH TAM







Hedge hi dung e giam o manh tnh chat cua tap m. ieu nay c thc
hien bang cach tang o phu thuoc cua moi gia tr trong mien lam viec ngoai
tr cac gia tr co o phu thuoc la 0 hay 1.
Th du : xet tap m CAO va HI CAO









1.4.5. Lam tang hay giam tnh m cua tap m :
Hedge chac chan dung e giam tnh m cua tap m. ieu nay c thc hien
bang cach tang o phu thuoc cua cac gia tr co o phu thuoc ln hn 0.5 va
giam cua cac gia tr co o phu thuoc nho hn 0.5
Th du : xet tap m CAO va CHAC CHAN CAO











HI CAO CAO
CHAC CHAN CAO
CAO
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &66 & SVTH : NGUYEN MINH TAM
Hedge nhn chung dung e tang tnh m cua tap m. ieu nay c thc hien
bang cach giam o phu thuoc cua cac gia tr co o phu thuoc ln hn 0.5 va
tang o phu thuoc cua cac gia tr co o phu thuoc nho hn 0.5
Th du : xet tap m CAO va NHN CHUNG CAO









1.5. Bien ngon ng.
Cac bo ieu khien m thao tac tren cac bien ngon ng. Moi bien ngon ng la
ai dien cua mot khong gian m. Cau truc cua mot bien ngon ng nh sau :
L
var
{q
1
q
n
} {h
1
h
n
} fs
- q : cac t ch tan suat nh thng, luon luon
- h : cac Hedge nh rat, hi a khao sat trong phan trc
- fs : tap m trung tam
Th du :
cao, thap
rat cao, hi thap
thng cao, luon luon thap
thng rat cao, luon luon hi thap
1.6. Menh e m.
Mot bo ieu khien m bao gom mot chuoi cac menh e m. Mot menh e
thiet lap mot moi quan he gia mien lam viec va mot khong gian m.
Mot menh e n gian co dang :
x la A
- x : gia tr vo hng thuoc mien lam viec
- A : bien ngon ng
NHN CHUNG CAO CAO
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &67 & SVTH : NGUYEN MINH TAM

A
(xeA)
Qua trnh ma t gia tr ro x=x
1
tm ra o phu thuoc
A
(x
1
) c goi la qua
trnh m hoa. Trong trng hp co nhieu bien ngon ng qua trnh m hoa la
tm ra mot vect bao gom nhieu o phu thuoc.
Th du : xet bien NHIET O gom cac tap m LANH,MAT,AM,NONG.









M hoa bien nhiet o :

(
(
(
(

(
(
(
(

(
(
(
(
(

=
5 . 0
5 . 0
0
0
45
0
0
75 . 0
25 . 0
15
0 0
C C
(x)
(x)
(x)
(x)
x
nong
am
mat
l anh



Menh e m co ieu kien :
Neu y la B th x la A
- x,y : gia tr vo hng thuoc mien lam viec
- A,B : bien ngon ng
Co the giai thch menh e nay nh sau :
x la phan t cua A tuy theo mc o y la phan t cua B
1.7. X ly m.
1.7.1. Cac phep tng quan :
Xet mot menh e co ieu kien sau :
Neu y la B th x la A
Cac phep tng quan qui nh vung m ket qua c tao ra nh the nao t gia
tr cua menh e ieu kien va bien ngon ng menh e ket qua.
1
0
0.25
0.75
0.5
15
0
C 45
0
C
MAT AM NONG LANH
x
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &68 & SVTH : NGUYEN MINH TAM
Cac phep tng quan la c s cho cac luat hp thanh c s dung trong bo
ieu khien m.
Co 2 phep tng quan la : tng quan toi thieu va tng quan tch.
1. Tng quan toi thieu :
ay la phng phap tng quan thng c dung nhat, thc hien bang cach
bo i phan co o phu thuoc ln hn gia tr cua menh e ieu kien tren mien
m ac trng bi bien ngon ng menh e ket qua.
Th du : xet menh e co ieu kien sau :
Neu nhiet o THAP th cong suat lo LN









Phep tng quan toi thieu tao ra cac oan nam ngang tren mien m ket qua
dan en mat mat mot phan thong tin. Tuy nhien phep tng quan nay tng
oi n gian va cho phep giai m de dang hn.
2. Tng quan tch :
Phng phap nay thng cho ket qua tot hn, c thc hien bang cach nhan
ham phu thuoc cua mien m ac trng bi bien ngon ng menh e ket qua
vi gia tr cua menh e ieu kien.
Luc nay dang cua mien m c bao toan, thong tin khong b mat mat, tuy
nhien viec giai m kho khan hn.
Th du : van xet menh e co ieu kien tren :
Neu nhiet o THAP th cong suat lo LN
e so sanh ket qua gia 2 phep tng quan.





Nhiet o Cong suat lo
THAP LN
Nhiet o Cong suat lo
THAP LN
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &69 & SVTH : NGUYEN MINH TAM





1.7.2. Cac luat hp thanh m :
Trong mot bo ieu khien m, cac menh e c x ly song song e tao ra
mot khong gian ket qua cha thong tin t tat ca cac menh e. Cac luat hp
thanh qui nh cach thc tng quan va tong hp cac khong gian m t s tac
ong qua lai gia cac menh e cua he.
Tng ng vi 2 phep tng quan toi thieu va tng quan tch ta co cac luat
hp thanh nh sau :
- Tng quan toi thieu : luat hp thanh Max-Min, Sum-Min
- Tng quan tch : luat hp thanh Max-Prod, Sum-Prod
Hai luat hp thanh m thong dung la luat hp thanh Max-Min va luat hp
thanh Sum-Min.
1. Luat hp thanh Max-Min :
Gia s he gom n menh e :
Neu y la B
1
th x la A
1

Neu y la B
2
th x la A
2
........................................
Neu y la B
n
th x la A
n

Cac mien m ket qua c thc hien bang phep tng quan toi thieu. Sau o
mien m bien ra c cap nhat bang cach hp cac mien m nay theo toan t
OR c ban cua Zadeh.
Th du : xet lo nhiet c ieu khien bi 2 luat sau :
Neu nhiet o THAP th % cong suat lo LN
Neu nhiet o TRUNG BNH th % cong suat lo TRUNG BNH
Bien vao nhiet o gom 2 tap m : THAP va TRUNG BNH.
Bien ra % cong suat lo gom 2 tap m : TRUNG BNH va LN.
Tien hanh m hoa bien vao nhiet o ta thu c vect gom 2 phan t la 2 o
phu thuoc cua nhiet o vat ly t vao 2 tap m tren.
(
(

=
(t)
(t)
t
BNH TRUNG
THAP


uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &70 & SVTH : NGUYEN MINH TAM
V du, m hoa gia tr nhiet o t
1
=20
0
C ta co :
(

0. 25
0. 75
C 20
0

S dung phep tng quan toi thieu ta thu c 2 mien m ket qua nh sau :









Sau o mien m bien ra thu c bang cach hp 2 mien m nay theo toan t
OR c ban cua Zadeh nh sau :










2. Luat hp thanh Sum-Min :
Cac mien m ket qua van c thc hien bang phep tng quan toi thieu.
Tuy nhien mien m bien ra c cap nhat bang cach thc hien toan t bu
bounded sum or thay cho toan t OR c ban cua Zadeh.
0.75
0.25
THAP TRUNG
BNH
Nhiet
o
% cong suat lo
TRUNG BNH LN
20
0
C
% cong suat
lo
TRUNG BNH LN
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &71 & SVTH : NGUYEN MINH TAM
Th du : van xet th du tren nhng ap dung luat hp thanh Sum-Min ta co ket
qua nh sau :










1.8. Giai m.
Qua trnh x ly m tao mot mien m bien ra. Giai m la tm ra mot gia tr vat
ly (gia tr ro) ac trng cho thong tin cha trong mien m o.
1.8.1. Phng phap iem trong tam :
Phng phap nay c ap dung khi mien m bien ra la mot mien lien thong.
Gia tr ro cua bien ra la hoanh o cua iem trong tam cua mien m bien ra.













Cong thc xac nh x' theo phng phap iem trong tam nh sau :
trong o : l la mien xac nh cua tap m A
% cong suat lo
TRUNG BNH LN
1
x' x

A

l
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &72 & SVTH : NGUYEN MINH TAM
}
}
=
l
dx * (x)
dx * (x) * x
x'
A
l
A


1.8.2. Phng phap cc ai :
Gia tr ro cua bien ra la iem co o phu thuoc ln nhat.













Trong trng hp cac iem co o phu thuoc ln nhat trai dai tren mot oan
thang nam ngang [x
1
;x
2
] gia tr ro cua bien ra la trung iem cua oan [x
1
;x
2
]
nh hnh ve :









1.8.3. Phng phap o cao :
Tap m dang Singleton la mot dang n gian hoa cho phep x ly m va giai
m c de dang hn, thng c dung trong cac he thong dung vi ieu
khien, a c tch hp trong tap lenh cua MCU 68HC12 cua hang Motorola.
x'
x

A

x
1
x

A

x
2
x'
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &73 & SVTH : NGUYEN MINH TAM
Moi tap m ket qua cua cac menh e ieu kien c thay bang mot oan
thang (x,(x)) vi (x) la o cao cua tap m tng ng.
Th du : xet bien NHIET O gom cac tap m LANH,MAT,AM,NONG.









Phng phap o cao chnh la ap dung giai m theo phng phap iem trong
tam oi vi cac tap m bien ra dang Singleton.
Do cac tap m cua mien m bien ra khong chong lap len nhau nen khi giai
m cong viec tnh tch phan rat mat thi gian a c thay bang viec tnh tong
so hoc nh sau :

=
=
=
n
1 i
i
n
i
i i
H
H * x
x'
1

trong o : x
i
la v tr cac singleton
H
i
la o cao cua cac singleton tng ng
n la so tap m bien ra
1.9. He m.
1.9.1. He m c ban :
Mot he m c ban bao gom 3 thanh phan chnh :
- Khau m hoa
- Thiet b thc hien luat hp thanh m (x ly m)
- Khau giai m.


1
0
x
1
x
2
x
3
x
4
x
5

x
6

MAT AM NONG LANH
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &74 & SVTH : NGUYEN MINH TAM






Khau m hoa co nhiem vu chuyen oi mot gia tr ro au vao x
0
thanh mot
vecto gom cac o phu thuoc cua gia tr ro o theo cac tap m a nh ngha
trc.
Khau x ly m x ly vecto va cho ra tap m B' cua bien ra.
Khau giai m co nhiem vu chuyen oi tap m B' thanh mot gia tr ro y' ac
trng cho thong tin cha trong tap m o.
Do he m c ban ch co kha nang x ly cac gia tr tn hieu hien thi nen no
thuoc nhom cac bo ieu khien tnh. Tuy vay vi viec ghep them cac khau
ong hoc can thiet nh vi phan, tch phan, ta se co c mot bo ieu khien
m co kha nang x ly cac bai toan ong.








1.9.2. Cac bc xay dng mot he m c ban :
Xac nh cac bien vao va ra.
nh ngha cac tap m cho cac bien vao va ra.
Xay dng cac luat ieu khien (cac menh e m).
Chon luat hp thanh.
Chon phng phap giai m.
Toi u he thong.


X LY M


GIAI M


M HOA
x
1

x
n

B' y'

HE M C BAN
Vi phan
Tch phan
x(t) y'(t)
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &75 & SVTH : NGUYEN MINH TAM
1.9.3. nh ngha cac tap m cho cac bien :
1. o chong lap :
e bien oi nhieu tap m rieng le thanh mot be mat lien tuc, cac tap m lan
can phai co o chong lap len nhau. Kinh nghiem cho thay o chong lap tot
nhat thng trong khoang 25% 50%.
Th du : Cac tap m lan can co o chong lap 50% :







2. La chon dang ham lien thuoc :
Cach thc hien la bat au bang cac dang ham lien thuoc a biet trc va mo
hnh hoa no cho en khi nhan c bo ieu khien m lam viec nh mong
muon.
Trong nhieu trng hp dang ham lien thuoc hnh tam giac cho ket qua khong
kem g dang ham lien thuoc phc tap hn la dang hnh chuong, do bo ieu
khien m t khi nhay vi s thay oi hnh dang tap m. ieu nay lam cho he
m kha ben vng va de thch nghi, o la mot thuoc tnh quan trong khi mo
hnh lan au c khao sat.
1.9.4. ac tnh vao ra cua he m c ban :
Nh a noi he m c ban thc chat la mot bo ieu khien tnh nen quan he
truyen at hoan toan c mo ta ay u bang ng ac tnh y(x) goi la ac
tnh vao ra cua he m.
ac tnh vao ra cua mot he m c ban co the la tuyen tnh hoac phi tuyen.
Neu ac tnh vao ra tuyen tnh hoac tuyen tnh tng oan ta co he m t le.
Ngc lai neu ta co mot ng ac tnh ieu khien mong muon, ta cung co
the t o tong hp c he m tng ng.
1.9.5. Tong hp he m t le :
He m t le co ng ac tnh vao ra tuyen tnh tng oan xac nh bi cac
iem nut (x
k
,y
k
) nh hnh ve :


y
n

y
n-1

y
y
n-2
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &76 & SVTH : NGUYEN MINH TAM












Thuat toan tong hp he m t le nh sau :
1. Xac nh cac iem nut (x
k
,y
k
) cua ng ac tnh.
2. nh ngha n tap m au vao A
k
co ham lien thuoc
Ak
(x) dang hnh
tam giac vi nh la iem x
k
va mien xac nh la khoang [x
k-1
,x
k+1
]
trong o x
0
, x
n+1
la nhng iem bat ky thoa man x
0
<x
1
va x
n+1
>x
n
.
3. Xac nh n tap m au ra B
k
bieu dien di dang Singleton tai cac
iem y
k
va co o cao la 1.
4. nh ngha tap n luat ieu khien R
k
dang :
R
k
: NEU x=A
k
TH y=B
k

5. Ap dung luat hp thanh Max-Min.
6. S dung nguyen ly o cao e giai m.



uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &77 & SVTH : NGUYEN MINH TAM


CHNG 2
CAC PHNG PHAP O VA IEU KHIEN
NHIET O

2.1. ieu khien ON OFF :

ieu khien On- Off la lap lai trang thai on- off cua he thong ieu khien
theo iem at . V du trong hnh , relay ngo ra la on khi nhiet o trong lo di
iem at , va off khi nhiet o en iem at .

1/- Mo ta hoat ong ON-OFF:

Vi cau hnh cua he thong ieu khien c trnh bay chng 1 , relay
ngo ra on , cap ien ti si nung khi gia tr nhiet o hien tai trong lo di
iem at . Relay ngo ra off khi nhiet o len cao hn iem at . Nh phng
phap ieu khien nhiet o ma nhiet o c at gia tr nao o bang cach bat
on va off nguon cho si nung c goi la ieu khien ON-OFF . Hoat ong nay
cung c goi la ieu khien hai v tr v hai bien at cung lien quan ti iem
at .



2/- ieu chnh o nhay :
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &78 & SVTH : NGUYEN MINH TAM

Neu relay ngo ra c bat on hoac off mot iem at chattering cua
ngo ra co the xay ra lam he thong ieu khien co the b anh hng nhieu . V
ly do nay ma t tre gia on va off thng xay ra ngo ra nh hnh 2 . T tre
nay c goi la hieu chnh o nhay . ieu chnh o nhay cao oi hoi can phai
tranh tan so hoat ong On-Off .

3/- Hunting :

Khi iem at c ieu khien bang hoat ong on-off , bien at thay oi
tuan hoan nh trong hnh 3 . S thay oi tuan hoan nay c goi la hunting .
Ket qua tot nhat cua hoat ong ON-OFF at c neu nh bien o hunting la
nho nhat .



4/- He thong thch hp cho ieu khien ON-OFF :

ieu khien On-Off tot nhat cho he thong ieu khien khi nhiet o tang
len cham va sai pha n G gia can bang nhiet khi ngo ra la on va khi ngo ra la
off nho . V du , G nho duy tr ap ng nhiet nhanh va hunting c tat bang
hnh thc on-off c trnh bay nh trong hnh . Trong hnh nay dung en
ngo ra . Nhiet o tren ti gia tr ti han thap cua ngo ra en c ieu khien
bang hai si nung vi tong cong suat la 600W . Trong lan can iem at ,
nhiet o ieu khien moi si nung la 300W .

uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &79 & SVTH : NGUYEN MINH TAM


2.2. Hieu chnh P :

1/- Mo ta hieu chnh P :
ay la bo ieu khien ma bien at (manupulate variable , con goi la
bien ieu khien ra) la ty le en o lech (deviation) t iem at ben trong day
ty le cho pham vi nhiet o at .
Khi nhiet o hien tai thap hn mc gii han thap nhat cua day ty le ,
bien at vao la 100% . Khi nhiet o ben trong day ty le ,bien at giam dan
trong day ty le ti o lech va giam 50% .Khi nhiet o hien tai bang vi iem
at va khong co lech . Khi o P cho phep ieu khien nhiet o phang vi
hunting nho hn ieu khien ON-OFF .

2/- ieu khien ty le chia thi gian : (time division proportionnal control
action)
Thiet b ngo ra dang xung trang thai On-Off co the dung thiet b ngo
ra cua bo ieu khien nhiet o . Nhng ngo ra nay gom : relay output , SSR
(solid state relay) output , va voltage output . Neu nh thiet b ra nay dung e
lap lai trang thai on-off trong day ty le chu ky c an nh hnh 4 th thi
gian on ngo ra ty le vi o lech .
Ty so t luc on ti luc off la 1:1 va bien la 50% khi chu ky relay ngo ra
t on ti off vi nhiet o ieu khien bang iem at . Mot chu ky t on ti off
cua thiet b ngo ra c goi la chu ky ty le (proportional period) va hoat ong
ieu khien theo chu ky ty le c goi la hoat ong ieu khien chia ty le thi
gian .



uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &80 & SVTH : NGUYEN MINH TAM
Actual temperature
Set value
The ON time becomes shorter as the temperature rises

ON
OFF
T T T T T: Proportional period

Hnh 4a
proportional band
Set value
Offset




on
off
Hnh 4b :Time division proportional control

3/- Offset :

Trong hoat ong ieu khien ty le , o lech tang bi s tng quan gia
dung lng nhiet cua he ieu khien va dung l ng cua thiet b nung , va gi
sau khi he thong at trang thai ben . S lech nay c goi la Offset . Neu nh
offset xay ra trong bo ieu khien nhiet o ma ch thc hien ieu khien ty le ,
no co the ung vi bien tr tren bo ieu khien nhiet o .





uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &81 & SVTH : NGUYEN MINH TAM
4/- Chon chu ky ty le :

Neu chu ky ty le cua ngo ra ngan , relay thng lap lai trang thai on-
off . Neu ieu nay xay ra , bien o hunting cua ieu khien nhiet o la hep va
c ket qua ieu khien tot . Do o neu thiet b ngo ra co the lap lai tan so
hoat ong (nh SSR hoac thyristor ) th dung c , chu ky ty le cua thiet b b
at ngan , trai lai chu ky cua relay phai at dai v tan so hoat ong cao se anh
hng en qua trnh phuc vu cua relay .

1.3. ieu khien hoat ong reset (I) :

Offset giong nh viec xay ra trong hoat ong ty le P . Giam va loai
offset nh thi gian troi ma nhiet o ieu khien hp vi iem at, P c
dung e ket hp Reset hoac bo ieu khien tch phan I .

Bc ap ng

o lech 0



Bien at 0
Hoat ong Reset

1/-Reset time :
Reset time la so dien ta qua trnh cua hoat ong Reset . ay la thi
gian oi hoi bien at bo ieu khien tch phan bang vi bien at bo ieu khien
ty le khi o lech lay ra thay oi tng bc . Do o Reset time ngan , anh
hng rat nhieu en hoat o ng Reset . Tuy nhien Reset time qua ngan ma
thc hien qua nhanh cung co the gay ra Hunting .
o lech 0
PI action

P action
bien at 0 Reset time
ieu khien PI va Reset time
2/- Reset Rate :
ieu khien Reset I dung e loai Offset trong viec ket hp vi ieu
khien ty le . Ket hp I vi P goi la PI action . n v cua ieu khien Reset
c bieu dien la Reset Rate:ty so reset (hoat ong/phut)
Ty so Reset la ty so thi gian ao .
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &82 & SVTH : NGUYEN MINH TAM
2.4. ieu khien ty le D :

1/- ieu khien ty le D :
Theo nh ieu khien ty le hoac ieu khien reset tren se b tr hoan v
ca hai eu dung ti bien at lien quan ti o lech hien tai va a qua . Hoat
ong ty le cung c biet nh la hoat ong ao ham c oi hoi phai bu .
c at ung vi mc at ty le , mc nghieng cua ty le c ch ra hnh
di . ieu nay c xem nh la mot so ln bien at c a ra e thiet lap
nhanh trang thai ieu khien thng sau khi thay oi nhanh o lech gay ra
nhieu ngoai
bc ap ng
o lech 0

bien at 0

Rate control action




2/- Ty so thi gian : (Rate time)

Ty so thi gian la so bieu dien o dai cua qua trnh hoat ong . ay la
thi gian oi hoi bien at cua ty so hoat ong at c giong nh bien at
trong hoat ong hieu chnh khi xay ra thay oi o doc trong o lech nh hnh
di ay . Tuy theo ty so thi gian dai hn , vi phan linh hoat hn .

o lech 0
PD action
P action
bien at 0 D action
rate time


3/- Hieu ng vi phan :(Differetial Effect)
Trng hp o lech xay ra o ngot trong bo chia ty le c trnh bay
trong hnh di ay . Trc tien on hoac off cua output relay c keo dai
bang viec chnh en iem at sm hn . V hieu ng cua ieu khien nay
tng t nh ty so hoat o ng , c xem nh hieu ng vi phan (differetial
effect) . Thong thng , nhng bo ieu khien nhiet o dung phng phap
mach tuan t nhng hieu chnh PD khong dien ta trong rate time dung trong
hieu ng vi phan .
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &83 & SVTH : NGUYEN MINH TAM

Khi nhiet o giam ot ngot :
on

off

Khi nhiet o tang ot ngot :
on

off

2.5. Hieu chnh PID :

1/- Hieu chnh PID :(PID control action ):

Hieu chnh PID la ket hp ieu chnh ty le P , Reset I , va Rate D . Ket
qua tot nhat at c khi hieu chnh PID thc hien tren he thong ieu khien
co idle time dai . Trong ba khau , P cho phep thc hien ieu khien t do
hunting trong khi dung t ong ung offset . Hn na , thay oi bien at nhanh
ung trong D gay bi nhieu ngoai . Anh hng qua lai cua ba hoat ong ieu
khien nay la cho ieu khien toi u . Hnh 1 a ra bien at cua PID . Tng
bc thay oi o lech xay ra va hnh 2 ch ra bien at khi xay ra ng doc
thay oi trong o lech .

Deviation 0
PID action
I action
Manipulated P action
variable 0 D action


Hnh 1 :
Deviation 0


Manipulated PID action
variable I action
D action P action
0
Hnh 2: Rampwise Response of Control action output
2/- at thong so hieu chnh PID :

uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &84 & SVTH : NGUYEN MINH TAM
Vi Reset I va Rate D at t
0
,hoac gia tr trung bnh (I=4 min, D=1 min)
, dai hieu chnh P hep dan . Khi P hep ti mc ti han hunting xay ra , I va D
c ieu chnh theo ac tuyen ieu khien . ay la mot phng phap xac
nh thong so PID . Phng phap ap ng bc va o nhay cuoi cung c
dung .

3/- Toc o hieu chnh PID :

Thuat toan thng dung trong viec tnh hang so PID c goi la
Positional Calculation , tnh gia tr tuyet oi cua bien at lien quan ti o lech
t iem at . Trong toc o hieu chnh PID , tuy nhien tang bien at gay ra bi
o lech c tnh va ket qua cong vi bien at trc e thc hien ieu khien .
Du co hai loai thuat toan hieu chnh PID c bieu dien bang phng trnh
khac nhau , hau het nhng cach thc nay eu giong nhau . Phng trnh vi
phan thong thng cho ra hang so v tr va hang so toc o .

4/- ao ham P loai hieu chnh PID :

S tien li cua bo bien oi PID , bien at cua moi hang so P,I,D c
tnh e lay ra muc tieu bien at khi xay ra o lech . Khi iem at thay oi , t
o s thay oi ot ngot ngo ra c gay bang hoat ong ty le , s thay oi
ot ngot ngo ra b loai trong viec x ly ieu khien. Loai ra s thay oi ot
ngot , do o thi gian dai (long time) oi hoi thay oi gia tr at v phai thay
oi dan . Thuat toan tren cai thien cho hoat ong ieu khien goi la ao ham
PV loai ieu khien PID , ngan can ty so hoat ong t hang so bang cach
them vao ham tng ng iem at .

Tom lai , ta co bang so sanh gia cac phng phap ieu khien nh sau :

Cac phng phap
ieu khien
u iem Khuyet iem
ieu khien On-Off -ieu khien n gian
-Khong xay ra offset
-Xay ra qua tai va
hunting
Hieu chnh P -Qua tai va hunting nho -Thi gian yeu cau dai
en khi bien ieu khien
c thiet lap
-Offset xay ra
ieu khien Reset(I) -Loai bo offset -Thi gian yeucau dai
hn P cho en khi bien
ieu khien c thiet
lap .
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &85 & SVTH : NGUYEN MINH TAM
ieu khien ty so (D) -ap ng nhanh -ieu khien nay khong
the thc hien mot mnh
Hieu chnh PID -Co the ieu khien tot
nhat
- at thong so PID la
can thiet

2.6. ieu khien Cascade :

ay la hoat ong ieu khien gom nhieu n v ieu khien lien ket
nhau thanh mot chuoi e thc hien viec o lng . Ngo ra cua bo ieu khien
nhiet o noi tiep nhau au cuoi tuan hoan dung e thay oi gia tr at cua
bo ieu khien nhiet o khac . Ngo ra cua bo ieu khien nhiet o phu dung e
ieu khien bo ieu khien cuoi .

sub sub Main
temperature temperature temperature





u iem : + Cho phep bien ieu khien cua he thong trong
pham vi nho .
+ Khi dung trong x ly phai ke en delay hoac idle
ngo ra , giam delay hoac idle time ngo ra bang
cach thiet lap mach phu e lam nhanh thi gian
tac ong cua hoat ong ieu khien.

Khuyet iem : Xac nh bien hoi tiep c hoi tiep ve mach phu va
kho at thong so ieu khien . Hoat ong cua he thong ieu khien co the tr
nen khong on nh trong mot vai trng hp .

2.7. Phng phap ieu khien mi :

Trong thuyet ieu khien hien ai , phai hieu hoan toan trang thai ben
trong cua he thong ieu khien va c bieu dien bang phng trnh toan hoc (
phng trnh trang thai e he thong ieu khien chnh xac ) .
Sau ay la s khac nhau gia phng phap ieu khien mi va
phng phap hieu chnh PID hien co :

Phng phap ieu khien Phng phap hieu chnh
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &86 & SVTH : NGUYEN MINH TAM
mi PID
Thc hien -cao hn (co the ieu
khien hoan hao)
-thong thng
Loai -toan hoc
-phc tap
-kinh nghiem
-n gian
ac tnh ong -yeu cau phai biet hoan
toan
-khong can phai biet chi
tiet
Thay oi trong ac
tnh ong
-thay oi o nhay -o nhay khong oi
Muc ch -rieng biet
-quy nh kha nang pham
vi rong va kha nang thc
hien ieu khien
-muc ch thong thng
-thc hien vi thiet b n
gian vi hieu qua cao

1/- Khai niem ve phng phap ieu khien mi :

ay la phng phap ieu khien thc hien ieu khien chnh xac nhng
phai biet hoan toan trang thai ben trong cua he thong ieu khien . Hay noi
cach khac , neu viet c phng trnh ttrang thai ieu khien theo yeu cau se
khong thc te hoa he thong va he thong se ieu khien chnh xac hn phng
phap ieu khien hien co .

2/-Van e :

Trong thc te , khong the biet c trang thai ben trong mot cach hoan
hao va phng trnh trang thai ch xap x . Do o , hoat ong ieu khien
khong the thc hien nh ly thuyet .
Ngoai ra , viec xac nh he so cung rac roi , bieu dien phng trnh kho
.
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &87 & SVTH : NGUYEN MINH TAM

CHNG 3
PHNG PHAP PID
ZIEGLER NICHOLS

3.1. Ham truyen lo ien va mo hnh cua ziegler-nichols :

Lo nhiet co au vao la ien ap cung cap cho day ot ( hay cong suat
cung cap ) va ngo ra la nhiet o cua san pham can nung hay nhiet o vung s
dung . e thanh lap ham truyen lo nhiet ta phai khao sat phng trnh vi phan
mo ta cac quan he nhiet o - nang lng cac bo phan , ay la bai toan phc
tap neu muon chnh xac .

Mot cach gan ung , ta co the xem moi trng nung la ong chat , ang
nhiet . Nh vay phng trnh can bang nang lng cho ta : ien nang cung cap
se c dung e bu vao nang lng nhiet truyen ra ben ngoai va nang nhiet
o moi trng nung . T o tnh c ham truyen lo luc o se la bac nhat :

K
H(s) =
Ts + 1
Trong o :
K : La he so ty le cho biet quan he vao ra che o xac lap .
T : Thi hang nhiet the hien quan tnh cua he thong .

Mo hnh ham truyen nay cho thay qua trnh qua o vi au vao ham
nac co dang ham mu ch la gan ung , thc te he thong co bac cao hn nhng
qua trnh qua o au vao ham nac van khong vot lo , nh hnh sau :

uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &88 & SVTH : NGUYEN MINH TAM
Theo Ziegler-Nichols th mot he thong nh vay co the bieu dien di
dang ham truyen sau :

K.e
-T
1
s

H(s) =
T
2
*s + 1

T
1
: Thi gian tre (Thi gian khong nhay cua lo nhiet )
T
2
: Thi gian quan tnh cua lo nhiet .

* Cac hang so thi gian c tnh tren o th .
Khai trien Taylor cua e
-T1s
ta c :

K
H(s) =
(T
1
s + 1)(T
2
s + 1)

3.2. Thiet ke PID so theo phng phap ZIEGLER-NICHOLS:

Phng phap nay thng ap dung cho oi tng co quan tnh ln nh lo
nhiet c mo ta bang ham truyen at :

K.e
-T1s

G(s) =
T
2
.s + 1
- Trong o :
K : He so khuech ai cua oi tng lo nhiet .
T
1
: Hang so thi gian khong nhay cua lo nhiet .
T
2
: Hang so thi gian quan tnh nhet cua lo .
K , T
1
, T
2
c xac nh bang phng phap thc nghiem .

- PID cua Ziegler-Nichols co dang :

D s C
Ti s
Td s ( ) (
*
* ) = + + 1
1


Vi C
T
K T
=
12 2
1
, *
*
Ti = 2T
1
Td = 0,5T
1




- He so tng ng vi he so khuech ai ty le
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &89 & SVTH : NGUYEN MINH TAM

Kp = C

Ki =
C
T1


Kd = C*Td

- Hieu chnh PI cua Ziegler-Nichols la :

D(s) = C(1+
1
Ti s *
)

vi C =
0 9 2
1
,
*
K
T
T


- Hieu chnh khuech ai ty le P :

D(s) = C

vi C=
1 1
2 K
T
T
*

- oi vi he lien tuc hieu chnh PID co dang tong quat la :
Gc(s) = Kp +
Ki
s
+ Kd*s
- Chuyen sang he ri rac s dung he bien oi Z oi vi khau vi phan :

Z
df t
dt T
Z F z
T
z
z
kT
( )
( ) ( ) *
|
\

|
.
| = =

1
1
1 1
1


- Ham truyen khau vi phan : G z Kd
z
T z
D
( )
*
=
1


- Trong phng trnh vi phan chuyen sang sai phan dang :


df t
dt T
f kT f k T
t kT
( )
{ ( [( ) ]}
=
=
1
1




- Co 3 phng phap tnh tch phan :
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &90 & SVTH : NGUYEN MINH TAM

f[(k-1)T]
f(t) B F

E C f(kT)


A D
(k-1)T kT t

- Cach 1 : Tch phan theo hnh thang ABCD
- Cach 2 : Tch phan theo hnh ch nhat lui ve pha sau ABFD
- Cach 3 :Tch phan theo hnh ch nhat tien ve pha trc AECD

1/- Theo cach 1 :
u(kT) = u(k-1)T + T/ 2{f(kT) + f[(k-1)T]}

G
I
(z) = Ki
U z
F z
KiT z
z
( )
( )
* =
+
2
1
1


2/- Theo cach 2 :
u(kT) = u(k-1)T + Tf[(k-1)T]

G Ki
U z
F z
Ki Tz
z
I
= =

( )
( )
*
1


3/.- Theo cach 3:
u(kT) = u[(k-1)T] + Tf(kT)


- Tong quat theo cach 1 ham truyen bo loc PID theo z la :

Gc z Kp Kd
z
z
KiT z
z
( ) * = +

+
+

1
2
1
1


Dang tong quat ky hieu tn hieu vao bo ieu khien la f(t), tn hieu ra la
u(t) .

1 ) (
) (
) (

= =
z
z K
z F
z U
K z G
i
p i
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &91 & SVTH : NGUYEN MINH TAM
- Trng hp bo ieu khien PID c ma c kenh sai so th tn hieu
vao bo ieu khien la sai lech e(t ) sinh ra trong he thong hoi tiep. oi vi
trng hp nay tn hieu ieu khien co dang :

ong thi ta cung co :

T hai bieu thc tren ta co :


T cong thc tren ta thay : tn hieu ieu khien u co the tnh c tai
moi thi iem neu ta biet c gia tr cac thong so cua bo ieu chnh : Kp, Ki
, Kd xac nh c , ma ieu nay co the giai quyet c t thc nghiem va
qua cac cong thc cua Ziegler Nichols a trnh bay .
2
] ) 1 [( ) ( ] ) 1 [( ) (
) ( ) (
) 1 (
0
T k e kT e
T K edt K
T
T k e kT e
K kT e K kT u
i
T k
i d p
+
+ +

+ =
}

+

+ =
T k
i d p
edt K
T
T k e T k e
K T k e K T k u
) 1 (
0
] ) 2 [( ] ) 1 [(
] ) 1 [( ] ) 1 [(
( ) ( ) | | { }
( ) ( ) | | ( ) | |
( ) ( ) | |
( ) | |
( ) ( ) | | ( ) | | ( ) | | T k u T k e
T
Kd T K
T
K
K T k e
T K
T
K
K kT e
T k u
T k e kT e
T K
T
T k e k e kT e
K T k e kT e K T k u kT u
i d
p
i d
p
i
d p
1 2
2
2
1
2
1
2
1
1 2 2
1 ] ) 1 [( ) (
+ +
|
.
|

\
|
+
|
.
|

\
|
+ + =
+
+
+
+
+ =
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &92 & SVTH : NGUYEN MINH TAM

CHNG 4
HE M LAI &
HE M THCH NGHI

4.1. Khai niem chung:

He m lai ( Fuzzy - hybrid) la mot he thong ieu khien t ong trong
o thiet b ieu khien bao gom hai thanh phan:
_ Phan thiet b ieu khien kinh ien,
_ Phan he m.
Bo ieu khien ma trong qua trnh lam viec co kha nang t chnh nh
thong so cua no cho phu hp vi s thay oi cua oi tng c goi la bo
ieu khien thch nghi. Mot he thong ieu khien thch nghi, cho du co hay
khong s tham gia cua he m, la he thong ieu khien phat trien cao va co
tiem nang ac biet, song gan lien vi nhng u iem o la khoi lng tnh
toan thiet ke rat ln.
Phan ln cac he thong ieu khien m lai la he thch nghi, nhng khong phai
moi he lai la he thch nghi. Khai niem thch nghi nh ngha ay khong
bao gom cac giai phap thay oi cau truc he thong cho du s thay oi o co
the phan nao phuc vu thch nghi. V du mot he thong ieu khien co khau tien
x ly e t chnh nh tham so bo ieu khien mot lan khi bat au khi tao he
thong, sau o trong suot qua trnh lam viec cac thong so o khong c thay
oi na, th khong thuoc nhom cac he thch nghi theo ngha tren. Hoac mot
trng hp khac, he thong ma tnh t thch nghi cua thiet b ieu khien
c thc hien bang cach da vao s thay o i cua oi tng ma chon khau
ieu khien co tham so thch hp trong so cac khau cung cau truc nhng vi
nhng tham so khac nhau a c cai at t trc, cung khong c goi la he
ieu khien thch nghi. Tnh thch nghi cua cac loai he thong nay c thc
hien bang cach chuyen cong tac en bo ieu khien co tham so phu hp ch
khong phai t chnh nh lai tham so cua bo ieu khien o theo ung ngha
cua mot bo ieu khien thch nghi a nh ngha.
Thc te ng dung ky thuat m cho thay rang khong phai la c thay mot
bo ieu khien m vao cho bo ieu khien kinh ien th se co mot he thong tot
hn. Trong nhieu trng hp, e he thong co ac tnh ong hoc tot va ben
vng ( robust) can phai thiet ke thiet b ieu khien lai gia bo ieu khien m
va bo ieu khien kinh ien. Ngoai ra ve mat tam ly cac nha thiet ke he thong
nhieu khi cung cam thay yen tam hn khi chon bo ieu khien a c quen
biet va thong dung t lau, v du bo ieu khien PID kinh ien, hn la chon bo
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &93 & SVTH : NGUYEN MINH TAM
ieu khien m cho phng an thiet ke cua mnh. Mot so dang cau truc c ban
cua he m lai va he m thch nghi cung vi kha nang thc hien va mot vai
hng dan thiet ke se c hng dan trong chng nay.

4.2. He m lai

4.2.1 He lai khong thch nghi co bo ieu khien kinh ien:

Hay quan sat cau truc cua mot he lai trong hnh 1 co bo tien x ly m.
Nhiem vu c giai quyet bang bo ieu khien kinh ien ( v du nh bo ieu
khien PID kinh ien) va cac thong so cua bo ieu khien khong c chnh
nh thch nghi. He m c s dung e ieu che tn hieu chu ao cho phu
hp vi he thong ieu khien. Ve nguyen tac, tn hieu chu ao la mot ham thi
gian bat ky va ch phu thuoc vao nhng ng dung cu the. Mot cau truc cu the
cua he m lai co bo tien x ly m nh va y c bieu dien trong hnh 1

O

.


Hnh 4.1: Bo ieu khienm lai co khau tien x ly m
Tn hieu chu ao x a vao he thong c ieu khien qua bo m. Tn hieu
vao x c so sanh vi tn hieu ra y cua he thong va sai lech E cung ao ham
DE cua no c a vao au vao cua bo loc m tao ra mot lng hieu chnh
x A , tn hieu chu ao a c loc co gia tr x + x A . Tac dung cua bo loc m
trong toan bo he thong la lam cho he co ac tnh ong tot hn va nang cao
kha nang ben vng cua he khi cac thong so trong he bien oi. Nguyen tac
ieu khien nay se c minh hoa bang v du di ay vi oi t ng gom
khau tuyen tnh co mo hnh toan hoc bieu dien di dang ham truyen at

4.2.2 He m lai cascade:

Mot cau truc m lai khac c bieu dien trong hnh 2, o phan bu tn
hieu ieu chnh u A c lay ra t bo ieu khien m.




Bo tien
x ly
Bo ieu
khien
oi
tng

Bo ieu khien
m
Bo ieu khien
kinh ien
oi tng
u
+
Au
-
x
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &94 & SVTH : NGUYEN MINH TAM




O O



Hnh 4.2: Cau truc m lai cascade.
Trong trng hp he thong co cau truc nh tren th viec chon cac ai
lng au vao cua he m phu thuoc vao tng ng dung cu the. Tat nhien cac
ai lng thng c s dung lam tn hieu vao cua he m la tn hieu chu
ao x, sai lech e, tn hieu ra y cung vi ao ham hoac tch phan cua cac ai
lng nay. Ve nguyen tac co the s dung cac ai lng khac cua oi tng
cung nh s dung cac nhieu xac nh c.

4.2.3 ieu khien cong tac chuyen oi thch nghi bang khoa m:

ieu khien he thong theo kieu chuyen oi khau ieu khien co tham so
va cau truc phu hp vi iem lam viec cua oi tng oi hoi thiet b ieu
khien phai cha tat ca cac khau co cau truc va tham so khac nhau cho tng
trng hp. He thong se t chon khau ieu khien co tham so phu hp vi oi
tng. ieu khien cong tac chyen oi v tr e chon khau ieu khien phu hp
thc hien bang khoa m.
Thong thng th cac khau ieu khien c dung trong trng hp nay la cac
khau co cau truc nh nhau nhng tham so khac nhau. Khac vi viec chnh
nh thong so thch nghi trong cac he t chnh, cac thong so ay c chnh
nh cng qua cong tac chuyen oi. u iem chnh cua he thong nay la cac
bo ieu khien lam viec oc lap vi nhau, do vay co the kiem tra tnh on nh
cua he ng vi tng trng hp rieng biet. Cac ai lng vao cua he m c
xac nh cho tng ng dung cu the.
4.3. Bo ieu khien m thch nghi

Trong thc te, he t thch nghi c s dung nhieu v nhng u iem
cua no so vi cac he thong ieu khien thong thng. Kha nang t chnh nh
lai cac thong so cua bo ieu khien cho phu hp lai oi tng cha biet ro a
a he thch nghi tr thanh mot he ieu khien thong minh. So vi nhng bo
ieu khien kinh ien, bo ieu khien m co rat nhieu tham so nen mien chnh
nh cho m rat ln. Ben canh nhng tham so giong nh mot bo ieu khien
kinh ien, v du bo PID m cung co 3 tham so gom bo khuech ai K
R ,
hang so
tch phan T
I
, hang so vi phan T
D
, mot bo ieu khien m con co them nhng
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &95 & SVTH : NGUYEN MINH TAM
ham lien thuoc cho cac gia tr m, luat ieu khien, cac phep toan HOAC, VA,
thiet b hp thanh va nguyen ly giai m cung la nhng tham so chnh c.
4.3.1 Cac phng phap ieu khien m thch nghi

Cac bo ieu khien m thch nghi co kha nang chnh nh cac tham so
cua tap m ( cac ham lien thuoc) goi la bo ieu khien m t chnh ( Self
Turning - Controller). Bo ieu khien m co kha nang chnh nh lai cac luat
ieu khien, v du chuyen t TH y = NS thanh TH y = ZE , c goi
la bo ieu khien m t thay oi cau truc. Trong trng hp nay, he thong co
the bat au lam viec vi cac luat a c chnh nh hoac vi bo ieu khien
con cha u cac luat ieu khien. Cac luat ieu khien can c bo sung them
se thiet lap trong qua trnh hoc.
He thong ieu khien c ban cua he thch nghi hoan toan giong nh cac he
thong ieu khien mot mach vong thong thng. Cac tnh chat cua oi tng
di tac dung cua ieu khien, thong thng c tien hanh nhan dang qua he
kn hoac thong qua cac ai lng ac trng cua he nh bo qua ieu chnh cc
ai, thi gian qua ieu chnh cc ai, bnh phng sai lech, tch phan sai so
tuyet oi Mach vong thch nghi cho he ieu khien m hoac khong m ieu
c xay dng da tren hai phng phap:
_ Phng phap trc tiep thc hien qua cac viec nhan dang thng
xuyen cac tham so cua oi tng trong he kn ( hnh 3). Qua trnh nhan dang
thong so cua oi tng co the thc hien bang cach thng xuyen do trang thai
cua tn hieu vao/ ra cua oi tng va chon mot thuat toan nhan dang hp ly.
Tat nhien la phai i kem vi gia thiet la mo hnh cua oi tng a biet trc (
v du nh oi tng co mo hnh
pTp
Kp
+ 1
cua mot khau quan tnh bac mot co
tre va cac tham so K
p
, T
p
can phai c nhan dang). Mo hnh cua oi tng
cung co the la mo hnh m. Mo hnh m la mo hnh bieu dien di dang cau
ieu kien: NEUTH hoac di dang ma tran quan he R ( ma tran bieu
dien luat hp thanh).




O



Hnh 4.3: phng phap ieu khien thch nghi trc tiep.

Nhan dang
tham so
oi tng Bo ieu khien
Chnh nh
x
-
y
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &96 & SVTH : NGUYEN MINH TAM
_ Phng phap gian tiep thc hien thong qua phiem ham muc tieu cua
he kn xay dng da tren cac ch tieu chat lng, chat lng cua he thong
c phan anh qua cac tham so cua phiem muc tieu. Phiem ham muc tieu co
the c xay dng da tren cac ch tieu chat lng ong cua he thong nh o
qua ieu chnh cc ai, thi gian qua ieu chnh, cac ch tieu cua mien tan so,
o rong giai thong tan, bien o cong hng hay cac tieu chuan tch phan sai
lech va cung co the xay dng nhieu ch tieu trong cung mot phiem ham.

4.3.2 Bo ieu khien m t chnh cau truc

Bo ieu khien m t chnh nh cac luat ieu khien c goi la bo ieu
khien m t chnh cau truc. Bo chnh nh c thiet ke am bao au ra la gia
tr hieu chnh cua tn hieu ieu chnh u(t) ( tn hieu ra cua bo ieu khien). e
thay oi luat ieu khien trc tien la phai xac nh c quan he gia gia tr
c hieu chnh au ra cua bo ieu khien vi bo gia tr bien oi au vao.
Do vay can co mo hnh tho cua oi tng, mo hnh nay dung e tnh toan gia
tr au vao tng ng vi gia tr au ra can at c cua bo ieu khien. Da
tren tn hieu ra mong muon va tn hieu vao cua he tng ng cua bo ieu
khien co the xac nh va hieu chnh cac nguyen tac ieu khien, cac nguyen
tac nay am bao chat lng ieu khien cua he thong. Mot cau hoi c at ra
la nhng gia tr nao cua tn hieu ieu khien u (t) ( tn hieu ra cua bo ieu
khien) se lam cho chat lng cua he thong xau i?. e tra li c cau hoi
nay phai xac nh c ac tnh ong hoc cua he thong. oi vi nhng o i
tng bac cao co thi gian tre ln co the co thi gian chnh nh cham, con
oi vi he thong bac thap co thi gian tre nho yeu cau thi gian chnh nh
nhanh. Tom lai, viec chnh nh ch co y ngha khi qua trnh chnh nh ket
thuc trc khi he thong ket thuc qua trnh qua o.

4.3.3 Bo ieu khien m t chnh co mo hnh theo doi

Mot he t chnh khong nhng chnh nh trc tiep tham so cua bo ieu
khien ma con chnh nh ca tham so cua mo hnh oi tng c goi la bo t
chnh co mo hnh theo doi ( Model Based Controller MBC). Vi bo ieu
khien nh vay he m khong ch s dung cho qua trnh nhan dang oi tng,
c goi la mo hnh oi tng m. He t chnh m a c ap dung trong
he thong ieu khien ng tau ien ngam Sendai/Nhat Ban va trong cache
thong ieu khien mc, cac he thong ma mc o kho thc hien do hang so thi
gian cham tre cua oi tng gay ra.
Bo ieu khien co mo hnh theo doi MBC bao gom ba thanh phan chnh:
1. Mo hnh oi tng m ( thng co dang ma tran quan he), c xac
nh trong khi he thong ang lam viec bang cach o va phan tch cac
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &97 & SVTH : NGUYEN MINH TAM
tn hieu au vao/ ra cua oi tng. V mo hnh cua oi tng gian tiep
xac nh cac luat hp thanh cua bo ieu khien do vay bo ieu khien
MBC cung chnh la bo ieu khien m t chnh cau truc.
2. Cac ch tieu chat lng c s dung trong phiem ham muc ch
thng c a di dang ham lien thuoc. Th du nh trong he thong
ieu khien mc, o chenh so vi mc mong muon c bieu dien bang
ham lien thuoc dang hnh tam giac, trong o nh cua tam giac chnh la
gia tr mc mong muon. Neu can toi u ong thi nhieu phiem ham
muc ch, co the to hp nhieu ch tieu tng ng theo toan lien ket
MIN.
3. La chon tn hieu ieu khien u t tap hp cua cac tn hieu ieu
khien xac nh t mo hnh oi tng va am bao ch tieu chat lng
nao o cua he thong tot nhat.
Nhng bai toan thiet ke theo cau truc nay thng co nhng gia thiet nh sau:
1. Nhng thong tin ve mo hnh oi tng con rat t khi bat au qua trnh
ieu khien. Bi vay qua trnh thong thng qua trnh nhan dang phai bat
au vi ma tran quan he rong. Theo kinh nghiem cua cac phng phap
cu th nen bat au vi mo hnh cua oi tng c nhan dang he h
c goi la mo hnh ban au.
2. Trong nhng trng hp ac biet, giai oan au do thieu thong tin ve
oi tng nen cac quyet nh ieu khien khong thoa man c phiem ham
muc tieu, hay noi mot cach khac la khong thoa man c cac ch tieu chat
lng at ra. Trong nhng trng hp nh vay nen thiet ke them mot bo
ieu khien phu vi chc nang t nhat la gi cho he thong lam viec on nh
cho en khi mo hnh oi tng m xac nh hoan toan. n gian nhat la
nen gi lai gia tr tn hieu ieu khien u(t) cua bc trc o.
Thc hien tng phan cua bo ieu khien m t chnh co mo hnh theo
doi phu thuoc rat nhieu vao oi tng ieu khien. Cac phng phap thiet
ke va cac cau truc khac nhau cua he thong nay co the tm thay trong tai
lieu tham khao LIU. M.H Fuzzu Modellbildung und ihre Anwendung,
1994.

4.4. Chnh nh m tham so bo ieu khien PID :

Bo ieu khien PID kinh ien c thiet ke tren cac phng phap a
biet nh phng phap tong hp he thong cua Ziegler va Nichols, phng
phap cua Offerein, phng phap ReinischBo ieu khien nay la c s
cho viec tong hp he thch nghi sau nay. Khac vi phng phap dung cong
tac chon bo ieu khien phu hp trong he lai, cac thong so cua bo ieu
khien thch nghi c hieu chnh trn. Mot bo ieu khien PID vi au vao
e(t), au ra u(t) co mo hnh toan hoc nh sau
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &98 & SVTH : NGUYEN MINH TAM
(

+ +
}
) (
0
) (
1
) ( t e
t
D
I
T d e
T
t e t t
p
KI
R
D
K
K
I
R
K
K

U( t
) =
K
R
( (2)


hoac G
(PID)
(p) = K
R
+

+ K
D
P,

(3)


trong o T
I
= va
T
D
=


Cac tham so K
R
, T
I
, T
D
hay K
R
, K
I
, K
D
cua bo ieu khien PID c
chnh nh tren c s phan tch tn hieu chu ao va tn hieu ra cua he thong,
chnh xac hn la sai lech e(t) va ao ham ( ) t e
.
cua he sai lech. Co nhieu
phng phap chnh nh cac tham so cho he ieu khien PID nh chnh nh
qua phiem ham muc tieu, chnh nh trc tiep, song phng an n gian
nhng de ap dung hn la ca phng phap chnh nh m cua Zhao, Tomizuka
va Isaka (hnh 4.11). Vi gia thiet cac tham so K
R
, K
D
b chan, tc la K
R

| | | |
max min max min
, ,
D D D R R
K K vaK K K e e ,Zhao, Tomizuka va Isaka a chuan hoa cac
tham so o nh sau

e co 0 s k
R
s 1.







O



Hnh 2.4: phng phap chnh nh m tham so bo ieu khien PID
Nh vay bo chnh m se co hai au vao la e(t), ( ) t e
.
va ba au ra la k
R
, k
D
, o
trong o
min max
min
min max
min
,
D D
D D
D
R R
R R
R
K K
K K
k
K K
K K
k

=
Bo chnh
nh m
Thiet b
chnh nh
Bo ieu
khien PID
oi tng
x
y
e(t), ( ) t e
.

-
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &99 & SVTH : NGUYEN MINH TAM
D
I
T
T
= o ,
D
R
I
K
K
K
o
2
=
do o co the xem no nh ba bo chnh nh m nho, moi bo co hai au vao va
mot au ra ( hnh 4.5).









Hnh 4.5: Ben trong bo chnh nh m.





















Hnh 4.6: nh ngha tap m vao/ra.
Bien ngon ng k
R
, k
D
, co hai gia tr m
1. B(big) va
2. S(small)
c nh ngha trong hnh 6 b,c

Bo chnh
nh m 1
Bo chnh
nh m 2
Bo chnh
nh m 1
-
-
Kr
Kd
o
e
.
e
Hnh b,c : bien Kr
va Kd

0
B
Kr, Kd
S
NB NM ZE PS PM PB
e ,
.
e
NS
-e
max
e
ma
x
Hnh d : tn hieu vao
M

0
S
MS
P
x
1
1 2 3 4
5 6
o
Hnh a : bien o

uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &100 & SVTH : NGUYEN MINH TAM
Bien o co bon gia tr
1. S (small),
2. MS (medium small),
3. M ( medium) va
4. B (big)
Vi nhng ham lien thuoc tng ng cho trong hnh 4.6a.
1. NB (negativ small),
2. NM ( negativ medium),
3. NS ( negativ small),
4. ZE (zero),
5. PS ( positiv medium) va
6. PB ( positiv big ).
Cua e va ( ) t e
.
cho hnh 4.6c, trong o e va e c gia thiet la b chan
-e
max
se, ( ) t e
.
s e
max

(6)
Ca ba khau chnh nh m trong hnh 4.5 eu s dung nguyen tac o cao e
giai m.
Luat ieu khien e chnh nh c xay dng theo nguyen tac: Tn
hieu ieu khien cang manh neu k
R
cang ln, k
D
va o cang nho.
Khi gia tr tuyet oi cua sai lech ln can co tn hieu ieu khien manh
me e a nhanh sai lech ve 0. Da theo nguyen tac nay ma co c cac ma
tran quan he sau cho tng khau chnh nh, ca ba ma tran quan he nay eu
co dang nghch ao gan oi xng qua ng cheo chnh hoac phu.

_ Luat chnh nh k
R















NB NM NS ZE PS PM PB
NB B B B B B B B
NM S B B B B B S
NS S S B B B S S
ZE S S S B S S S
PS S S B B B S S
PM S B B B B B S
PB B B B B B B B
.
e (t)

e(t)

uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &101 & SVTH : NGUYEN MINH TAM
_ Luat chnh nh k
D













_ Luat chnh nh o



















NB NM NS ZE PS PM PB
NB
S S S S S S S
NM B B S S S B B
NS B B B S B B B
ZE B B B B B B B
PS B B B S B B B
PM B B S S S B B
PB S S S S S S S
NB NM NS ZE PS PM PB
NB
S S S S S S S
NM MS MS S S S MS MS
NS M MS MS S MS MS M
ZE B M MS MS MS M B
PS M MS MS S MS MS M
PM MS MS S S S MS MS
PB S S S S S S S
.
e (t)

e(t)

.
e (t)

e(t)

uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &103& SVTH : NGUYEN MINH TAM





uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &104& SVTH : NGUYEN MINH TAM



CHNG 1
LO NHIET, XAC NH HAM TRUYEN
VA THONG SO CHO HAM PID



Ham truyen cua lo :
( )
1 +
=

Ts
Ke
s H
Ls

e ap dung cho he tuyen tnh ta lay khai trien Taylor cua ham e
-Ls
, ham truyen
tr nen ( )
) 1 )( 1 ( + +
=
Ls Ts
K
s H . T thc nghiem, ta co cac thong so sau :
T=450 s, L=60s, K=nhiet o xac lap / phan tram cong suat
Thc hien hieu chnh PID, t ham truyen
G
PID
(s) = Kp(1 + 1/TiS+TdS) = Kp + Ki/S + KdS
Vi Kp=1.2T/L = 9
Ti=2L=120, Ki=0.075
Td=L/2=30, Kd=270
Ta co :
G
PID
= 9 ( 1+1/120S+30S)
Cho rang he thong khong on nh va co thong so Kr, Ki, Kd thay oi trong
khoang
K A K
Kr=9 4 Kr=94
Ki=0.075 0.03 Ki=0.0750.03
Kd=270 30 Kd=27030

T o : Kr
max
= 13, Kr
min
= 5 5 s Kr s 13
Kd
max
=300, Kd
min
= 240 240 s Kd s 300
Theo phng phap Zhao Tomizuka va Isaka (xem phan 2, chng 3 : he m
lai), gia tr Kr va Kd c chuan hoa thanh
min max
min
min max
min
,
Kd Kd
Kd Kd
Kd
Kr Kr
Kr Kr
Kr
ch ch

= (1)
ma 0 s Kr
ch
s 1 ; 0 s Kd
ch
s 1
t (1) Kr = 8 Kr
ch
+ Kr
min
= 8 Kr
ch
+ 5
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &105& SVTH : NGUYEN MINH TAM

Kd = 60Kd
cj
+ Kd
min
= 60Kd
cj
+ 240
ay la nhng gia tr thc te ma ta se chuyen oi ve t ngo ra cua bo x ly m
e a vao ham PID cua PLC thc hien chnh nh

uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &106& SVTH : NGUYEN MINH TAM


Chng 3 : MO PHONG HE THONG BANG MATLAB

3.1. Gii thieu ve Matlab :
Matlab la mot ngon ng cc ky manh trong tnh toan va chay mo phong
ve o hoa, ay ta ch ng dung Simulink e chay mo phong he thong ieu
khien v tr, t o ta co c s e so sanh s khac nhau gia ly thuyet va thiet
ke. Simulink la mot cong cu (Tool) trc quan cua phan mem Matlab dung e
mo phong he thong ieu khien t ong.
Simulink gom nhng khoi trong ca so o hoa c nh ngha bang
nhng ngo vao/ra va quan he cua chung trong mien thi gian tng ng vi
cac phan t c ban trong he thong t ong. Ngi dung co the keo tha (drag
and drop) cac khoi nay gia cac ca so, dung chuot noi cac ngo vao ra e tao
mo hnh cua he thong can khao sat. Nh vay Simulink mo ta he thong di
dang s o khoi, cho phep ta xem ap ng cua he thong theo thi gian.
3.2. au vao la ham nac :

oi vi he thong tren, gia tr at la ham nac, ap ng ngo ra co vot lo
POT = (118-100)*100/100= 18 %
ac iem cua mach nay la tuy co vot lo nhng he thong nhanh chong xac lap
sau mot lan qua iem at va ac tuyen chay thang theo iem at vi sai so
0%, chng to bo ieu khien hoat ong tot (xem hnh minh hoa )



uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &107& SVTH : NGUYEN MINH TAM


3.3. au vao la ham nac nhng a c lam tre lai (lam mem tr at ) :

















Y ngha cua viec lam mem gia tr at :
Do khau vi phan co tac dung lam tre ap ng ngo ra nen ta ng dung no
lam cho gia tr at luc nay khong phai la mot ham nac na ma no tang gia tr
len t t bam theo ham nac, thi gian at c gia tr at phu thuoc vao hang
so tch phan Ti, con khau PID ngay sau ham Sum trong s o ch co tac dung
nh khau khuech ai co he so khuech ai bang 1 (Xem hnh minh hoa gia tr
at a c lam mem va ap ng ngo ra trong trng hp nay )

uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &108& SVTH : NGUYEN MINH TAM


CHNG 2
LU O GIAI THUAT

2.1. Gii thieu he thong :
1.Lu o :





















2. Giai thch :

1- Giao tiep gia PLC va PC :

Tn hieu t PC thong qua phan mem WinCC (Windows Control Center )
truyen xuong PLC thc hien ieu khien, giam sat va thu thap d lieu.
Ta co the ra lenh cho PLC bat au thc hien chng trnh, bat quat gay
nhieu kiem tra ap ng cua lo khi co nhieu xay ra.
ong thi chng trnh ieu khien cung co the giam sat hoat ong cua he
thong nh trang thai cua PLC, trang thai cua lo, trang thai cua quat ang chay
hay ang tat.
Qua t ga y
nhie u
Lo
nhie t
PLC
ie u khie n
Co ng
sua t
Ca m
bie n
SCADA
PC
Module
analog
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &109& SVTH : NGUYEN MINH TAM

Va hien th len man hnh ieu khien va thu thap d lieu ang c x ly
nh nhiet o hien hanh, sai lech ET va tat ca cac gia tr ang c PLC x ly
(ieu nay phu thuoc vao so the (tag) ma WinCC co the quan ly ), cac gia tr
nay c thu thap lai di dang bang (table) hay dang o th (trend) hoac ca
hai.
2- Cam bien va PLC :
Cam bien s dung trong luan van la Thermocouple loai K (co o nhay
nhiet la 40V/C ).
Cam bien Thermocouple TC ghi nhan gia tr nhiet o t lo nhiet va a ra
tn hieu ien ap V c (mV)
V = S(T
d
T
a
) = S.T
d
S.T
a
Vi Td la nhiet o can o.
Ta la nhiet o moi trong.
S la o nhay cua thermocouple
Nh vay tn hieu ra t TC b anh hng bi nhiet o moi trng ma ta goi la
au t do, khi x ly tn hieu can phai co bien phap tr kh gia tr nay. Thong
thng th ta phai lam mach bu nhiet e loai bo sai so, tuy nhien, do s dung
PLC S7-300 vi module Analog SM331 AI 8x12bit, ay la molule chuyen
dung co kha nang nhan trc tiep tn hieu t TC ma khong can phai qua bo
khuech ai, bu nhiet cho au t do va tuyen tnh hoa bang phan mem ac
tuyen ngo ra cua TC
Tn hieu nay c oi tam khi a vao PLC va ket qua thu c la gia tr
thc cua nhiet o.

3- Lo nhiet va PLC :

PLC nhan tn hieu t TC, chng trnh ieu khien so sanh nhiet o hien tai vi
tr at va a ra quyet nh ieu khien (xem phan giai thuat ieu khien cua
PLC ) ong hay ngat lo thong qua phan cong suat la Opto TriAc co s o nh
hnh ve









I/O power supply
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &110& SVTH : NGUYEN MINH TAM
















Muc ch cua khoi mach cong suat la cach ly ieu khien gia thiet b chap
hanh va PLC nham tranh rui ro co the xay ra do hong hoc lo nhie t lam h
hai en PLC

4- Quat gay nhieu :

Co the noi mot cach khong qua ang rang ay chnh la u iem cua phng
phap m lai, oi vi phng phap ieu khien PID thong thng th khi co
nhieu xay ra hay he thong b thay oi ac tnh, ta phai thiet lap lai thong so
PID th he mi tr ve trang thai xac lap, con trong luan van nay, khi bat quat
gay nhieu e lam thay oi ac tnh lo nhiet, he b mat on nh nhng sau o
nhanh chong t ong tr ve trang thai xac lap, xem hnh minh hoa









OP-TO TRIAC
I/O Unit cua
PLC
220VAC
V
0 G
1
2
Lo
nhiet
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &111& SVTH : NGUYEN MINH TAM


Hnh minh hoa ap ng cua lo nhiet khi co nhieu
T hnh minh hoa, ta thay lo ang on nh nhiet o 50
o
C, khi co tac ong
cua nhieu, he thong b mat on nh, vot lo hi cao la do nhiet o thap, quan
tnh cua lo ln, trng hp tng t khi ieu khien lo nhiet o thap. Sau o
lo a tr ve trang thai on nh

He thong
ang on nh
He thong
mat on nh
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &112& SVTH : NGUYEN MINH TAM

2.2. Chng trnh chnh :

1.Lu o :


Ba t a u
Nhie t o
a t
nha p
tho ng so
PID
o c nhie t
o lo
le nh cha y
PLC
N
Y
Hie u
chnh PID
X ly m
tho ng so
PID
ie u ro ng
xung
He tho ng
bnh th ng ?
N
Y
ie u
khie n lo
Ke t thu c
Ca m bie n
t ?
N
Y
ie n tr
t ?
N
Y
Ba o o ng
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &113& SVTH : NGUYEN MINH TAM

2. Giai thch lu o giai thuat ieu khien :
1- Khi nap chng trnh t may tnh xuong, chuyen PLC sang che o RUN,
no se oc nhiet o at ve t may tnh thong qua phan mem WinCC, thong so
cua bo PID,
2- Neu co lenh chay PLC t WinCC th chng trnh ieu khien mi bat
au hoat ong, con khong th no trang thai ch
Co lenh chay, PLC se kiem tra tnh trang cua lo co bnh thng hay khong,
tc la kiem tra cam bien hay ien tr lo co b t ?, neu co no se ra thong bao
loi va dng chng trnh
3- oc nhiet o lo t TC, gia tr nay co kieu nh dang Integer, a c oi
thang va hien th gia tr thc cua lo.
4- Bo ieu khien thc hien x ly m tham so PID c thc hien do module
m FB30 c tch hp trong PLC, do lo nhiet co nh nen trong lan oc au
tien cua PLC, no se lay tham so mac nh c ngi lap trnh a vao
Tien hanh hieu chnh tham so PID thong qua module FB41, ket qua a
trc tiep vao module ieu rong xung FB43 (xem phan 1, chng 5)
5- Xuat lenh ieu khien lo, tuy thuoc vao gia tr ngo ra cua bo ieu rong
xung ma trang thai cua lo c ong hay m.
6- Ket thuc mot vong ieu khien













uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &114& SVTH : NGUYEN MINH TAM


2.3. Chng trnh con :
1- Lu o tnh toan chi tiet cua phng phap PID so :

U(kT) > U max
e[(k -2 ) T] = e[(k -1) T]
e[(k -1) T] = e(kT)
e(kT) = SV PV
U[(k -1) T] = U (kT)
U(kT) = e(kT) * A - e[(k -1) T] * B + e[(k -2 ) T] * C + U [(k 1 )T]
U(kT) = U (kT) / K
U(kT) < 0
U (kT) = U max
U(kT) = 0
T
on
= T * U(kT) / Umax
T
off
= T - T
on

uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &115& SVTH : NGUYEN MINH TAM

Giai thch lu o giai thuat : trong phan ly thuyet PID so
2- Giai thuat ieu khien m theo phng phap Zhao Tomizuka va
Isaka
a. Lu o :
o c gia tr
Kr, Kd
Chua n ho a
Kr, Kd
o c gia tr
ET va DET
t module PID
X ly m tm
K'r, K'd,
An-pha
Tnh Kr, Kd theo co ng th c
Kr =(Krmax-Krmin)K'r +Krmin
Kd =(Kdmax-Kdmin)K'd +Kdmin
T Ki =Kr*K/(an_pha*Kd)
tnh Ti =Kr/Ki, Td =Kd/Kr
a Ti, Td va Kr va o
la i module PID
va hie u chnh PID
Ke t thu c
Ba t a u
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &116& SVTH : NGUYEN MINH TAM




uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &117& SVTH : NGUYEN MINH TAM

CHNG 1
GIAO DIEN IEU KHIEN

4.1. Giao dien chng trnh ieu khien viet bang WinCC :

1- Chu thch giao dien :
- Tr at : t man hnh giao dien ta co the at gia tr at, gia tr nay la mot
so nguyen dng b khong che trong khoang 0.. 250
o
C, neu co tnh nhap
ngoai gia tr nay th chng trnh ieu khien xem nh ta khong lam g
ca va mac nhien lay gia tr hp le trc o
- ieu khien PLC : do chng trnh c thiet ke s dung trong cong
nghiep vi man hnh giao dien OP (Operation Panel ) dung e ieu
khien PLC, do o ch khi nao co lenh t OP th chng trnh mi c
thc hien, do ieu kien han che khong co u thiet b, tuy OP c thay
the bang PC ( pesonal computer ) nhng van gi t tng thiet ke tren,
mac du t PC, de dang viet mot vai lenh cho PLC th co the ra lenh ieu
khien t ngo vao digital cua PLC ma khong can may tnh
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &118& SVTH : NGUYEN MINH TAM

- ieu khien quat : khi a xac lap, muon kiem tra ap ng cua lo khi co
nhieu, ta ieu khien bat hay tat quat
- Thu thap d lieu : ay la man hnh giup ta co the giam sat nhiet o cua
lo va o th hay bang d lieu ang xay ra cua oi tng can giam sat.
- Bao ong va bao cao : cac trng hp he thong xay ra s co th chng
trnh se a ra cac canh bao va bao cao sau buoi lam viec

Sau khi nhap tr at va ra lenh cho he thong hoat ong, PLC kiem tra
ieu kien van hanh va bat au ieu khien lo nhiet neu khong co s co,
man hnh giao dien giam sat hien th trang thai PLC, trang thai quat gay
nhieu, trang thai lo, theo nguyen tac thiet ke, neu trang thai cua PLC la tat
th ca lo va quat eu bat buoc phai tat (hnh minh hoa tren).

Gia tr at c nhap vao t man hnh giao dien co cau tao nh phm
bam thc te



Vao man hnh thu thap d lieu, trang thai quan trong la nhiet o hien tai
va sai lech c hien th di dang so, bar, o th va ca bang d lieu (xem
hnh )

uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &119& SVTH : NGUYEN MINH TAM








































uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &120& SVTH : NGUYEN MINH TAM

CHNG 2
KET QUA THC THI

1- Nhiet o at la 50
o
C :
a. Dang o th :

b. Dang bang :











* T o th ta thay
Thi gian xac lap
POT
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &121& SVTH : NGUYEN MINH TAM

- o vot lo :
POT = (72-50)*100/50 = 44 %
-Thi gian xac lap theo chuan 2% :
t
xac lap
= 52-41 = 11 phut
ac tuyen ieu khien rat tot, ap ng ch qua iem at co mot lan roi sau
o xac lap bam theo tr at, vi sai so gan nh bang 0, t bang d lieu, ta tnh
c sai so :
Mc thap : ET1 = (49.9-50)*100/50= 0.2 %
Mc cao : ET2 = (50.5-50)*100/50 = 1%
Trung bnh sai so : ET = (ET1 +ET2)/2 = (1+0.2)/2 = 0.6 % - rat tot
Ta co nhan xet nhiet o thap, do quan tnh cua lo ln nen xay ra vot lo
ln, neu muon chnh xac, ta phai at lai tham so cua bo PID, ieu nay khong
con xay ra khi ieu khien nhiet o cao

2- Nhiet o at la 70
o
C :
























T o th :
POT
Thi gian xac lap
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &122& SVTH : NGUYEN MINH TAM

* o vot lo :
POT = (92-70)*100/70 = 31.4 %
* Thi gian xac lap theo chuan 5% :
T
xac lap 5%
= 48-39 = 9 phut
* Thi gian xac lap theo tieu chuan 2% :
T
xac lap 2%
= 51-39
nhiet o nay, vot lo a giam i rat nhieu va thi gian xac lap cung tang len

3- Nhiet o at la 100
o
C :



















T o th ta thay :
* o vot lo :
POT = (115 100)*100/100 = 15%
* Thi gian xac lap theo chuan 5 % :
T
xac lap 5%
= 41-34 = 7 phut
* Thi gian xac lap theo chuan 2 % :
T
xac lap 2%
= 43-34 = 9 phut

4- Nhiet o at la 120
o
C :


POT
Thi gian xac lap
POT
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &123& SVTH : NGUYEN MINH TAM




















T o th, ta thay :
* o vot lo :
POT = (130.5 120)*100/120 = 8.75 %
* Thi gian xac lap theo chuan 5% :
T
xac lap 5%
= 27-21 = 6 phut
* Thi gian xac lap theo chuan 2% :
T
xac lap 2%
= 29-21 = 8 phut
* Khi lo nhiet hoan toan xac lap, t bang d lieu :
Sai so mc tren :
ET1 = 0.5%
Sai so mc di :
ET2 = 0.099%
Sai so trung bnh :
ET = (ET1 ET2)/2 = (0.5 + 0.0999)/2 = 0.3 %



D lieu sai lech ET di dang bang :



uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &124& SVTH : NGUYEN MINH TAM











* Nhan xet chung :

Ket qua ieu khien tot nhiet o cao, vot lo thap, thi gian xac lap chap nhan
c, ket qua tng t khi cho ieu khien tong hp, thay oi nhiet o khi he
thong a xac lap

So sanh ket qua thc nghiem vi mo phong bang Matlab ta thay ly thuyet
c kiem chng mot cach thuyet phuc, ch co ieu thi gian xac lap khong
giong nhau, do lo nhiet co quan tnh ln

Phan lam mem tr at trnh bay tren la hng m rong cua e tai nham
cho ap ng tot hn, a c kiem chng. Ngoai ra, tac gia con phat trien cho
he thong tr nen hoan thien hn la : ng dung PLC ieu khien lo nhiet nhieu
iem hay noi mang lo nhiet. ieu nay phu hp vi thc te, chang ai em PLC
ch e ieu khien mot cai lo nhiet duy nhat, ma phai la he thong lo.

Cuoi cung, thong qua oi tng la lo nhiet, mot ly thuyet ieu khien kha
mi : Chnh nh m tham so PID bang phng phap Zhao Tomizuka va Isaka
c kiem nh va cho ket qua tot. T ay cung co mot hng phat trien mi
trong ieu khien hoc va co the ng dung tot hn trong thc te : toi u cac he
thong ieu khien thuan tuy bang m hay PID.
uploaded by http://scribd.com/pvdai

LUAN VAN TOT NGHIEP IEU KHIEN & GIAM SAT LO NHIET
GVHD : BUI THANH HUYEN &125& SVTH : NGUYEN MINH TAM

CHNG 3
ANH GIA KET QUA VA
HNG PHAT TRIEN

1. anh gia ket qua thc hien luan van :

Luan van tot nghiep la mon hoc bat buoc thc hien trong mot hoc ky cua
nam hoc _ khoang thi gian kha ngan e nghien cu mot e tai ln sao cho
hoan chnh, nhat la nhng e tai mi.

Tuy kien thc con han che, tac gia a co gang hoan thanh luan van trong
thi gian quy nh v ket qua kha tot. Van con o nhieu thieu sot, nhng t
luan van, co the rut ra nhng nhan xet quy bau, rat can thiet cho sau nay.

Ket qua luan van ap ng c yeu cau ieu khien he thong lo nhiet cong
nghiep

T o cung co them ly thuyet ieu khien mi : PID chnh nh tham so
bang phng phap m lai, co y ngha ln khi ng dung vao thc te nh cai tien
cac he thong ieu khien kinh ien bang PID hay toi u he m thuan tuy.

anh gia hoan thanh nhiem vu c giao.

2. Hng phat trien mi :

oi vi o tng lo nhiet : tang cng kha nang ap ng tam nhiet o
ln, giam thi gian xac lap, giam sai so (chnh tinh) hn na
oi vi PLC, nghien cu ng dung phng phap ieu khien vao nhieu oi
tng hn, thc te hn va hieu qua hn .
uploaded by http://scribd.com/pvdai

Ti liu tham kho


1. Ly thuyet ieu khien m. Phan Xuan Vinh Nguyen Doan Phc
2. T ong hoa vi Simatic S7-300 Phan Xuan Vinh Nguyen Doan Phc
3. ieu khien t ong 1, 2 Nguyen Th Phng Ha
4. Tai lieu th nghiem t ong hoa 1 va 2
5. Tai lieu th nghiem vi x ly
6. Simatic STEP 7 User Manual
7. S7 300 Harware Configuration and Structure
8. Simatic WinCC User Manual


uploaded by http://scribd.com/pvdai

LI CAM N

Trc tien em xin chan thanh cam
n tat ca cac thay co a tan tnh ch
day va trang b cho em nhng kien
thc can thiet e lam nen tang cho
viec thc hien luan van nay.
Xin chan thanh cam co Bui Thanh
Huyen va thay Phan Nguyen Phuc
Quoc a tan tnh giup em nh
hng, gop y va cung cap y tng
cung nh tai lieu tham khao trong
thi gian lam luan an.
Cam n s ong vien, tin tng cua
ngi than giup toi vt qua c
hoan canh kho khan e hoan thanh
cong viec e ra.
Sinh vien thc hien
NGUYEN MINH TAM
uploaded by http://scribd.com/pvdai

Das könnte Ihnen auch gefallen