Sie sind auf Seite 1von 166

RESEARCH REPORTS 2001-2002

Laboratory for Manufacturing Automation

RESEARCH REPORTS 2001-2002


edited by Prof. David A. Dornfeld Miguel C. Avila April 2002

Research Reports 20012002

CONTENTS
PREFACE ....6
BIO: PROF. DAVID A. DORNFELD....9 LMA ON THE WORLD WIDE WEB..10 MEMBERS OF THE LMA RESEARCH GROUP, 2001-2002...11

BURR RESEARCH GROUP......14


CONSORTIUM ON DEBURRING AND EDGE FINISHING (CODEF)...15 Comprehensive approach to Burr Prediction Amit Bansal...18 Study of Burr Size and Surface Roughness in High Speed Face Milling Amit Bansal and Kiha Lee25 Tool Path Planning in conjunction with Exit Order Sequence Amit Bansal and Kiha Lee30 Influence of Work Hardening Effect on the Exit Burr Formation in 2 Consecutive Ortogonal Cuttings Jihong Choi36 Optimization and Quality Control in Burr Formation using Design of Experiment (I): Face-Milling Kiha Lee.39 Optimization and Quality Control in Burr Formation using Design of Experiment (II): Drilling of Intersecting Holes Kiha Lee45 A Study of Surface Roughness in Micro-End-Milling of Aluminum Kiha Lee48 Integrated Tool Path Planning and Burr Prediction System for Face Milling Prabhu Ramachandran and Amit Bansal..53 Probabilistic based Precision Process Planning P4 Arvind Rangarajan57

Laboratory for Manufacturing Automation

Optimization of the Face Milling Process: Tool Path and Tool Design Issues, Part 1. Algorithm and Tool Path Design Arvind Rangarajan.61 Report on Sandvik Case Study Arvind Rangarajan.69 A Finite Element Model of Face Milling Borlin Shyu74 Development of a Milling-Burr Control Chart Christian Rosier.79

PRECISION RESEARCH GROUP 80


End-point detection in the CMP process: review of current approaches Edward I. Hwang...81 End-point detection in the CMP process: AE Sensor Edward I. Hwang ..88 A Hierarchical Framework for Integration of Fundamental Physical-Chemical Modeling to inform Environmental Decision Making: A Case study using the Environmental Value Systems Analysis Nikhil Krishnan and Uday Ayyagari 95 SMART Pad Fabrication for CMP Sunghoon Lee and Edward Hwang 100 Material Removal Regions in Chemical Mechanical Polishing: Coupling Effects of Slurry Chemicals, Abrasive Size Distribution and Wafer-Pad Contact Area, Part 1 Jianfeng Luo 105 Material Removal Regions in Chemical Mechanical Polishing: Coupling Effects of Slurry Chemicals, Abrasive Size Distribution and Wafer-Pad Contact Area, Part 2 Jianfeng Luo ...126 A Macroscopic Model for CMP Zhoujie Mao 138 ARCHIVAL JOURNAL PUBLICATIONS141

Research Reports 20012002

REFEREED CONFERENCE PROCEEDINGS 151 NON-REFEREED PUBLICATIONS-INVITED AND CONFERENCE PAPERS REPORTS/EDITED158 VOLUMES/CHAPTERS IN BOOKS 163 FURTHER INFORMATION..166 RESEARCH SPONSORS...166

Laboratory for Manufacturing Automation

PREFACE
Background The research work in the Laboratory for Manufacturing Automation (LMA) in the Mechanical Engineering Department (ME) (and affiliated with the Engineering Systems Research Center) of the University of California at Berkeley is motivated by the desire to reduce the uncertainty in the operation of manufacturing process so that technologically sophisticated products or components can be manufactured successfully and competitively. Many recent studies have analyzed the various aspects of manufacturing processes, machinery and systems and concluded that, for increased productivity, strong moves towards increased flexibility, precision, integration and computer control are needed. Unfortunately, a production line which is highly automated is not necessarily also highly flexible or programmable. Most existing automated machines, such as computer numerically controlled (CNC) machine tools and robotic-based manufacturing and assembly lines require a large effort in task-planning, program development and debugging and control tuning at the time of their installation and production start-up. This problem is compounded by relatively poor adaptability of these machines to changes in the production lines or processes, task scheduling and product modifications since most machines are designed to perform repetitive tasks for high production volumes and are not equipped with sufficient electronic or mechanical flexibility to detect changing conditions to adapt accordingly. If we add to the desire for increased flexibility a requirement for manufacturing parts and products that maintain tight dimensional and form tolerances, i.e., precision manufacturing, the need to adapt to variations in machine performance and tool and workpiece variation is even more critical. The inability of these systems to respond to changing conditions and the requirement for more precision in manufacturing are driving much of the research at Berkeley in the Laboratory for Manufacturing Automation. The overall goal of this research program is to reduce the uncertainty in the performance of manufacturing processes and systems. This uncertainty in operation is the source of most errors and in-process failures and is one of the key challenges to successful operation to meet the demands of production today and in the future. Of increasing importance is the development of tools for designers to use so that they may assess the impacts of the manufacturing process on the design and performance of their products and assemblies. In fact, the successful research program will, at one level, develop predictive process models for control and optimization of the process and, at a much higher level, wrap these models in software so that they are easily and effectively utilized by designers. The LMA is collaborating extensively with industry (through CODEF, for example) and with Professor Paul Wright (also in Mechanical Engineering at Berkeley) in a National Science Foundation funded project on Rapid Prototyping that addresses all aspects of the design to fabrication of precision products. Research Overview The research in the LMA is split into two basic areas reflecting the projects and interests of the sponsors- precision manufacturing and burr modeling, deburring and edge finishing. Precision manufacturing research has been growing steadily due to strong support from the computer peripheral industry (read-write heads and high density storage devices), the semiconductor "chip" manufacturers due to an increasing need for "planarization" technology in the fabrication of multi-layer devices and MEMS structures, and the precision component industry (aerospace, disk dives, fuel injectors). The second area is represented by an industry consortium, CODEF, described in more detail later in the report. A third, area of interest is pursued in collaboration with Professor Paul Wright in the Mechanical Engineering Department at Berkeley, on rapid prototyping of mechanical products and internet-based manufacturing - CYBERCUT. Finally, Professor Fiona Doyle of MSME at Berkeley has been contributing to an

Research Reports 20012002

understanding the chemical issues in polishing and CMP. Since the departure of Professor Paul Sheng., the LMA is increasing its activities in the are of environmentally conscious manufacturing, with special emphasis on the semiconductor industry and electronics manufacturing. At the University of California at Berkeley, engineering faculty have been working for a long time in a number of areas vital to manufacturing technology. Research at Berkeley is strongly motivated by modern automation as described above. Research issues listed below are all fundamental to both flexible automation and computer integrated manufacturing: process planning, including maximum utilization of manufacturing tools and processes for increased productivity, e.g. minimum downtime for maintenance automated monitoring and failure detection analysis and development of processes with minimal environmental impact intelligent sensors (processing of multiple sensor outputs for feature extraction, decision making, and collection of information from processes) ability to adapt to variability in materials and processing conditions burr formation modeling and deburring database, knowledge base and expert systems for design and manufacturing automation integration of new process technology into existing systems at minimum cost precision manufacturing

This research report gives a review of the projects in the laboratory under the supervision of Professor David Dornfeld. The projects include development of sensing methodologies and signal processing for process monitoring and analysis as well as advanced techniques for intelligent sensor-based process control. In addition, basic studies on processing leading to the development of models for component design and process control are included - specially for precision manufacturing. A key aspect of these research projects is that the results must be able to be demonstrated and evaluated in the laboratory. There is a strong industrial interaction as part of most of the research projects. Facilities for Research The research undertaken by the faculty and students in the LMA necessitates first rate equipment and laboratory facilities. In this environment, faculty, visiting researchers and graduate researchers can develop, implement and test individual ideas and cooperative research focusing on the control of machines, sensing and signal processing and machine-to-machine communications. The LMA is equipped with Matsuura 510V machining center, Mori Seiki NC Drilling machine, Pneumo

Laboratory for Manufacturing Automation

Diamond Turning Lathe and Kugler Ultra Precision Milling machine. In addition, an instrumented laboratory scale polishing/CMP machine and a modified polishing table equipped with fluid coupled AE sensors and a z-axis force dynamometer for tape/slurry texturing and burnishing is available. A variety of conventional machine tools are also available for research (cylindrical and surface grinders, for example). Instrumentation and computers for advanced signal acquisition and processing are available including an array of computers for data collection and analysis (PCs, RISC 6000 workstations) terminals on the Ethernet, MAC, Hewlett-Packard high speed data acquisition system and a variety of sensors (force/torque, current, laser profilometer and acoustic emission) and high speed AD/DA interface and digital data sampling hardware. Metrology hardware for surface characterization (Zygo and Talysurf 10 with computer interface) and scanning electron microscope with powered in-situ machining stage, HP laser interferometer are available. A full scale metallography laboratory for polishing and macro-examination of specimens is available. The LMA has joint projects with the Micrfolab in EECS and has access to those facilities as well. We also have a micromachining center coordinated with Professor H. Kazeroonis lab at the Richmond Field Station. A Consortium on Deburring and Edge Finishing (CODEF) has been established within the LMA to investigate burr formation mechanisms in various machining operations, to develop design guidelines to minimize the occurrence of burrs, and to develop advanced automated burr detection and deburring techniques. This consortium is described further in the following pages of this section. A consortium to support precision manufacturing research is under development with strong emphasis on semiconductor manufacturing/chemical polishing.

Research Reports 20012002

David A. Dornfeld
David Dornfeld received his B.S., M.S. and Ph.D. degrees in Mechanical Engineering from the University of Wisconsin-Madison in 1976 in the area of Production Engineering. His Ph.D. thesis concerned the study of the fundamentals of the mechanical pulping process (abrasive machining). He joined the faculty of the University of California at Berkeley in the Mechanical Engineering Department in 1977 and is presently Professor of Manufacturing Engineering. Since July 1, 1999 he holds the first Will C. Hall Family Chair in Engineering. He is past-Director of the Engineering Systems Research Center in the College of Engineering. In 1982 and 1992 he was Directeur de Recherche Associe, Ecole Nationale Superieure des Mines de Paris, Paris and Invited Professor, Ecole Nationale Superieure d'Arts et Metiers- ENSAM, Paris, respectively. Dr. Dornfeld's research activities are in several fields of manufacturing engineering and flexible automation: acoustic emission monitoring and analysis of manufacturing processes; burr formation and edge finishing (leads an industry consortium supporting work in this area); precision manufacturing; green manufacturing; and intelligent sensors and signal processing for process monitoring and optimization. He has published over 200 papers in these fields, contributed chapters to several books and has four patents based on his research work. He is a consultant on sensors, manufacturing productivity and automation and process modeling and the associated intellectual property issues. Professor Dornfeld is a Fellow and an active member of the American Society of Mechanical Engineers (ASME), contributing to the technical programs and journals of the society. He is the past Technical Editor, Trans. ASME, Journal of Engineering for Industry. He was the recipient of the ASME Blackall Machine Tool and Gage Award in 1986. He is a Fellow and past-Director of the Society of Manufacturing Engineers (SME), member of Japan Society of Precision Engineering (JSPE), American Society of Precision Engineering (ASPE) and the U.S. Acoustic Emission Working Group (AEWG). He is past-President of the Board of Directors and a member of the Scientific Committee, North American Manufacturing Research Institute (NAMRI/SME). He is an Active Member of the CIRP (International Institution for Production Engineering Research) where he served as co-chair of the Working Group on Tool Condition Monitoring and is Chair of the Scientific Technical Committee on Cutting.

10

Laboratory for Manufacturing Automation

Visit the LMA on the World Wide Web


http://LMA.Berkeley.edu

Research Reports 20012002

11

MEMBERS OF THE LMA RESEARCH GROUP, 2001-2002


Name Academic Background Field of Interest

Postdoctoral Researchers
Sangkee Min B.S. 1991, Yonsei University, Korea M.S. 1993, Yonsei University, Korea Ph.D. 2002, UC Berkeley FEM, Burr minimization, Maufacturing.

Graduate Students
Miguel Avila Udayakiran Ayyagari Amit Bansal Peter Broomes Andrew Chang Jihong Choi Edward Hwang Christian Keppeler Nikhil Krishnan Kiha Lee Sunghoon Lee Jianfeng Luo Zhoujie Mao Eric Masanet Arvind Rangarajan Prabhu Ramachandran Joaquin Rosales Bor-Lin Shyu Diploma in M.E. 2000, Central University of Venezuela, Caracas. B. S. 2001, IIT, Madras, India. B. Tech. 2000, IIT, Madras, India. B.E. in Mechaincal Engineering Stevens Institute of Technology B. S. 1995, UC Berkeley M.S. 1997, UC Berkeley B.S. 2001 Yonsei University, Korea B. S. 1997, Seoul Natl Univ. Korea M. S. 1999, Seoul Natl Univ. Korea B. S. 2000 Georgia Institute of Technology B. Tech 1997, IIT, Madras, India M.S. 1999 UC Berkeley B.S. 1997, Yonsei University, Korea M.S. 1999, Seoul Natl Univ. Korea B. S. Pusan National University, Korea M. S. Pusan National University, Korea B. S. 1997, Tsinghua University, China M. S. 1998, University of Cincinnati B. S. 1992, Dalian Univ. of Tech, China M. S. 1995, Tsinghua University, China B.S. 1994 University of Wisconsin M.S.1996 Northwestern University B. Tech. 1999, IIT, Madras, India M.S. 2001, UC Berkeley B. Tech 2001, IIT, Madras, India B.S. 2001, nstituto Tecnologico y de Estudios Superiores de Monterrey, Monterrey, Mexico B.S. 1987 Natl Taiwan Univ. Taiwan M.S. 1991, Natl Taiwan Univ. Taiwan Manufacturing Technology. Green Manufacturing and Design, Semiconductor Manufacturing Processes. Manufacturing Technology. Green Manufacturing, Process Modeling. CMP, Precision Manufacturing. Burr minimization, FEA. CMP, MEMS, Precision Manufacturing CMP pad design. CMP, Green Manufacturing. Burr Formation in MicroMachining. CMP, IC fabrication. CMP, Precision Manufacturing. CMP, Precision Manufacturing. Green Manufacturing and Design, Electronics Industry. CAD/CAM. CAD/CAM. Green Manufacturing, Thermal Finite Element Methods. FEM, Manufacturing.

12

Laboratory for Manufacturing Automation

Visiting Scholars
Arnaud De Grave Carlos Magno de Oliveira Holger Heups Agregation in M.E. 1999, Paris Masters Degree Ind. Eng. 2000, Grenoble B.S 1996, University of Sao Paulo, Brazil M.S. 1999, University of Sao Paulo, Brazil Diploma in M.E. 2002, Univ.of Tech. Aachen, Germany B.S 1987 Pusan National University, Korea M.S 1989 KAIST Ph.D 1994 University of Tokyo Vordiplom 1998 RWTH Aachen Diploma in M.E. 2002, Univ.of Tech. Aachen, Germany Concurrent Engineering, Integrated Design, Micro-Machining, MEMS
Machining processes, Monitoring systems, Data communication, Robotics, Artificial Intelligence.

Manufacturing Technology.
Grinding, CMP, Micro Machining, Rapid Prototyping.

Haedo Jeong Paul Junkermann Christian Rosier

FEA of SPDT. Manufacturing Technology.

Research Reports 20012002

13

Members of the LMA Research Group, 2001-2002

Back row: Kiha Lee, Christian Keppeler, Prof. David Dornfeld, Jianfeng Luo, Peter Broomes, Zhoujie Mao, Udayakiran Ayyagari, Prahbu Ramachandran. Middle row: Nikhil Krishnan, Andrew Chang, Miguel Avila, Bor-Lin Shyu, Joaquin Rosales, Sunghoon Lee. Front row: Jihong Choi, Christian Rosier, Sangkee Min, Arvind Rangarajan, Edward Hwang. Missing in photo: Amit Bansal, Haedo Jeong, Holger Heups, Arnaud De Grave, Paul Junkermann, Calos Magno de Oliveira, Eric Masanet.

14

Laboratory for Manufacturing Automation

BURR RESEARCH GROUP


Sponsored by

CODEF Members of Researchers Miguel Avila Amit Bansal Jihong Choi Holger Heups Kiha Lee Sangkee Min Prahbu Ramachandran Arvind Rangarajan Christian Rosier Borlin Shyu

List of CODEF Sponsors Boeing Caterpillar, Inc. Daimler-Chrysler GmbH Ford Motor Company Hibbit, Karlsson & Sorensen, Inc. National Science Foundation AB Sandvik Coromat Industrial Affiliates of the LMA

Research Reports 20012002

15

CONSORTIUM ON DEBURRING AND EDGE FINISHING (CODEF)


Professor David Dornfeld, Director Laboratory for Manufacturing Automation 5100A Etcheverry Hall University of California Berkeley, CA 94720-1740 USA (510) 642-0906 Fax: (510) 643-7463 E-mail: dornfeld@me.berkeley.edu BACKGROUND The CONSORTIUM ON DEBURRING AND EDGE FINISHING (CODEF) was established to address problems related to prediction and modeling of burr and edge breakout phenomena, development of a data base (or knowledge base) of best burr avoidance and removal techniques from industry, development of a computer aided design (CAD) advisor (a burr expert) for designers and process planners, development of strategies for deburring cell level process feedback and control and identification and development of advanced deburring technologies. Although all manufacturing processes are of interest in this program, of special interest is those processes for precision manufacturing. The program also provides a forum for the discussion of standards and terminology associated with the field. The CODEF is designed to be "industry driven" in the sense that the participation of industry in the identification of specific research objectives and applications for evaluation of results of research is aggressively sought. Industry sponsorship of the CODEF is also sought although there are opportunities for federal agency funding of basic research in this area (NSF University Industry Cooperative Research program, for example) or focused application research (NSF/ARPA Machine Tool Agile Manufacturing Research Institute at Illinois, for example). However, the quality and usefulness of the research and the potential for program support are dependent on the ability to link effectively with industry. The products of the consortium, in addition to the forum for discussion of challenges in this area, are: software (CAD burr expert, for example) database of burr minimization and deburring knowledge burr formation models applications oriented solutions to deburring and edge finishing problems deburring hardware/strategy evaluation

16

Laboratory for Manufacturing Automation

engineers trained in burr minimization and deburring techniques

There may be additional products of the consortium as it develops in response to specific requests and interests of the members. The basic objective of the CODEF is to provide a channel for the transition of basic research on burr/breakout formation modeling and deburring techniques into software and systems for industry to use to solve real manufacturing problems. To accomplish this objective the consortium activities must be strongly linked to industry which means the active participation of industry. CONSORTIUM PARTICIPATION To accomplish this linkage (in both directions- industry advisors for the consortium and research results and technology introduced in industry) membership will be solicited in the consortium according to the following format: Membership levels are established at two levels, Observer and Member, as follows: Observer- this level of participation allows the company to send a representative to the annual review meeting of the CODEF, receive prepublication copies of all CODEF research reports, and priority access to faculty student researchers in the LMA; a fee of $15,000/year with a three year commitment (preferred) is required for participation at the Observer level. Member- this level of participation includes the benefits of the Observer membership level plus participation in the CODEF advisory board (determining research priorities and directions for software and hardware development), the right to provide a "prototype part" for evaluation of developing burr minimization and deburring strategies, access to software for evaluation in your facility, assistance in licensing software from the University of California for use, visit to your company by CODEF researcher, opportunity for industry researcher to visit LMA for several days; a fee of $30,000/year with a three year commitment (preferred) is required for participation at the Member level. (Note: The fees for these two levels of participation are paid as gifts and, thus, incur no overhead charges.) Additional, specific, research projects can be arranged in the CODEF beyond the two levels of participation outlined above. Industrial Research Fellows from CODEF member companies can spend extended periods of time (for example, one year) on site working on a specific research project and participating in the activities of CODEF; there is an additional fee of $35,000 per year to cover the cost of hosting the individualoffice space, copying, telephone, facsimile machine, etc. Advisory Board- CODEF will have an informal advisory board comprised of

Research Reports 20012002

17

Member-level participants, representatives of faculty researchers, and, as appropriate, selected outside members. Short courses and special seminars on burr minimization, deburring or other topics are also offered. Free access to burrExpert.com website for information, problem-solving and resource referral

18

Laboratory for Manufacturing Automation

Comprehensive approach to Burr Prediction


Amit Bansal Sponsored by CODEF

Abstract The focus of this research is to modify and expand the current algorithm for Exit Order Sequence (EOS) scheme, a very reliable burr prediction tool for face milling operation. Given the complexity of the calculations involved and lack of analytical solutions, the new algorithm takes a more general approach by tessellating the curved edges into small straight edges. With this approach the algorithm is applicable to any given part geometry and at the same time to any given tool-path (NC or CNC) for that part geometry. A fully interactive graphical user interface (GUI) has been implemented with built-in solid geometric viewer. A burr size database has also been developed, which quantifies the burr size based on the EOS. Keywords: burr prediction, exit order sequence, face milling, graphic user interface.

1. Exit Order Sequence [1] During the face milling process, orientation of the material being pushed out or broken (depending on ductility of the material) is determined by feed direction, insert geometry and workpiece edge orientation. Order in which the three points A, B and C on the tool insert come out of the workpiece edge determines the burr size (Figures 1(a) and 1(b)). In other words orientation of cutting edge plays an important role in burr formation while the tool exits the workpiece edge.
major cutting edge

Machined surface
A

insert B minor cutting edge

Figure 1(a). Points A, B and C on tool insert.

Research Reports 20012002

19

C C

C A B

A ABC

B A BAC A

B A ACB BCA

A A B CAB A B CBA

Burr Size increases

Figure 1(b). Exit Order Sequence Calculation of location of points A, B and C on the insert with respect to tool center requires axial rake angle (a), radial rake angle (b), tool lead angle (g), feed per tooth (F), face cutting edge angle (F) and depth of cut (d) (Figure 2). OB = ( r.cosu, r.sinu , 0) OA = (r.cosu - |F.cosu|.cosu + |F.cosu|.sinu.tanb , r.sinu - |F.cosu|.sinu F.cosu|.cosu.tanb , |F.cosu|.tanF) OC = (r.cosu - d.tana.sinu + d.cotg.cosu , r.sinu + d.cotg.sinu + d.tana.cosu , d)

EZ

v
o

Y
EY

a O EX
A

C B

F B

Figure 2. Geometric location of points A, B and C.

2. Tessellation As shown above, the equations for determining the points A, B and C are very complex and given curves and splines present in the tool path and work piece geometry, the calculation becomes so much complicated that an analytical solution is not feasible. Previous work by Kumar[1] is limited to straight lines for both part geometry and tool path. Current algorithm uses tessellation to solve this problem and is applicable to any type of curve geometry.

20

Laboratory for Manufacturing Automation

In the process of tessellation, any geometry (an edge in this case) is discretized into small geometric entities. The precision of tessellation can be set depending on the part complexity. Coordinates of all the newly created edges are stored and new straight line edges are created joining them in that order to get new loops. These loops are further swept to 3D bodies and the bodies belonging to inner loops are subtracted from the body created for big outer loop to get the final tessellated body (Figure3). A similar attempt is made for the tool path also. Flow chart for tessellation process is shown in Figure 4. EOS prediction scheme is then applied to this newly created body for the newly created tool path. Figure 5 shows a comparison between the results produced with and with out tessellation. It is clear that the prediction system produces very arbitrary results for curved edges with out tessellation.

Figure 3. Part before and after tessellation (precision of tessellation has been decreased for clarity).

Research Reports 20012002

21

Input Part/ Tool Path

Store Loops in a Linked List

Check for curved edges in the loop Yes If NOT End of Loop List Break in to St. Edges No

Store Edges in a Linked List Tessellated Tool Path Sweep Loop to Body

Subtract inner bodies from Outer Body Tessellated Body

Figure 4. Flow chart for tessellation algorithm.

22

Laboratory for Manufacturing Automation

Tool Path ABC BAC ACB BCA CAB CBA

Figure 5. EOS algorithm applied to the part before and after tessellation

3. Graphic User Interface (GUI) A fully interactive GUI has been developed with an inbuilt solid geometric viewer. This GUI has been developed for the Windows operating system for its wide usage and user friendliness. Microsoft Visual C++ is used as the development tool with ACIS solid geometric modeler 6.3. This interface provides user to open a particular part file in *.sat format. A tool path parser is also provided which facilitates direct input of the tool path in NC/CNC format with minimum user modifications. An input panel feeds all initial geometric and cutting parameters e.g. various angles, feed, tool radius etc to the software as shown in Figure 6. The software executes the EOS algorithm and saves the tessellated body and the final results showing various EOS on the part geometry along with tool path in the current directory in *.sat format and opens the latter in the viewer. A snapshot of the software application has been shown in Figure 7. An error log is also created informing the user

Research Reports 20012002

23

about various errors occurred during the execution of the algorithm so that user can make appropriate modifications, mainly to the tool path. Apart from executing the EOS algorithm the software provide all the necessary features of a solid geometric modeler viz. zooming, panning, various views etc.

Figure 6. Dialog box to input parameters.

Figure 7. A snapshot of the software GUI, showing a part and resultant EOS.

24

Laboratory for Manufacturing Automation

4. Quantification of burr size A burr size database has been created based on the various experiments done at Daimler Chrysler AG, Germany. These experiments were performed on four different materials AlSi7Mg, AlSi10Mg and Mg(AS21), Mg(AS41) in order to understand the effect of material properties on exit order sequence. These materials were tested for various combinations of tool geometries (rake and lead angles) and cutting parameters (speed, feed rate and depth of cut). The results matched with respective theoretical values to an extent. These experiments also bolstered the fact that material ductility is the most important material property affecting burr size [1]. The user selects the work piece material from a pull down menu. Currently efforts are being made to include more materials into the database and also find some burr size correlation between various alloys of a materials, which have more than one constituting elements in common. In case the user does not find the material he or she is looking for, a help file is provided which carries information on various material properties. The user is encouraged to choose a material which matches the one used most often. The database is created in Microsoft Access and is connected to the software through database integration tools provided by Microsoft Foundation Classes (MFC). The material information with various EOS present in the work piece is forwarded to the database and appropriate information is retrieved and displayed to the user. The database provides a mean value as well as a burr size interval where the value is most likely to fall. Building of data display is still under development at this time

References [1] Kumar, S., Basic Model for Burr Prediction System, Masters Dissertation 2001, UC Berkeley [2] Narayanaswami, R. and Dornfeld, D.A., Burr Minimization in face milling a geometric approach, ASME Journal Of Manufacturing Science and Enginnering, Vol.119, May 1997, pp.170-177. [3] Gillespie, L.K. and Blotter, P.T., The Formation and Properties of Machining Burr, ASME Journal of Engineering for Industry, Vol. 98, No. 1, Feb. 1976, pp. 66-74. [4] Hashimura, M. and Dornfeld, D.A., Analysis of burr formation mechanism in machining process, 1st International Machining and Grinding Conference, Sep. 1995, Dearborn, Michigan, SME.

Research Reports 20012002

25

Study of Burr Size and Surface Roughness in High Speed Face Milling
Amit Bansal and Kiha Lee Sponsored by CODEF and NSF Abstract Due to rapid growth and competitiveness of the automobile industry, reduction in total machining time has become a basic necessity and many industries are switching to high speed machining. This study presents the results of tests done with a high speed face-milling machine tool at Daimler-Chrysler, Germany. Experiments were performed on four different materials for various combinations of tool geometries (rake and lead angles) and cutting parameters (speed, feed rate and depth of cut). A substantial amount of experimental data was collected regarding the burr formation and surface roughness for aluminum and magnesium alloys. A software tool for theoretical exit order sequence (EOS) prediction was also developed in JAVA for verifying the experimental results. Keywords: Aluminum, burr formation, exit order sequence, high-speed face milling, magnesium. 1. Introduction Figure 1 shows the basic burr formation mechanism in face milling. Exit order sequence (EOS) ranks the burr size into various categories based on how three points A, B and C on tool insert exit the work piece edge. This order determines the chip flow angle at tool exit. Six different EOSs, ABC, BAC, ACB, BCA, CAB and CBA are possible, in the order of increasing burr size.

Figure 1. Milling burr formation mechanism.

26

Laboratory for Manufacturing Automation

2. Simulation Tool for Exit Order Sequence A software tool was developed in JAVA programming language for reference to the theoretically predicted exit order sequence. A snapshot of this software is shown in Figure 2. This tool provides the user with the flexibility of changing various geometric and cutting parameters to obtain resultant exit order sequence. Different tool exit scenarios have been implemented: tool exiting perpendicular to workpiece, tool moving along the workpiece edge, etc. This tool is available as a JAVA applet on the LMA website and http://www.burrExpert.com.

Figure 2. Software tool for Exit Order Sequence Prediction. 3. Experimental Setup Four different materials AlSi7Mg, AlSi10Mg and Mg(AS21), Mg(AS41) were used for the experiments to see the impact of material properties. Uncoated carbide inserts were used for the experiments. Also, a single insert was used in the tool for the experiments to prevent the influence of variation in inserts due to run-out. Figure 3 shows the tool holder carrying four inserts and various tool angles.

Research Reports 20012002

27

Radial Rake Angle, b

Axial Rake Angle, a

Lead Angle, g

Figure 3. Tool Holder showing various tool angles. 4. Experimental Results Figure 4 shows the results of surface roughness and burr thickness for AlSi7Mg material. Since it has been shown previously that burr height is linearly proportional to burr thickness, even though burr height was measured; only burr thickness results are shown in this report. Nine different tools and 6 combinations of cutting parameters were used as shown in Figure 4. For example, (-6, 6) represents an axial rake angle of 6 and a radial rake of 6. Lead angle was fixed at 90. A cutting parameter combination (20000, 0.14, 0.86) means that cutting speed is 20000 rpm, feed 0.14 mm/rev, and depth of cut 0.86mm.

Figure 4. Surface roughness (left) and average burr thickness (right) for AlSi7Mg for various tool geometries and cutting conditions. Based on the results it was found that high speed milling results in small burrs. Also the surface quality at high speeds and low feed is better. A positive axial rake angle and negative radial rake angle is a better choice for small burr size. (6,-6) is good tradeoff between burr size and surface quality. Tests were extended to 95 lead angle and also for

28

Laboratory for Manufacturing Automation

(a, -a) type tool for various values of a rake angles. These results are not shown here but (a, -a) combination in general produces small burrs. No major differences were observed between results for 90 and 95 lead angles. Tool (-6, -6) showed very aberrant behavior. Unbelievably small burrs were noticed even though theoretical burr prediction gives very high burr size. However the combination produces very bad surface quality as shown in figure 5. A possible explanation could be back cutting and rubbing of the work piece surface due to negative rake angles. Tool wear was also very high.

Feed
Figure 5. Exit condition for tool (6, -6) Similar experiments were performed on AlSi10Mg material, which is more brittle than AlSi7Mg. The results for surface roughness are similar to that of AlSi7Mg as shown in Figure 6. It was found that AlSi10Mg has small burr thickness for low feed and high depth of cut while AlSi7Mg has the same for the reverse. Tool angle combination (6, -6, 90/95) produces small burr in general, and AlSi10Mg especially seems to follow this trend more closely. The burr size for AlSi10Mg is smaller than that of AlSi7Mg, because AlSi7Mg is slightly more ductile.

Figure 6: Comparison of Surface roughness (left) and average burr thickness (right) for AlSi7Mg and AlSi10Mg for various tool geometries and cutting conditions. The results of surface roughness and burr thickness for two magnesium materials are shown in Figure 7. Depth of cut is important factor for burr formation in magnesium. (6,6, 95) is best insert angle combination for getting small burr size. For ductile material,

Research Reports 20012002

29

effect of lead angle is important. Low speed (3000 compared to 5000 rpm) produces better surface quality.

Figure 7: Surface roughness (left) and average burr thickness (right) for Mg(AS21) and Mg(AS41) for various tool geometries and cutting conditions 5. Conclusions It was found that inserts with positive axial rake and negative radial rake angles result in a good trade-off between small burr size and good surface quality. For small burr size and good surface quality, high cutting speed is preferable for aluminum and low for magnesium. Exit order sequence applies appreciably for ductile material than brittle though the comparison is not presented in this report. 6. Future Work Currently work is underway for constructing a Milling Burr Expert System, an internetbased expert database reference system which can be used for choosing suitable tool geometry and cutting parameters. Also there is a need to extend the experimental work to more tool geometries and cutting parameters for AlSi10 and magnesium materials.

30

Laboratory for Manufacturing Automation

Tool Path Planning in conjunction with Exit Order Sequence


Amit Bansal and Kiha Lee Sponsored by CODEF Abstract The purpose of this research is to bring out the advantages of applying tool path planning in conjunction with exit order sequence (EOS) to minimize burr formation in face milling. While tool path planning avoids exit burr condition in high priority regions, EOS can help determine appropriate tool geometry and in plane exit angle for smaller burr size in exit regions. Tests were performed on engine blocks from an automotive production line and results were very encouraging. Keywords: Burr formation, exit order sequence, milling, tool path. 1. Introduction Tool exits occur only under certain circumstances for a 2D polygonal contour in planar milling. Three distinct tool exit conditions are observed, (a) tool pushing into the workpiece, (b) tool moving along an edge, and (c) tool encountering an adjacent edge, as shown in Figure 1. The figure shows the top view of the tool moving on the machined surface. Corresponding methods have been developed for each condition [2, 3]. For example, tool exits occur only at one point when the tool enters the workpiece along a circular arc with the tool radius centered at the point p (see Figure 2a). After entering the workpiece, the tool starts removing the workpiece material along an edge. Down milling will not cause tool exits in this case, as shown in Figure 2(b).

(a)

tool

(b)

(c)
tool exit

tool exit p
WP

tool exit tool


WP WP

Figure 1. Three tool exit conditions: (a) Tool enters the workpiece along a straight line. (b) Tool moves along an edge. (c) Tool encounters an adjacent edge.

Research Reports 20012002

31

This paper presents the results of the tool path planning in conjunction with Exit Order Sequence (EOS) tools. Motivation of this study is to use both simultaneously in order to minimize burr formation for oil filter flange and motor flange on an engine block from the prouction line at DaimlerChrysler, Germany. Using the tool path planning scheme, most tool exit regions can be avoided, specially for high priority regions. However, in general, it is not possible to avoid tool exit completely. For these regions, burr size can be minimized using EOS tools. As predicted by EOS theory, burr formation depends primarily on tool geometry and cutting parameters [4], therefore by selecting a suitable set of tool geometry and cutting parameters, burr size can be reduced to some extent. Sometimes, due to shoulder, fixturing and other machining constraints, tool path cannot be modified to avoid tool exit, in this case, EOS can minimize the burr size.

(a)

(b)

WP

p
WP

Figure 2. (a) Tool enters a workpiece along a circular arc without tool exits. (b) Down milling does not cause tool exits. 2. Experimental Setup Figure 3 shows the first workpiece, an oil filter flange. Priorities can be used to distinguish regions that are critical in terms of burr formation because of functional importance, safety issues and/or stringent tolerance specifications. The pocket shown with priority marked very high transports high-pressure oil, so burr formation has to be avoided at all costs. The pockets marked with priorities high carry water at high pressure and burr formation has to be minimized. For the other profiles minimizing burr formation is an advantage but not a necessity. A typical part machined by a high speed Ex-Cell-O machine is shown in Figure 3(b).

32

Laboratory for Manufacturing Automation

Low

Medium

Very High

High

(a)

(b)

Figure 3. (a) Sketch of Oil filter flange showing priorities and (b) real part. 3. Experimental Results Figure 4a shows a conventional tool path, which produces a very large tool exit area. To avoid tool exit area in high priority regions, tool path shown in Figure 4b was developed and a tool inserts with 0o axial rake angle and 0o radial rake angle or (0, 0) were used for machining. Even with this tool path, there were still few tool exit areas in high priority regions, which was unacceptable. To avoid these tool exit areas, a new tool path was developed, Figure 4c. Only a very small region resulted in tool exit. For these tool exit regions, burr formation was minimized using EOS tools. A new tool (6, -6) was used for the new tool path as it produces small burr size as predicted by EOS scheme. Figure 5 shows the comparison of burr size in some exit regions. Comparison of cutting conditions and results for different tool paths can be seen in Table 1. Though machining time increased slightly, it was in the acceptable range.

(a) (b) (a) (b) (c)

Figure 4. (a) Conventional tool path, (b) Optimized (Old) and (c) Optimized (New).

Research Reports 20012002

33

OLD

NEW

OLD

NEW

OLD

NEW

Figure 5. Burr Size comparison based on tool paths (b) and (c) in Figure 4.

Conventional Optimized Old Milling Cutter 40 40 Number of inserts 3 3 Cutting Speed m/min 1508 2000 Rotation Speed rev/min 12000 15924 Feed per Revolution [f] 0.12 0.15 Feed Rate [mm/min] 4320 7165.8 Length of Machining [mm] 355.0 555.7 Total machining time [s] 4.9 4.7

Milling parameters

Optimized New 40 3 2000 15924 0,15 7165,8 622.7 5.3

Table 1. Comparison of cutting conditions for different tool paths for oil filter flange. The same scheme was applied to the motor flange, shown in figure 6a. Figure 6b shows the conventional tool path. A fixturing constraint exists for this part on the left side (not shown in the figure). A tool path was proposed earlier (Figure 7a) to avoid exit burr completely. However, this tool path does not take the fixturing constraint into account. For this area, tool exit is unavoidable. And also, the total machining time was far from the acceptable range due to its complexity. To reduce the processing time and avoid workpiece constraint and exit burr in high priority region, a new tool path was developed as shown in Figure 7b. EOS prediction scheme was used for reducing burr size in fixture constrained region. As predicted by EOS scheme, a low in-plane exit angle was used for this region (tool center away from the workpiece). Again (6, -6) tool was used for burr size reduction in exit regions. A comparison of the burr size for tool (0, 0) and (6, -6) is shown in Figure 8. An encouraging 87% reduction in burr height was achieved with the new tool. Comparison of cutting conditions and results for different tool paths can be seen in Table 2. Machining time was reduced significantly and was with in acceptable range.

34

Laboratory for Manufacturing Automation

(a)

(b)

Figure 6. (a) Motor flange workpiece. (b) Conventional tool path.

(a)

(b)

Figure 7. (a) Optimized tool (proposed earlier). (b) Newly optimized.

a=0, b=0, CBA

a=6, b= 6, BAC

87% reduction in average Burr Height

Figure 8. Comparison between burr size as produced by tools (0, 0) and (6, -6).

Research Reports 20012002

35

Milling parameters Milling Cutter Number of teeth Cutting Speed m/min Rotation Speed U/min Feed per Revolution [f] Feed Rate [mm/min] Length of Machining [mm] Total machining time [s]

Conventional 100 7 2198 7000 0.1 4900 222.7 2.72

Optimized Old 40 3 1884 15000 0.15 6750 888.2 7.8

Optimized New 40 3 1884 15000 0.15 6750 434.9 3.87

Table 2. Comparison of cutting conditions for different tool paths for motor flange. References [1] Chu, C.H. and Dornfeld, D.A., Tool Path Planning for Exit Burr Minimization by Estimating the Total Length of Primary Burrs, submitted for publication, International Journal of Computer Integrated Manufacturing, 1999. [2] Chu, C.H. and Dornfeld, D.A., Tool Path Planning for Avoiding Exit Burr, submitted for publication, Journal of Manufacturing Processes, 1999. [3] Rangarajan, A., Priority Based Tool Path Planning for Face Milling, Masters Dissertation, UC Berkeley, 2001. [4] Kumar, S., Basic Approach to Face Milling Burr Formation and Prediction System, Masters Dissertation, UC Berkeley, 2001. [5] Bansal, A. and Lee, K., Study of Burr Size and Surface Roughness in High Speed Face Milling, LMA reports 2002.

36

Laboratory for Manufacturing Automation

Influence of Work Hardening Effect on the Exit Burr Formation in Two Consecutive Orthogonal Cuttings
Jihong Choi Sponsored by CODEF Abstract In an orthogonal cutting which consist of two consecutive cutting process, the work-hardening effect induced by the first process is expected to have an influence on the final burr formation. By finite element simulation and experiment, the optimal depth of cut of the first cut for a minimal final exit burr size will be obtained. Keywords: exit burr formation, finite element method, orthogonal cutting. 1. Prior research and background Park [1] divided the burr formation process in orthogonal cutting largely into four stages: initiation, initial development, pivoting point, and final development. First, before initiation of pivoting point, there is no plastically deformed region in the exit boundary (Figure 1(a)). A plastically deformed region appears on the unconstrained boundary C-D and expands in the initiation and initial development stages (Figure 1(b)). In the pivoting point stage, the point where material instability on the unconstrained boundary C-D occurs due to necking phenomenon (Figure 1(c)). Work hardening effect is expected due to this necking phenomenon in the pivoting point region. In an orthogonal cutting process consisting of several consecutive cuts, this work hardening effect of the pivoting point region in the formal cutting process is expected to have some influence to the burr formation in the next cutting process. The objective of this study is to investigate the relation between the first cutting-induced work-hardening effect and final burr formation and then estimate the optimal first depth of cut in the two consecutive orthogonal cutting process to minimize the final exit burr size. 2. Experiment and Finite Element Analysis of Orthogonal Cutting Four different cases will be tested by experiment and finite element simulation: (i) tool passes through the work hardened region in the second cutting process (Figure 2(a)), (ii) tool passes through the border of the work-hardened region (Figure 2(b)), (iii) tool passes through the depth of cut far below the work hardened region (Figure 2(c)), and (iv) second depth of cut is set as same to the burr thickness. (Figure 2(d)). To estimate the work-hardened region, finite element simulation will be used. To measure the machining induced residual stresses and work hardening effect on the workpiece by experimental method is very difficult and expensive. Finite element simulation can be an adequate method to investigate the remaining surface residual stresses and work-hardened region near a burr. In this study, quantitative data will be obtained from experiment and a finite

Research Reports 20012002

37

element analysis will be used for the qualitative investigation of work-hardening region and for experiment design. First, through the finite element simulation, the workhardening region can be estimated. Based on this finite element analysis result, orthogonal cutting of various depths of cut will be tested.

(a) Before initiation of pivoting point

(b) Initiation and development of plastic deformation

(c) Plastic deformation at the pivoting point

Figure 1. Burr formation process in orthogonal cutting (finite element simulation) [1].

(a) Case 1: 2nd cutting through the exit surface work-hardened region

(b) Case 2: 2nd cutting through the border of exit surface workhardened region (c) region

(c) Case 3: 2nd cutting through far below the work-hardened region

(d) Case 4: 2nd DOC = burr thickness

Figure 2. Three different cases in the two consecutive orthogonal cutting.

3. Future work The influence of work-hardening on the burr formation in practical machining processes like milling or drilling can be investigated based on this study. Also, based on cutting criteria from the finite element modeling of this study, FE models can be developed for various complicated cutting processes, like milling or drilling. Work-hardening is closely linked to the material properties which vary with temperature and strain rate. In most cases these material properties are unknown and it usually becomes the limitation of finite element simulation of burr formation. Material property estimation techniques for finite element analysis should be developed for more accurate simulation. References [1] Park,I.,1996,Modeling of Burr Formation Processes in Metal Cutting, Ph.D. thesis, University of California, Berkeley

Research Reports 2001-2002

39

Optimization and Quality Control in Burr Formation using Design of Experiment (I): Face-Milling
Kiha Lee Sponsored by CODEF Abstract This paper presents a new method to minimize burr formation and to improve surface finish simultaneously in face-milling. The design of experiments (DOE) methods discussed in the paper can optimize cutting parameters in an efficient and reliable fashion. Four cutting variables used at Daimler-Chrysler were optimized through iterative DOEs. 48(%) of burr thickness, 53(%) of burr height and 39(%) of surface roughness were reduced by the final optimal condition obtained from DOE II. Keywords: burr, design of experiment, face milling. 1. Introduction Machining is one of the most common manufacturing processes used in industry. However, burrs often occur along the workpiece edges during machining. The existence of burrs may reduce the fit and ease of assembly, jeopardize the safety of workers during handling, or cause product malfunction in operation. Hence, burrs must be removed. Traditionally, a second finishing operation, known as deburring, is often used to assure that the edges produced meet tolerance specifications. There are substantial costs associated with the deburring operation. In addition, since deburring is difficult to automate, it may become a bottleneck in a production line. Therefore, it is desired to avoid, or at least minimize, burr formation during machining operations.

Inputs

Machine Internal items Forces Energy Temperatures Wear Stationary zones Vibration

Output

Workpiece Tools Motions Control Fluids

Pats/cost Parts/time Required geometry Surface integrity

Table 1. Inputs, outputs, and internal items in the machining system when metals are cut [Shaw 1968].

40

Laboratory for Manufacturing Automation

Although a large amount of previous research has been carried out, no generally acceptable analytical or empirical equations for the prediction and control of burr formation are currently available. Development of reliable analytical models for prediction and control of burr formation should be one of the main goals of burr research. However, this is a complicated phenomenon affected by many parameters, some of which are listed in Table 1. Hence, a trial and error method is widely used in industry. Without an organized and systematic approach to experimentation, a costly and time-consuming random walk approach to looking for effects of change can lead to little or nothing in terms of enhanced knowledge of the process. The design of experiments (DOE) methods discussed in the paper can optimize cutting parameters in an efficient and reliable fashion. Using the DOE method, cutting parameters were optimized to minimize burr formation and improve surface finish simultaneously in face-milling. 2. Experimental setup and results As shown in Figure 1(a), the DOE, based on the factorial experiment, divides independent variables into controllable factors and noise factors. Controllable factors are those that can be maintained to a desired value, while noise factors are those that may not be controlled. In the study, noise factors are machine internal items shown in Table 1. Controllable factors have been chosen as tool geometry, speed, feed and depth of cut (DOC), as shown in Table 2. Tool geometry is divided into two variables, axial rake angle (a ) and radial rake angle (b ). This experiment has been conducted at DaimlerChrysler in Germany. They restrict speed, feed and DOC within an acceptable range to satisfy productivity, tool life, etc. Level 1 is chosen as a minimum value among the range, level 3 is the maximum and level 2 is average between Levels 1 and 3. The quality of machined part is determined by burr size (height and thickness) and surface roughness (RMS).
Output System Quality of machined part

Face milling process

Noise factors

Controllable factors

Machine internal items

Cutting parameters

(a)

(b)

Figure 1 Definition of a system in the DOE (a) and face milling process (b).

Research Reports 2001-2002

41

Controllable variables Tool [a,b] Speed [rpm] Feed [mm/rev] DOC [mm]

Level 1 0,0 10,000 0.08 0.7

Level 2 6,6 15,000 0.11 1.1

Level 3 6,-6 20,000 0.14 1.5

Table 2. Controllable variables and levels of cutting conditions in DOE I. Using a full factorial design method, given four factors and three levels, the total experimental run number would be 34=81. As more factors are added, the number of experiments increases exponentially. It takes time to conduct experiments and to measure burr size and surface roughness. Using the fractional factorial method, the total number of runs can be reduced to minimize time invested and cost of experimentation. In the study, the number of experiments is reduced from 81 to 9, using an orthogonal array, L9 (3)4, as seen in Table 3. Based on the array, the cutting conditions of each experiment were set as shown in Table 4. For every cutting condition, burr height, thickness and surface roughness were measured.

Radial Rake Angle, b

Axial Rake Angle, a

Lead Angle, g

Figure 2. Face-milling cutter with inserts, showing principal angles.

Run # 1 2 3 4 5 6 7 8 9

A 1 1 1 2 2 2 3 3 3

B 1 2 3 1 2 3 1 2 3

C 1 2 3 2 3 1 3 1 2

D 1 2 3 3 1 2 2 3 1

Table 3.Orthogonal array, L9(3)4.

42

Laboratory for Manufacturing Automation

Cutting conditions

Results
DOC mm b.thickness mm b.height mm s.roughness mm

Run #
1 2 3 4 5 6 7 8 9 Average

Tool a,b

Speed rpm

Feed mm/rev

0,0 0,0 0,0 6,6 6,6 6,6 6,-6 6,-6 6,-6

10,000 15,000 20,000 10,000 15,000 20,000 10,000 15,000 20,000

0.08 0.11 0.14 0.11 0.14 0.08 0.14 0.08 0.11

0.7 1.1 1.5 1.5 0.7 1.1 1.1 1.5 0.7

354 126 125 136 246 66 114 113 143 158

347 202 166 243 318 141 125 167 146 206

2.66 4.60 5.50 6.05 7.44 4.55 8.90 3.31 4.73 5.30

Table 4. Cutting conditions and results from DOE. Based on the results of Table 4, response graphs were drawn (Figure 3). The squares represent Design of Experiment I. Each response of controllable factors can be obtained by averaging the corresponding experimental data. For example, the average burrthickness response of speed for 10000 can be obtained by averaging the burr thickness of run 1, run 4 and run 7 as follows:
354 + 136 + 114 = 201 3

Two important tasks can be achieved from the response graph. First, we can distinguish the parameters that have either strong or weak effects on burr formation and surface finish. A high gradient in the graph means that the factor has a strong effect on the response. For instance, in Figure 3(a), depth of cut has a strong effect on burr thickness, and feed has a weak effect. Second, the graph allows us to set optimal values. For example, speed should be set at 20000 rpm to minimize burr thickness (Figure 3(a)). To minimize burr formation and improve surface finish simultaneously, an integrated performance index was derived. This is a cumulative normalized index. For example, the index of run #1 in Table 4 can be obtained as
354 347 2.66 + + = 4.42 158 206 5.30

In terms of an integrated index (Figure 3(d)), optimal cutting conditions can be determined to minimize burr formation and improve surface finish in DOE I. The optimal conditions are (6, -6) degrees, 20000 rpm, 0.11 mm/rev and 1.1 mm for rake angles, spindle speed, feed rate and DOC, respectively. Since speed, feed and DOC are not discrete values in reality, it is possible to optimize the values and improve the quality of the machined part. For this reason, a second DOE was performed, based on the optimal values obtained from DOE I. Table 5 shows the controllable variables and the levels of

Research Reports 2001-2002

43

cutting conditions in DOE II. Following the same procedure as in DOE I, DOE II was implemented. The response graph is shown in Figure 3 as circles. Final optimal conditions are (6, -6) degrees, 20000 rpm, 0.08 mm/rev and 1.1 mm for rake angle, spindle speed, feed, and DOC, respectively. Compared to DOE I, average values of burr thickness, burr height and surface roughness were reduced 48 %, 53 % and 39 %, respectively. The difference in burr sizes can be seen in Figure 4.

(a) average burr thickness

(b) average burr height

(c) surface roughness

(d) integrated performance index

Figure 3. Response graphs from DOE I and DOE II.

44

Laboratory for Manufacturing Automation

Figure 4. Typical burr formation (left) and burr formation (right) using the optimal cutting condition derived from DOE II.

Controllable variables Tool [a,b] Speed [rpm] Feed [mm/rev] DOC [mm]

Level 1 17,000 0.08 1.1

Level 2 6,-6 20,000 0.1 1.3

Level 3 23,000 0.12 1.5

Table 5. Controllable variables and levels of cutting conditions in DOE II. References [1] Shaw, M. C., Metal Cutting Principles, Clarendon Press, Oxford, England, 1984.

Research Reports 2001-2002

45

Optimization and Quality Control in Burr Formation u1sing Design of Experiment (II): Drilling of Intersecting Holes
Kiha Lee Sponsored by CODEF Abstract This paper presents a method to minimize burr formation in drilling intersecting holes using Design of Experiments (DOE). Three controllable parameters: tool geometry, speed and feed, were optimized through iterative DOEs. An 88 % reduction in burr size was obtained using the final optimal conditions from DOE II. Keywords: burr formation, design of experiment, drilling, drill geometry. 1. Introduction The drilling process of the crankshaft of automobile engines (Figure 1) motivated this study. Through holes, which go through the crankshaft perpendicularly, are drilled first. Afterwards, holes are drilled to intersect the through holes. Drilling exit burrs are formed around the periphery of the intersecting holes and additional deburring processes are needed to remove the burrs.

Figure 1. Workpiece showing intersecting hole. Burr minimization in drilling of intersecting holes is especially important, because it usually allows a very limited access for deburring tools. Even though it is a very common practice in industry, very little research has been carried out on the burr formation mechanism in intersecting hole drilling. Stein [1] and Kim [2], conducted a comprehensive experimental study in this area. Figure 2 is the proposed sequence of events of burr formation during intersecting hole drilling, based on observations in this study. Since the exit angle (f) varies with the angular location around the intersecting drilled hole, the stiffness of the material under

46

Laboratory for Manufacturing Automation

the drill also varies. The point of minimum exit angle has the smallest stiffness and the material under the point will easily be bent and pushed out to form the largest burr. The objective of the study is to minimize burr formation in intersecting holes using Design of Experiments (DOE). Lee [3] proposed a method to minimize burr formation and to improve surface finish in face milling simultaneously using DOE. A similar approach has been applied to minimize burr formation in intersecting holes. Using the method, dominant variables which have a strong effect on burr formation have been distinguished. In addition, optimal cutting conditions have been determined and the process tuned.

large burr

small burr Figure 2. Burr formation in intersecting hole drilling. 2. Experimental setup and results The experiments were carried out at Daimler-Chrysler in Germany. The material is 20MoCr4, which is similar to AISI 4118. A picture of the workpiece is shown in Figure 1. Experimental variables: tool geometry, speed and feed, are shown in Figure 3. For tool geometry, level 1 is a conventional drill. Level 2 is a 20 additional chamfer drill with split point and level 3 is a 40 additional chamfer drill.
Controllable variables Level 1 Conventional Level 2 Double chamfer with split point Level 3 Double chamfer

Tool

Speed [rpm] Feed [mm/rev]

1,000 0.04

1,300 0.08

1,600 0.12

Figure 3.Controllable variables and their levels.

Research Reports 2001-2002

47

(a)

(b)

(a)

(b)

Figure 4. Response graph of DOE I (a) and DOE II (b).

Figure 5. Typical burr formation (left) and burr formation (right) using the optimal cutting conditions obtained from DOE II. References [1] J. Stein, I. Park, D. A. Dornfeld, Influence of Workpiece Exit Angle on Burr Formation in Drilling Intersecting Holes, Trans. North American Manufacturing Research Institute, SME, vol. 24, 1996, pp. 39-44. [2] J. Kim, D. A. Dornfeld, R. J. Furness, Experimental Study of Burr Formation in Drilling of Intersecting Holes with Gun and Twist Drills, Tech. Papers, NAMRI/SME, 1999, pp. 39-44. [3] K. Lee, Optimization and Quality Control in Burr Formation Using Design of Experiment (I): Face-Milling, 2001 LMA Annual Reports.

48

Laboratory for Manufacturing Automation

A Study of Surface Roughness in Micro-End-Milling of Aluminum


Kiha Lee Sponsored by CODEF and ACLARA Abstract A series of experiments has been conducted in order to characterize the factors that affect surface roughness, and to determine the range of attainable surface roughness values for the micro-end-milling process. A 229mm diameter end mill was used to cut slots into aluminium 6061 samples. The machining factors studied were chip load (feed per tooth), cutting speed, and depth of cut. Keywords: aluminum, micro end milling, surface roughness. 1. Introduction The end-milling process is one of the most widely used material removal processes in industry. In recent years, the micro-end-milling process has received increased attention. Micro-end-milling refers to a basic end milling process were tools down to 50 m m in diameter are used. Micro-end-milling is essentially the same process as end-milling on the macro scale. However, there are a few important differences. As the tool diameter becomes smaller, the rotational speed theoretically required to achieve the recommended cutting speed is far above the technical limit of the available spindles. Another concern in micro-milling is that run-out can become comparable to the diameter of the tools used. The run-out to tool diameter ratio is much larger for micro-end-milling than for traditional milling. 2. Experimental setup A Mori Seiki CNC drilling center was used for the experiments. The drilling center has a maximum spindle speed of 8000 rpm. However, by using an Air Turbine tool attachment, operation at 40000 rpm is allowed. A 229 mm diameter tool from Robbjack Corporation was used for the experiments. The end-mills are made of 92% WC and 8% Co. Surface roughness measurements were taken with a diamond stylus (Tenco P-10), traveling along a straight line over the surface of the machined workpiece. It features the ability to measure micro-roughness with up to 0.5 resolution. 3. Results and Analysis A two level factorial analysis was performed on a subset of the data. A graphical representation of the results is shown in Figure 1. The high and low values for each of the parameters, along with the calculated effect of each parameter is also shown in Figure 1. The high and low values for depth of cut correspond to 1/2 and 1/4 the tool diameter,

Research Reports 2001-2002

49

respectively. The cutting speed values correspond to 7500 rpm and 40000 rpm with a 229 mm diameter tool.
1725

2529 Parameter ft vc aa ft*vc ft*aa vc*aa ft*vc*aa Effect () 2276 -813 258 -779.5 267.5 123 155.5

3596

3843

Chip Load

Cutting Speed

652

610

653 Depth of Cut

676

Parameter Chip Load (ft) Cutting Speed (vc) Depth of Cut (aa)

High 5.7 mm 0.48 m/s 114 mm

Low 0.83 mm 0.089 m/s 57 mm

Figure 1. Graphical representation of the results of the 2 level factorial analysis. The numbers at the corners of the cube are the surface roughness values in Angstroms. The effects of each parameter and interactions are also shown. The chip load is by far the most dominant factor affecting the surface roughness. However, cutting speed and cutting speed chip load interaction are also significant, as shown on the normal probability plot (Figure 2). In this plot, any point that lies off a straight line fit can be considered a real effect and not due to random variations in the process. The effects of the other factors (points not labeled in Figure 2) are within the noise of the process and have been left out of the models developed. Although cutting speed and the cutting speed chip load interaction are significant, their effect is far smaller than the effect of chip load. A linear model was developed using the data from the 2 level factorial analysis:
Ra = 96.5 + 697 f t + 589vc - 818 f t vc

50

Laboratory for Manufacturing Automation

Figure 2. Normal probability plot of main effects and interactions. Points that lie off a straight line fit (labeled) represent the significant effects.

Four different chip load levels were tested in order to generate a quadratic model for this factor. The extra two levels were added between the high and low levels used for the two-level factorial. Surface roughness results for two different depths of cut are shown in Figure 3.
d=229um, DOC = D/2
d=229 um, DOC = D/4

4500 4000 3500 3000 2500 2000 1500 1000 500 0 0

Ra (Angstroms)

Ra (Angstroms)

Vc = 0.09 m/s Vc = 0.48 m/s

4500 4000 3500 3000 2500 2000 1500 1000 500 0 0

Vc = 0.09 m/s Vc = 0.48 m/s

4 Chip Load (um)

4 Chip Load (um)

Figure 3. Surface Roughness as a function of chip load for 2 different depths of cut and cutting speeds. The trend line is the value predicted by a quadratic polynomial model.

The relationship between chip load and surface roughness appears slightly non-linear, particularly at high cutting speed. A polynomial model was developed incorporating a second order term for chip load.
Ra = 43.6 + 439 f t + 46.3 f t 2 + 1256vc - 990 f t vc

Research Reports 2001-2002

51

Figure 4 shows the surface roughness traces for several slots, machined with different combinations of chip load and cutting speed. SEM micrographs of the machined slots are also shown. Large marks from the cutting tooth (a deep valley followed by a high peak) are easily visible on the surface roughness traces. These marks can also be seen in the adjoining SEM micrographs. Interestingly, the period from large peak to large peak is twice the chip load, which means that the large marks are created once per revolution rather than once for each tooth. In many of the surface roughness traces, a step is clearly visible midway between larger peaks. This phenomenon is most likely the result of runout. A functional part that will be used in a meso-scale electricity conversion system, for potential use in very low power electronics, was fabricated as a verification part (Figure 5). The entire size of the part is 17.5 mm x 17.5 mm x 0.5 mm.

500 400 300 200 100 0 -100 -200 -300 -400 -500 140

Surface roughness [nm]

150

160

170

180

Feed distance [um]

Figure 4. Surface Roughness Traces and SEM Micrographs at 7500 rpm speed, 1/2d DOC, and 4.233um/tooth feed for low and high chip loads.

52

Laboratory for Manufacturing Automation

Down-milling

Up-milling

Figure 5. Photograph of verification part and SEM micrograph of the verification part. One arm broke during processing. The picture on the right shows a comparison of surface roughness for up-milling and down-milling. Although the surface roughness was not measured for this part, SEM micrographs were taken and show some interesting qualitative results. Figure 5 shows a micrograph of the verification part. The micrograph on the right shows the surface of the large pocket milled out of the center. Both up-milling and down-milling were used on this portion of the pocket as shown in Figure 5. From the micrograph, it can be seen that the surface roughness is better for down-milling, as is commonly the case.

Research Reports 2001-2002

53

Integrated Tool Path Planning and Burr Prediction System for Face Milling
Prabhu Ramachandran and Amit Bansal Sponsored by CODEF Abstract In recent years there has been significant progress in the fields of tool path planning and burr prediction systems for the face milling operation. The objective of this research is to bring priority based tool path planning and Exit Order Sequence to a common integrated platform. The optimal tool path generated by the scheme is the result of interaction between a theoretical approach and the knowledge base gained by experimentation. Keywords: burr prediction, exit order sequence, face milling, integrated user interface, tool path planning. 1. Introduction Priority based tool path planning [1, 2] is a practical tool-path planning scheme for exit burr minimization, based on assignment of priorities to various features depending on the edge quality desired. Priorities can be used to distinguish regions that are critical in terms of burr formation because of functional importance, safety issues and/or stringent tolerance specifications. Exit Order Sequence (EOS) [3] is defined in terms of three points (A, B and C) on the tool insert as shown in Figure 1: A on the minor cutting edge (exact location in 3D is determined by feed value and direction), C on the major cutting edge (exact location in 3D is determined on unmachined surface) and B at the intersection of the two edges (at the depth of cut). The sequence in which these points come out or exit of the work piece edge determines the EOS and burr size. Six different EOS are possible ABC, BAC, ACB, BCA, CAB, and CBA in the order of increasing burr size.

Figure 1. Exit Order Sequence.

54

Laboratory for Manufacturing Automation

Algorithms for these two tools have been developed in the laboratory for manufacturing automation. Currently efforts have been made on integration of these two tools on a common platform with an integrated Graphical User Interface to make the process planning more user-friendly. 2. Architecture for integrated platform The new platform has been developed for the windows operating system for easy user interface and interaction. In the proposed architecture the software that runs on Visual C++ using the ACIS Geometric Modeler reads the part file in the sat format. 2.1. Tool-Path planning User input is used to prioritize various regions and to identify shoulders and fixturing constraints. A bounding region for the tool path that minimizes the high exit and high entrance angle conditions is generated based on the information obtained. The shortest tool path within the previously generated bounding region is chosen as the initial tool path to minimize the machining time. Recommendations are made for the selection of tool diameter if a single pass operation is desired based on the tool swept area. A preliminary attempt is made to develop a tool path. 2.2. Burr prediction The exit order prediction scheme is then applied to obtain the EOS for the current tool path. The EOS provides useful information on regions which have undesirable burr formation. For example EOS of BCA, CAB and CBA lead to large burrs. Information regarding various EOSs present is stored in a data structure with the location of burr on the work piece edges. 2.3. Integration This information is then transferred back to the tool path planner. Local modifications are made to the tool path to remove segments that have an undesirable EOS. The loop between the tool path planner and the burr prediction system is traversed repeatedly until the desired burr minimization objective is achieved. The NC code is generated for the optimal tool path that results from the previous algorithm. The burr size distribution corresponding to the various exit order sequences present in the optimal tool path is generated using a database connectivity tool. The database used for this purpose has been the result of experiments conducted both at the University of California, Berkeley and at various industrial organizations. The database provides a mean value as well as an interval where the value is most likely to fall.

Research Reports 2001-2002

55

Figure 2 shows the block diagram of the steps involved within the software tool. Figure 3 shows a snapshot of the output of the software with a simple extruded part and the optimal tool path.

Integrated User Interface


CAD file EOS shown with the optimized tool path and burr size

Tool Path Planner

Comprehensive EOS Scheme

Burr Size Database

Feedback

Optimized tool path with burr locations

Figure 2. Steps involved in the integrated user interface.

Figure 3. Snapshot of the output with a simple extruded part and its optimal tool path.

56

Laboratory for Manufacturing Automation

References [1] Rangarajan, A and Chu, C.H. and Dornfeld, D.A., Avoiding Tool Exit in Planar Milling by Adjusting Width of Cut, Proceedings of the ASME, Manufacturing Engineering Division, ED, Vol. 11, pp. 1017-1027, 2000. [2] Rangarajan, A., Priority Based Tool Path Planning for Face Milling, Masters Dissertation 2001, UC Berkeley. [3] Kumar, S., Basic Model for Burr Prediction System, Masters Dissertation 2001, UC Berkeley. [4] Narayanaswami, R. and Dornfeld, D.A., Burr Minimization in face milling a geometric approach, ASME Journal Of Manufacturing Science and Engineering, Vol. 119, May 1997, pp. 170-177.

Research Reports 2001-2002

57

Probabilistic based Precision Process Planning P4


Arvind Rangarajan Sponsored by CODEF Abstract Progress and innovation are necessary to sustain market leadership for automotive companies. Advanced manufacturing engineering is a core competency required to make quality automobiles. Complete understanding of each of the manufacturing processes used in the production of the automobile is important. This report describes a framework that helps identify and preserve those core competencies, suggest avenues to expand those in the future to maintain market leadership, and to clearly identify the stages where innovation or significant improvement is immediately necessary. P4 is a scientific approach for aggressive manufacturing. Keywords: probabilistic, process planning. 1. Problem Description The experiences gained during our collaboration with industry over several years have identified some of the limitations faced by engineers in production. This proposal outlines a solution strategy to eliminate the following shortcomings: 1. Processes that are widely used for a particular material class are being optimized too far into production. This results in temporary unstable solutions. This is often due to process planning being done elsewhere (e.g. machine tool manufacturers) and lack of software tools to check for optimization of the designed process plan before production. 2. Sensitivity of the manufacturing process to the inherent variability in the system is often high. For example, in face milling, the process should be operating in a region where there is little or no sensitivity to silicon content of the alloy, coolant composition, etc. Tools to assist in determining these stable regions would be helpful. 3. Process balancing could be improved and the processes are often not optimized as part of the sequence generating the desired precision dimensions. The process would benefit from more of a systematic approach. 4. Information about processes that are well-understood and successful (trouble free) is often not transferred to the design engineer to aid concurrent engineering. Tools to facilitate this transfer of information and its use as part of the design process would be helpful.

58

Laboratory for Manufacturing Automation

2. Solution Framework The solution framework is a systematic procedure that would be accompanied by a suite of software tools that would aid plant, process and design engineers to alleviate the problems described above. Even though the framework is universal the focus of our work is on machining processes, the implementation would be limited to understanding and optimizing a sequence of machining steps. The following tasks have to be accomplished for creating such a system: a) Identify the weak and strong process links in the process chain or sequence using Pareto analysis. Here weak refers to a condition when the influence of parameters and its position in sequence is not well established. b) Estimate the level of understanding at the company for individual processes and suggest experimental plans to fill in missing details. The process domain can be explored by using probability of achieving the specification as the desired quantitative measure. c) Develop experimental analysis tools to extract useful and relevant information to optimize each process and, at the same time, generate the desired probability information. d) Divide the feasible domain into stable and unstable regions with analytical and empirical data. e) Understand the coupling between final precision dimensions and the processes employed in the sequence to generate them. Use the results to achieve the desired final specifications as closely as possible with maximum productivity. The optimization is performed while ensuring a specified probability of achieving the specifications. f) Perform process balancing, similar to line balancing, to extract the maximum benefits from the most well understood process by pushing the limits of process capabilities, supported by probability data. That is, control the specification at the most influential process step. This would be part of a process planning analysis tool to recommend alternative solutions and to optimize parameters. g) Position appropriately well-understood and highly controllable process or processes in a sequence when no precedence constraints exist. h) Use the quantitative probability data from each process to generate a feature and specification recommendation tool that would aid the designer to pick a functionally equivalent, easily manufacturable feature or specification.

Research Reports 2001-2002

59

i) Understand and analyze common practices by locating them on the domain map and looking at the stability of the region in terms of process results. This visualization would help to look at the various processes that are run at the various plants. This would have short-term applicability in most manufacturers. j) The result would be a comprehensive software tool built in a generic fashion to include new processes that might come out, as the analysis is based on design and manufacturing specifications rather than processes themselves. The system will be designed so that a new process can be accommodated easily. The first focus would be on milling and this approach would be extended to other processes. 3. Hypothetical Case Study The reverse clutch is a transmission component from Ford Motor Company. It goes through a sequence of machining steps to achieve the final shape and dimensions. The last few steps that generate an intersecting hole and the final inner and outer diameters are a cause of concern, as those steps cause some defects that may affect the functionality of the part.
Process Sequence Drilling Generates intersecting hole Information Available Drilling: Influence of Feed and speed on hole quality, probability data on kind and size of burrs Information not well understood Drilling: Influence of hole orientation without affecting functionality Coupling parameter for process balancing Boring: Selection of depth of cut Selection of direction of rotation Boring: Influence on feed on surface quality and geometric tolerance Deburring depending on observed burr size Boring id and Turning od

Size of burr that can be removed

Burr Size

Eliminate problem by coupling feed, speed changes in drilling and depth of cut in boring. Balance processes and solve problem

The figure above shows a block diagram of the manufacturing steps.

60

Laboratory for Manufacturing Automation

This case study addresses most of the concepts discussed in this proposal. It outlines the kind of knowledge base that a manufacturer, Ford, for example would generate. Identifying focus regions and generate experiment recommendation tools. In addition, information regarding the probability of achieving the specifications for each process under certain conditions would be generated. This would be accumulated over a period of time to identify the stable operating regions. This probability data can also be used to recommend alternative geometries which are functionally equivalent to the designer.

Research Reports 2001-2002

61

Optimization of the Face Milling Process: Tool Path and Tool Design Issues, Part 1. Algorithm and Tool Path Design
Arvind Rangarajan Sponsored by CODEF Abstract Widespread usage of face milling at various stages in automobile power train production has prompted optimization of various elements in the process. This report describes solution strategies for minimizing burr formation, thereby extending tool life while improving edge quality in face milling. An algorithm for selecting optimal cutter paths, cutting parameters and tool geometry for a category of parts was developed. The focus of this report is on cutter path planning. Keywords: algorithm , burr, edge quality, face milling, offset, tool entrance, tool lifting, tool path. 1. Introduction Previous research on cutter paths for milling has been focused on two specific milling strategies: direction parallel milling and contour parallel milling. Park and Choi [1] have designed direction parallel tool paths that minimize the machining time, while satisfying the finish requirements and avoiding gouging at the boundaries. Chu [2] has also designed contour parallel tool paths that minimize burr formation in face milling. In this report, a solution for designing semi-optimal tool paths for production of part geometries where tool exit is unavoidable is discussed. Recommendations for cutting parameters and tool geometry are made to maximize edge quality while minimizing machining time, avoiding interferences, and satisfying shoulder and other constraints. Other requirements like finish, flatness etc., were also checked for conformance. 2. Tool entrance and exit Tool exit is a necessary condition for exit burr formation; exit refers specifically to the tool cutting edges moving out of the workpiece at a free edge while removing material, Figure 1(a). When the tool edges enter the workpiece while removing material as shown in Figure 1(b), tool entrance occurs and the edge is usually considered burr-free [3]. In case of tool entrance when the cutting edges exit a free edge, no material is removed, resulting in edges that remain sharp. This forms the core of the tool path design strategy.

62

Laboratory for Manufacturing Automation

3. Algorithm

Generate shortest tool path (P to P or CNC)

Predict regions of severe burr formation

Yes s Regenerate local tool path based on offset value generation

Check if local modification of tool path possible?

No

Lift tool and reenter avoiding exit Coordinate offset computation

Check shoulder creation; avoid interferences

Recompute feed cutting velocity to match cycle time

Research Reports 2001-2002

63

(a)
Feed direction

Tool holder Insert

(b)

Feed direction

Attime timet t==11second second At

At time second At time tt == 11 second Attime timet t==1.1 1.1 At seconds seconds

Insert starting st arting to exit

Tool entrance

Attime timet t= =1.1 1.1 At seconds seconds se conds

Attime timet t==22seconds seconds At Tool exits while removing material

Tool exits but does not remove material

Figure 1. Definition of Tool Entrance/Exit (a) Tool exit (b) Tool entrance

4. Covering offsets The tool diameter for the parts described in this report is chosen so that the width is always covered in a single pass. Since the thickness of the web or the face material varies from point to point, there is a varying amount of clearance for the tool. To develop the shortest path that is burr free, we have to identify the range of acceptable entrance and exit angles. The corresponding minimum and maximum allowable offset values are determined from the profile as shown in Figure 2. This would differ based on the edge of the profile that has higher priority.

Upper limit

offset

Lower limit offset

Figure 2. Offset range for machining the web. The offsets generated are joined suitably to generate a region within which the designed

64

Laboratory for Manufacturing Automation

tool path has to stay to machine the whole web. The shortest path will be generated using this region as described in the next section. 5. Method for shortest coverage path

Regions outside allowable values

4
Convex hull of internal points

3 2
Tool element modified to stay in bound

1, Start point

6, End point

Figure 3. Shortest-path using modified convex hull. The procedure for generating the shortest path traveling within a defined region is described in this section. Determining the convex hull for internal set of edges gives the shortest distance for covering the innermost points of the region. This arises from the definition of convex hull, a polygonal area that is of smallest length and so that any pair of points with in the area has a line segment between them contained entirely inside the area. Figure 3 shows that some regions of the convex hull lay outside the acceptable region in red. So the points from the external boundary that lie to the right or interior of the convex hull are inserted at the appropriate line segments. The convex hull segment is broken and is reconnected through the point. This can be seen as joining three points with the shortest segment-length curve or convex hull for the points reversing the definition of interior. 6. Burr Prediction Observing the production scenario gives us the following sets of conditions that are detrimental for edge quality: 1) Burr generated at the exit aided by feed motion 2) Very high entrance angle 3) Very high exit angle

Research Reports 2001-2002

65

They are also predicted from the previous research at CODEF. These scenarios cause the largest burr from all possible exit conditions for a given tool geometry and the size increases rapidly with wear. The current approach is to avoid the above-mentioned serious exit and entrance conditions that might occur. This minimizes cycle time, thereby reducing the increase in feed per tooth and cutting velocity. These constraints are converted into very simple rules for tool path design which when combined with the existing strategy for avoiding tool exits at intersections provides the optimal tool path which satisfies the cycle time constraints. 7. Local Offset Computation The local regions of high entrance/exit angles and exit in feed direction are isolated and the contour parallel milling strategy is applied to those specific regions to generate a modified tool path. In the figure shown the offset ranges that account for appropriate entrance, exit angles and for avoiding tool exit in the adjacent edge are computed and the common range is chosen. It is shown in black in Figure 4. The final offset values are chosen using the shortest-path joining scheme described in the previous section. It is then joined to the existing shortest path removing the length of segment that previously mentioned the specified region. Final tool path

Exit free offset ranges

Figure 4. Local offset based tool path. 8. Tool lifting The local offset modification might not be possible under the following set of conditions: 1) Offset value chosen causes interference between the tool and ribs or bosses in the vicinity. 2) Adjoining a shoulder that prevents maneuvering of the tool.

66

Laboratory for Manufacturing Automation

3) X and Y software limits prevent maneuverability.

Reentry

Crucial to avoid tool exit Shoulder constraint

Figure 5. Tool lifting and reentry. For these cases the tool is lifted and reentered from a suitable location. The location of reentry is chosen to minimize the increase in length while avoiding plunging. Because of the rigidity of the current machines on the production line, tool lifting and reentry does not cause degradation in finish or steps. The visible line observed still satisfies the finish requirements and generally with the diamond tool finish is never an issue. Moreover, the finish improves or the Ra decreases with tool wear, so once established with a new tool the requirements will generally remain satisfied. This strategy was successfully tested in the rear face, Figure 5. Using G0 during the tool lifting and travel saves additional precious cycle time. 9. Generation of new tool path The locally modified and/or lift and reenter tool path segments are combined with the previously generated shortest path at the points that would require the smallest increase in total tool path length. In cases where tool lifts from a shoulder, the path is rejoined to the point of lift off to create the completion of the shoulder. Figure 6 shows the new tool path generated for the rear face of the 2.3-liter I4 block

Research Reports 2001-2002

67

start

end

Figure 6. Burr minimal tool path for rear face of 2.3l I4 block. 10. Limitations The strategy may not be applicable to all kinds of parts. The presence of ribs, bosses, locators, fixtures etc. around the machined face might eliminate all possible solutions. These cases, this strategy is not useful when there is no room for tool maneuverability. The cycle time constraints may be too tight, strictly prohibiting any increase in path length and chip load/rpm that is necessary for staying with in limits. Shoulder creation means the offset value used along the edge until the shoulder is created, is fixed. This would necessitate tool lifting while cutting. But this might not be feasible due to fixtures and other constraints in which case exit is unavoidable. A combination of point-to-point and large diameter may lead to infeasible constraints in which case other solution strategies like tool design, parameter changes have to be looked at.

68

Laboratory for Manufacturing Automation

References [1] Park, S. C., Choi, B.K., Uncut free pocketing tool-paths generation using pair-wise offset algorithm, Computer Aided Design, Vol. 33, No. 10, September 2001 pp 739-746. [2] Chu, C.H., Integrated Edge Precision Machining, Ph.D. Dissertation, University of California at Berkeley, Department of Mechanical Engineering, 2000. [3] Park, I., Modeling of Burr Formation Process in Metal Cutting, Ph.D. Dissertation, University of California at Berkeley, Department of Mechanical Engineering, 1996.

Research Reports 2001-2002

69

Report on Sandvik Case Study


Arvind Rangarajan Sponsored by CODEF Abstract Burr minimization in end milling is a nascent field of research. Sandvik, one of the CODEF members, presented a case study to analyze and optimize the face and end milling processes used in the production of turning tool holder (DCLNR 3232P). Burr minimization was achieved using the various tools available in CODEF for face milling and recent experimental results and theory developed for end milling. Test results from Sandvik are expected soon. Keywords: Burr minimization, face milling, end milling. 1. Introduction The tool holder for a turning tool had burr problems from the face and end milling operations used to generate the surfaces. The plane H is generated via face milling process in two passes, each having a 3 mm depth of cut. The surrounding faces are then rough milled with 4 mm DOC and finally, a finish cut to the whole depth with a width of cut of 0.3mm is performed.
Plane-H cut

SideMilling

Front

Figure 1. Nomenclature used in the report. 2. Predicted regions of burr formation From previous research and some preliminary experimental verification, it was determined that the plane H cut would lead to burr formation along the exit edge in the feed direction. Figure 2(a) shows the approximate location of burr formation. The side edge milling would generate top and side burrs as shown in Figure 2(b).

70

Laboratory for Manufacturing Automation

(a)

Exit burr

(b)

Top burr Side burr

Figure 2. Observed burrs. (a) Exit burr in the feed direction observed in face milling (plane H-cut), (b) top and side burrs observed in end milling. 3. Experimental Study Several experiments were conducted for identifying the suitable depths of cut and feed for the face and side edge milling process. Face Milling: The plots did not show a strong correlation with feed rate. But the depth of cut had a significant effect for a given exit angle. Large depth of cut reduced the burr height for exit burr in feed direction. Incidentally, the same depth of cut that provided minimal burr formation, 3 mm, is used by Sandvik presently.
cutter rotation

side burr cutting direction feed top burr

exit burr (feed direction)

exit burr (cutting direction)

Figure 3. Burr nomenclature for side milling.

Research Reports 2001-2002

71

End Milling: A set of end milling tests were conducted to study the effect of side, top and exit burrs. But for this case study exit burr in feed and cutting directions are irrelevant as they are removed during subsequent processing. Small width of cut used during finishing reduces the burr formation even further. The focus remains on top burr formation as that is the significant burr that would be created from the side milling done in this case study. Sometimes top burrs can be very unwieldy and difficult to remove. The experimental results show that higher feed is better for reducing top burr formation at two different depths of cut and all low widths of cut. 4. Recommended Solution Even though the experiment is useful in explaining the various mechanisms that led to burr formation, it doesnt resolve the problem completely. From previous research at Berkeley, it was shown that the problem can be solved at four different levels; design, process planning, tool path planning and finishing stages. Since solving a problem at the lower level requires limited modification of the existing system, the best approach would be to approach it from bottom up. Experimental results showed that the burrs cannot be eliminated by just altering the process parameters. The next step is to apply any possible tool path changes to minimize the burr formation. The existing tool path used for face milling was optimized to eliminate the burr formation. The new tool path was generated based on the theory developed by CODEF to minimize burr formation in face milling. The new tool path is shown along with the old tool path in Figure 4. The new tool path avoids tool exits in the feed direction while minimizing tool exits and exit angle in the cutting direction, hence minimizing the burr formed during face milling. This leads to an increase in tool path length. Nevertheless, compared to the total cycle time the increase in cycle time caused by this change is not significant. (a) (b)

Figure 4. Comparison of tool paths (plane H cut). (a) New tool path, (b) old tool path. Even after the tool path planning, we see that from the current sequence of operations top burrs cannot be avoided. To have burr free surfaces, the next higher level, namely sequence planning, was employed. The recent study conducted on precision process planning indicates that it is best to have the most understood and controllable process at

72

Laboratory for Manufacturing Automation

the end of the sequence when no precedence constraints exist. From the point of view of burr formation, face milling is the most understood process and has the highest control when the objective is minimal burr formation. Reversing the current order, i.e. face K J I H Figure 5. Process sequencing for tool holder. milling the H-plane after side milling, removes the top burr generated from previous processing steps, while not creating additional burrs. 5. Conclusion The procedure to minimize the burr problems of the tool holder outlined above is a part theoretical, part experimental solution and has to be tested by Sandvik in a test site before acceptance. 6. Remarks The above mentioned solution procedure involves change of cutting sequence and implementing new tool paths. Currently we are working on alternate solutions to eliminate the top burr by sequencing the width of cut allocated for rough and finish side milling for the given depths of cut. Those results can also augment the previously recommended solution procedure for even better results. References [1] Rosier, C., Development of a Milling-Burr Control Chart, Diplomarbeit, RTWH Aachen, Dept of Mechanical Engineering, 2001. [2] Chu, C.H., Integrated Edge Precision Machining, Ph.D. Dissertation, University of California at Berkeley, Department of Mechanical Engineering, 2000. Current Order of machining: 1) K 2) H New Order of machining: 1) H 2) K

Research Reports 2001-2002

73

[3] Stein, J.M., Dornfeld, D.A., (1997), "An Architecture for Integrated Design and Manufacturing of Precision Mechanical Components," Transactions of NAMRI/SME, Vol.25, pp 249-254

74

Laboratory for Manufacturing Automation

A Finite Element Model of Face Milling


Borlin Shyu Sponsored by CODEF Abstract A finite element model of face milling is constructed for burr formation related studies. This model uses an Eulerian formulation to overcome difficulties users face when dealing with mesh generation and material separation criterion in Lagrangian models. Because there are sevaral orders of magnitude of difference between the dimension of burrs and the typical milling process workpieces, huge numbers of elements are needed for a finite element model to capture a whole milling process. The high demands of computing time and computer resources make this model impractical. A Compromize was made among mesh density, simulation condition and computing time to get relevent results. Simulation results of the modified model are presented, showing correlation between numerical simulation and phenomea observed in experiments. Keywords: finite element method, burr formation, face milling, eulerian formulation. 1. Finite element simulation of machining process CODEF(http://lma.berkeley.edu/codef/) has been studying burr formation of face milling process for a long time. Computer simulation is one of the methods used for this study. The major objective of computer simulation is to further the understanding of burr formation mechanism and build predictive models. Understanding of burr formation mechanisms can help burr reduction in tool path design and tool selection. Finite element simulation has also been used in machining modeling for a long time. Typical finite element models for machining modeling are described in reference [1]. The material model used in [1] has a separation criterion. When the separation criterion is met between two adjacent and connected elements, these elements are disconnected. One problem with this approach is that accurate material separation criteria are rarely published and are hard to find. Another problem is the application of this criterion in machining simulation requires the separation surfaces be described by element boundaries. This requirement implies two things. First, material separation surfaces have complicated geometries. Building them into the finite element mesh makes the already difficult automatic mesh generation process extremely hard. And even if mesh is automatically generated, it tends to contain low quality elements such as severely skewed elements which causes numerical problems in simulation. Second, the separation surfaces are determined by process parameters and tool geometry. When any parameter of feed, speed, tool diameter, insert angle is changed, a new finite element mesh has to be generated. Since we would like to study the effect of various process parameters,

Research Reports 2001-2002

75

elimination of finite element mesh regeneration is highly desired. This difficulty of mesh generation was avoided in the CODEF drilling finite element model by using element elimation instead of element separation [2]. In the face milling finite element model presented in this report, Lagrangian and Eulerian formulation are both used to address the material separation and mesh generation problem. The workpiece material is modelled by Eulerian formulation. This formulation is from using material separation criteria and matching mesh boundary with material separation surfaces. The space surrounding the workpiece is also modelled by the same Eulerian formulation, with a LS-DYNA switch called initial void part. The initial void part can later be occupied by workpiece material if the simulation brings the material into it. The cutting tool is modelled using Lagrangian elements embedded in the Eulerian mesh. The finite element code keeps track of the material/void interface and updates its location and shape as the cutting tool comes into contact and interact with it. The theory of this formulation is discussed in [3][4]. The material model used in this simulation is piece wise linear plasticity model. The contact between the cutting tool and the workpiece material is modeled to be frictionless. This model is based on the finite element softwares from Livermove Software Technology Corporation (LSTC). The finite element mesh is created using pre-processor LS-INGRIDs mesh description language. Using a description language gives us the flexibility of parameterizing the finite mesh so its very easy to modify model geometry such as relative position of cutter and workpiece, as well as orientation angles of cutter inserts. Mesh density can also be changed easily. The LS-DYNA reads the output of LSINGRID and performs the simulation. Post-processor LS-POST processes and visualizes the simulation result files in images and animations.

Figure 1. Finite element model. The finite element model is shown in Figure 1. The blue surface is the boundary of workpiece. The green rectangles represent the cutter inserts.

76

Laboratory for Manufacturing Automation

2. Practical considerations The dimension of burrs is 3 to 4 orders of magnitude smaller than typical workpiece dimension. In order to describe the shape of burr, we need to use finite elements with dimension about 1 to 2 orders of magnitude smaller than a typical burr. In combination, the ratio of workpiece to finite element size needed can be 5 to 6 orders of magnitude. For a three dimension model, the number of finite elements is approximately (105 )3 = 1015 . This number of elements is way too big even for todays most powerful supercomputers. Not being able to fit the finite element model into a computers main memory means virtual memory storage and swapping from/to hard drives, which severely slows down computation. In order to maintain numerical stability for the explicit time integration scheme used in the simulation, we need to use an integration time step size no larger than the threshold value tth = l where l is the smallest dimension of all finite elements and v is the sound v speed in the material. The small finite element size (small l) needed to model a burr T makes tth very small. The total number of time integration is where T is the tth duration time of the cutting process. The small time step size results in a large number of integration steps and long computing time. In order to be able to perform the simulation using reasonable time and computing resource, we decided to use a lower mesh density for the model. As a result, the resulting model has lower level of detail so cannot predict exact size and shape of burr. We want to be able to predict evolution of material deformation during the cutting process, which is related to burr formation mechanism in previous CODEF research [5]. When the mesh density is not too low, the deformation zone still can be predicted with the modified model. With the parameterization built into the finite element model, higer mesh density model can be easily created when longer computing time or better computing resources are available. The simulations are run on a PC with Intel Pentium III 450MHz CPU, 778MB RAM running Linux OS. CPU time of the modified model is around 88 hours. Elapsed time is about 4 days on this system mostly dedicated to this simulation. 3. Simulation results Typical result of simulation is shown in Figure 2(a). Figure 2(b) shows the deformation of the of workpiece after a certain time of cutting. The boundary of the workpiece is visualized by the blue surface. The part protruding out from the workpiece is the chip being cut away from the workpiece. An animation of the simulated cutting process can be viewed at http://lma.berkeley.edu/~blshyu/cutting/milling/ABC_deform.gif. In addition to deformation, evolution of a plastic zone developed inside the workpiece can also be shown as in the right figure below. An animation showing the evolution of

Research Reports 2001-2002

77

plastic zone inside the workpiece during cutting process is at http://lma.berkeley.edu/~blshyu/cutting/milling/ABC_plastic_zone.gif

Figure 2(a). FEM simulation result.

Figure 2(b). Deformation of the workpiece model.

Other quantities such as stress and strain levels, material velocity, can also be visualized for the simulation result. Detailed discussion of simulation result is included in an upcoming Ph. D. dissertation by the author. 4. Conclusion and future work The face milling finite element model presented has the advantage of user friendliness in terms of ease of using and modification. It also has the capability of delivering various level of detail so the best use of available computing resources can be guaranteed. Future work will be getting higher level of detail without drastically increasing computing resources. A possible approach is to strategically control mesh density so element number doesnt increase exponentially with mesh density. Another possibility is to increase feed rate. Since the total simulated process time equals to the workpiece length divided by feed rate, increasing feed rate will decrease simulation time. Sensitivity of deformation zone evolution to feed rate needs to be studied to determine how to adjust feed rate to drive down computing time. Also worth studying is the effect of different material models. The current piece wise linear plasticity model is chosen for its ease of use. For the current models level of detail, simulation doesnt predict burr size and shape. But once our models level of detail goes down to the burr size level, comparison of material models by correlating simulation results to experimental data will help pick material models suitable for burr formation simulations.

78

Laboratory for Manufacturing Automation

References [1] Komvopoulos, K., and Erpenbeck, S. A., "Finite Element Modeling of Orthogonal Metal Cutting" Journal of Engineering for Industry, AUGUST 1991 Vol. 113, pp. 253-267. [2] Sangkee Min, David A. Dornfeld, Jinsoo Kim, Borlin Shyu, Finite Element Modeling of Burr Foramtion in Metal Cutting International Journal of Maching Science and Technology, Vol. 5 No. 3, 2001, pp. 307-322. [3] Benson, D. J., Computational methods in Lagrangian and Eulerian hydrocodes Computer Methods in Applied Mechanics and Engineering 99 (1992) 235-394. [4] Benson, D. J. A multi-material Eulerian formulation for the efficient solution of impact and penetration problems Computational Mechanics 15 (1995) 558-571. [5] Hashimura M., Dornfeld, D. A., Effect of axial rake angle on burr formation in face milling

Research Reports 2001-2002

79

Development of a Milling-Burr Control Chart (Diploma Thesis)


Christian Rosier Sponsored by CODEF Abstract Today fabrication is characterized by rapidly changing competition conditions, which results in the necessity to react very quickly to the present circumstances. Therefore it is very often required to adapt the production process to new conditions. For a manufacturing point of view, this means adapting cutting conditions to new part designs so that production time and cost are minimized. Regarding that background the burr formation process plays an enormous role on the influenced manufacturing cost. Not only the deburring process but also the planning to choose proper cutting conditions that are supposed to prevent burrs takes a long time and causes increased manufacturing cost. The milling process as one of the most common manufacturing processes is also affected by the above problems. Therefore research has focused on the burr formation process in milling operations with the purpose to be able to predict and prevent burrs. For that purpose milling burr control charts provide the possibility to predict burr patterns that occur under certain cutting conditions in a very quick and effective way. Control charts are based on experimental data from that the occurrence probability of a certain burr pattern can be derived by empirical equations. The subject of this study is to collect a sufficient amount of data to develop an extensive milling burr control chart for tool steel AISI 6150. For that purpose several tests in face as well as in end milling are conducted. To distinguish different burr patterns the occurred burrs are analyzed according to their location, their appearance and their formation mechanism. These data build the basis for the control chart. Therefore empirical equations that represent transition curves between different burr patterns are developed.

80

Laboratory for Manufacturing Automation

PRECISION RESEARCH GROUP

Members of Researchers Udayakiran Ayyagari Peter Broomes Andrew Chang Arnaud De Grave Carlos de Oliveira Edward Hwang Haedo Jeong Paul Junkermann Christian Keppeler Nikhil Krishnan Sunghoon Lee Jianfeng Luo Zoujie Mao Eric Masanet Joaquin Rosales

List of Sponsors Applied Materials National Science Foundation UC-SMART Industrial Affiliates of the LMA

Research Reports 2001-2002

81

End-point detection in the CMP process: review of current approaches


Edward I. Hwang Sponsored by UC SMART and Applied Materials Abstract This paper aims at reviewing current approaches for in-situ end-point detection in CMP. Three different processes for which end-point detection is used are classified: copper damascene, shallow trench isolation, and inter-level dielectric. Keywords: End-Point Detection, CMP, Copper Damascene, STI, and ILD. 1. Motivation of End-Point detection in CMP The end of a polishing step is traditionally determined by setting a time limit in the process. Changes in a removal rate due to normal polish pad life cycle, variations in slurry and pad lots, conditioning issues, and a myriad of other potential variables can result in under- or over- polish errors. Additionally, incoming initial oxide or metal layer thickness may fluctuate. Therefore, in-line monitoring and automatic end-point detection of CMP offers many manufacturing advantages such as improved process yields, reduced product variability, closer conformance to target requirements, and higher throughput. There are many potential payoffs; however, in-line monitoring and automatic end-point is difficult to implement due to the complicated nature of CMP. 2. Processes where End-Point detection is needed Three different processes - copper damascene, shallow trench isolation, and inter-level dielectric- require end-point detection (EPD). The first two processes see the changes in materials polished, and the last process does not; therefore, different sensing mechanisms for each are needed. 2.1. Copper Damascene Process As device architectures are scaled down to sub-micron dimensions, RC delays of metal interconnects plays an important role on device performance. In order to increase switching speed, RC delays of metal interconnects must be reduced. In this regard, because of lower resistivity, copper is replacing aluminum. Copper has higher resistance to electromigration, giving the integrated circuits better reliability. Both the lower resistivity and the higher resistance to electromigration of copper also contribute to low

82

Laboratory for Manufacturing Automation

Figure 1. Copper Damascene Process power consumption. Although CMP offers an attractive solution for implementing copper in integrated circuits, many challenges need to be addressed to develop a reliable copper CMP process. EPD is one of them. Oxide erosion and copper dishing are one of the critical reasons why rigorous EPD is needed. Any erosion of an oxide layer or dishing of a copper layer, which thins long interconnected lines, has a significant effect on circuit delays owing to an increase in an RC constant. Figure 1 shows a copper damascene process. 2.2. Shallow Trench Isolation(STI) process Shallow trench isolation (STI), an alternative method of forming isolation regions between active devices, is beginning to be used in manufacturing today, and will likely be the method of choice in the future. As shown in Figure 2, STI etches trenches in a

Figure 2. Shallow Trench Isolation Process

Research Reports 2001-2002

83

silicon substrate between active devices, and refills them with SiO2. Such a process completely eliminates the birds beak shape characteristic of local oxidation of silicon (LOCOS) isolation, and thus allows physically smaller isolation regions to be formed. The goal in STI CMP is to polish the oxide overburden, and to stop as soon as the nitride is exposed, but this is rarely accomplished. Because of varied pattern density distribution across the die, nitride is exposed at different times across the chip, thus the level of nitride over-polish is different. For large density variations, and depending on the nitride thickness, the nitride may be completely removed from some areas, thus exposing active device areas. Such a scenario is not acceptable, and must be avoided, since the rounded corners of active areas result in poor devices. This is why exact EPD is needed in an STI CMP process. These problems have been attacked in many different ways. One is using chemicals that have a good selectivity between nitride and oxide; nevertheless, a good end-point detector is still crucial for better process control. 2.3. Inter-Level Dielectric(ILD) process Another area where rigorous EPD is needed is an inter-level dielectric process (ILD), as shown in Figure 3. ILD CMP is very challenging from a EPD point of view, because no material change is involved. Sensors detecting changes of material properties in one way or another, work only in a very limited way. Hence, polishing time usually controls ILD CMP.

Figure 3. Inter-Level Dielectric Process 3. Current End-Point detection approaches 3.1. Overview Numerous approaches have been proposed for in-situ EPD in CMP: They include optical, electrical, thermal, electrochemical method, and so on. Given obvious benefits of EPD, it is no surprise that many of these methods have been awarded patents. Unfortunately, to date, only some of these methods, most notably motor current and optical methods, have

84

Laboratory for Manufacturing Automation

been developed to become commercially viable products, while others remain laboratory curiosities. Figure 4 summarizes the current EPD approaches.

Figure 4. Current End-Point Detection Methods 3.2. Optical method Techniques monitoring optical properties of a polishing film layer through either backside or directly on front-side of a wafer have a long history in the semiconductor industry. A wafer is typically illuminated by a light source, and a detector collects reflected light, as in Figure 5 [1]. Using broadband instead of a single wavelength generally enhances precision, accuracy, and repeatability of measurement of optical signals during polishing. Even though it is one of the commercially successful methods, it has some problems. First, for a conventional rotational CMP machine, the sensor is looking at the wafer only about 20% of the time. Second, it is common practice in semiconductor fabrication plants not to tightly control thickness and optical properties of barrier layers. Consequently, some measurements can be erroneous.

Figure 5. End-Point Detection Method Using Reflectance [1]

Research Reports 2001-2002

85

In a patent awarded to Toshiba [2], a method of monitoring the absorption peak of SiO2 is described in Figure 6. Infrared light passes through a wafer where it is detected by a photo-detector. Since SiO2 has an absorption peak between 9.0 and 9.4m m, measuring light in this range allows one to monitor a reduction in the SIO2 thickness during CMP. After detecting a specified reduction in thickness of one material, one can monitor another absorption peak. For example, one could monitor a change in thickness of Si3N4 by switching to the wavelength range 11.4 to 12.5 m m. Such a sequence would be appropriate in an EPD system for STI CMP. However, the backside approach requires an infrared light source to pass through the silicon wafer. As the number of interconnect layers and patterns increase, probing the top most film layer from the backside becomes difficult.

Figure 6. End-Point Detection Method Using Absorption [2] 3.3. Thermal imaging Thermal methods detect changes in temperature of a polishing process due to changes in friction-induced wear mechanisms or underlying chemical reactions [3]. Chemical reactions can only be measured if the heat of reaction is significant compared to the heat generated by friction. The advantages of thermal end-point are that it is non-contact, and relatively easy to implement. The disadvantages are that surface temperature changes are very small, and a wafer size and actual state of a pad influences the temperature and its variations. 3.4. Motor current Measurement of motor current driving a polishing tool is another commonly applied method to detect a metal-insulator transition during polishing [4]. Changes in friction are coupled to changes in motor current, since carrier and platen rotation speed is kept constant. Motor current method detects changes in friction between a wafer and a pad that is due to (a) breakthrough from one layer to another (depending on selectivity and uniformity) (b) smoothing of a rough surface. Motor current sensing requires a high degree of filtering and signal processing in order to achieve a reliable end-point signal. As in temperature setting, motor current techniques depend on process parameter settings and selections of consumables.

86

Laboratory for Manufacturing Automation

3.5. Microphone The underlying concept behind a microphone EPD method is that the action that takes place during polishing generates a low frequency sound wave signal that, if demodulated properly, can yield information about a polishing process. A patent awarded to Micron describes various methods for detecting and analyzing sound wave signals. This method involves monitoring changes in amplitude and frequencies of spectral peaks [5]. This patent also describes the means of determining changes in thickness of a layer being polished by sensing changes in amplitude and resonant frequencies of a wafer. This method, though simple in concept, faces significant obstacles. Foremost among them is the large noise component of the sound wave signal generated by wafers undergoing CMP. Complexity of signal processing is the most likely reason why this method has not been commercialized to date. Furthermore, it is not clear that advanced signal processing methods will prove useful for a manufacturing environment. 3.6. Etc. There are other several technologies for the EPD purposes: Electro-chemical, conductivity, capacitance, impedance, pH, pressure or polish time. Take electrochemical method for an example. In the case of copper CMP, it is known that Cu2+ is the main reaction product of Cu-slurry interaction during polishing. Therefore, this Cu2+ ion concentration is measured with a selective electrode. 4. Summary Three different processes where EPD is needed are identified: copper-damascene, shallow trench isolation, and inter-level dielectric. A variety of techniques for EPD purposes are briefly introduced, and Table 1 summarizes the methods. In the table, D means direct, and I, indirect methods, and G refers to global, and L, local sensing.

Optical Thermal Electrical Microphone Force Acoustic Emission

Physics Reflectance, Absorption Temperature Motor Current Sound Waves Friction Force Acoustic Waves

D,I D I I D D/I D

G,L L L G G G G

App Cu/STI/ILD Cu/STI Cu/STI Cu/STI/ILD Cu/STI Cu/STI

Table 1. Summary of Current EPD Approaches.

Research Reports 2001-2002

87

References [1] Lustig, et al., U.S.Patent #5,433,651, July 18, 1995 [2] Katakabe, et al., U.S.Patent #5,643,046, July 1, 1997 [3] Chen, et al., U.S.Patent #5,597,442, Jan 28, 1997 [4] Cote, et al., U.S.Patent #5,308,438, May 3, 1994 [5] Yu, U.S.Patent # 5,222,329, June 29, 1993

88

Laboratory for Manufacturing Automation

End-point detection in the CMP process: AE Sensor


Edward I. Hwang Sponsored by UC SMART and Applied Materials Abstract As a new method for in-situ end-point detection in CMP, an acoustic emission (AE) sensor is chosen because of its high sensitivity and high signal to noise ratio in the sub-micron range. The time-based analysis technique based on root-mean-square (RMS) shows that hardness of materials polished is reflected in the level of AE signals. Tests are done for copper damascene and shallow trench isolation processes. Keywords: End-point detection, CMP, acoustic emission, hardness.

1. Introduction Current end-point detection (EDP) approaches are reviewed in End-Point Detection in CMP Process _ [1]. The optical method that takes advantage of reflectance is mainly being used in the semiconductor industry with the motor-current method typically employed in a metal insulator transition. In this paper, an acoustic emission (AE) sensor is proposed as a new method of choice for EDP in CMP. Sources of AE in CMP are identified, and AE signals are collected during polishing. Copper damascene and shallow trench isolation (STI) process are investigated, and the results are shown at the end. The level of AE signals turns out to have a relationship with a material property, hardness.

2. AE Fundamentals The sensor used to detect acoustic emission events is of critical importance in any acoustic emission experiment. Since the first element in any signal processing scheme or analysis will be the transducer, considerable care must be exercised in the choice and placement of the transducer. While capacitive and optical sensors have been investigated at several laboratories, in the majority of investigations, a piezoelectric transducer is attached directly to the sample being tested. In the piezoelectric transducer, stress wave developed from interactions between abrasives and layers on a wafer is coupled to electrical signals.

Research Reports 2001-2002

89

Figure 1. Major AE sources in CMP. Fundamentally, CMP is a combination of chemical reaction and free abrasive machining, in which abrasives are allowed to rotate between a wafer surface and a polishing pad, and remove materials by micro indentation or three-body abrasion. When an abrasive particle penetrates a pad surface, the abrasive can become embedded in the pad and remove material by micro-scratching similar to that in fixed abrasive grinding or two body abrasion. As shown in Figure 1, all these interactions are AE sources in CMP. Research has indicated that acoustic emission is closely related to a material removal process in CMP; therefore, it could be a good sensing method for in-situ EPD in CMP [2]. Figure 2 compares AE sensors with other sensors, like accelerometers, force, or vibration sensors [3]. AE sensors have a very high sensitivity in the range of 1 to 10nm where material removal in CMP corresponds. Also, in this range, AE sensor signals show a very high signal to ratio, which means that AE sensors are preferable at sub-micron level where noises are typically comparable to process signals. But, in order to get a good acoustic coupling between the AE sensor and the wafer, attachment of the probe head is very critical.

Figure 2. Advantages of acoustic emission sensors over other conventional sensors.

90

Laboratory for Manufacturing Automation

3.1. Set-Up Figure 3 shows a small CMP machine used in this experiment. Even though it is scaled down, this machine is mimicking a CMP process in terms of head and table rotations as well load control. Specifications are shown in the Table 1. An AE sensor is attached on

Figure 3. CMP machine and data acquisition system for sensors. the backside of a wafer, and a dynamometer is placed under a polish pad for a comparison purpose. Therefore, during the polishing process, two kinds of signals are collected simultaneously. AE signals coming from the AE sensor on the backside of a wafer travel through a signal conditioner and an analog to digital converter, and are stored on a PC. A similar set up is used for the force sensor, with a difference in sampling frequency in the analog to digital converter.
Platen Size Head Size Condition Disk Size Platen Speed Head Speed Load Head Sliding Speed 6 in 2 in 2.5 in 0.01 1000 RPM 0.01 1000 RPM 5 500 N 0.01 10 in/sec

Table 1. Specifications for the CETR machine 3.2. Materials In this experiment, Cu(1,500)/Ta(210)/Ox(5,000) wafers with conventional IC1000 polyurethane pads and alumina based slurry are polished. H202 is to oxidize copper, turning it into copper dioxide, and alumina is used to polish the copper dioxide. Ox(2,000)/Nit(1,000) with fixed abrasives and pH-adjusted DI wafer are polished.. STI process is nowadays polished with fixed abrasives because of environmental reasons. In order to get a reasonable material removal rate, KOH is added to make the pH 11.5. As will be shown later, AE signals are dependent on hardness of material polished; here, copper is 115 kg/mm2, tantalum 112 kg/mm2, and oxide 487 kg/mm2 in terms of Knoop hardnes

Research Reports 2001-2002

91

4. Analysis Then the next question is how to interpret the data collected. Both frequency-based and time-based methods are used for analysis. In the frequency-based analysis category, FFT analysis and wavelet analysis are employed. As a time-based analysis, root mean square (RMS) is used. And, a frequency ratio technique, which is in between these two, is also considered.

(a)

(b)

(c)

Figure 4. Frequency-Based Analysis

4.1 Frequency-Based Analysis 4.1.1. FFT Analysis (Cu/Ta/Ox) In the leftmost column of Figure 4, the upper plot shows frequency responses before or after polishing, which might be thought to arise due to system noise. In the lower plot, one can see frequency responses during polishing. As illustrated here, one can see some new frequency information associated with the CMP. But, it is almost impossible to tell which new frequency comes from which material mainly because of non-uniformity. So it turns out that FFT analysis is nothing more than an on/off indicator in this case. 4.1.2. Wavelet Analysis (Cu/Ta/Ox) In wavelet analysis, a signal is decomposed into two parts, one is the low-frequency component (approximations), which gives the signal its identity, and the other is the highfrequency component (details), on the other hand, imparting flavor or nuance. This decomposition process can be iterated, with successive approximations being decomposed in turn, so that one signal is broken down to many lower resolution components. 3-level wavelet analysis is done on Cu/Ta/Ox wafers. But, unfortunately, there were no noticeable or significant changes at each characteristic point.

92

Laboratory for Manufacturing Automation

4.1.3. Frequency Ratio Technique (Cu/Ta/Ox) Another analysis technique used is a frequency ratio technique, which is in between the frequency a based and time based method (see Fig. 4(c)). The first part is almost the same as wavelet analysis described in the last section, decomposing a signal into two parts. Then, the second part is to take an RMS value of each part, and get a ratio of the two RMSs. A band frequency separates the original signal into 2 parts. 10K, 20K, and 50K Hz band frequencies are used. In Fig 4 (c), black is the RMS of the high frequency part, blue is that of the low frequency part, and red shows the ratio of the two. Again, it does not yield a noticeable result. 4.1.4. Interpretation of Frequency-Based Analysis As shown, the analyses based on frequency did not turn out to be very useful in this experiment. The following three reasons might be partially accountable for this; (1) Power spectral density of low frequency is typically higher than that of high frequency, which means that the low frequency components can overwhelm the high frequency components; (2) The lack of knowledge of transfer function of transducer. Little or almost nothing is known about the transfer function of an AE sensor; (3) Unknown filtering characteristics. It is also not much known, even though the signal conditioner gives us the latitude to set the parameters, like a cut-off frequency; and, (4) Acoustic coupling between an AE sensor and a wafer is hard to characterize. Because of these, frequency-based analysis techniques do not apply to STI wafers, either. 4.2 Time-Based Analysis As an alternative to frequency-based analysis, RMS measurements are taken to monitor conditions of CMP. An RMS measure is an energy-related value. So, it will be seen that RMS signals give a reliable and dependable result in EPD. 4.2.1. Cu/Ta/Ox Wafers Cu/Ta/Ox wafers are polished with a polyurethane pad and alumina based slurry as stated in sec. 3.1. AE signals are collected, and RMS values of these are plotted with respect to time together with friction coefficients in Figure 5. The endpoint was triggered at the edge of the wafer, which was where the copper was cleared first. The second polishing step, to remove the remaining copper and barrier, was the same. Stages of polishing were marked A to E with E being the ideal endpoint. And, as a reference, the friction coefficient data is plotted together with the AE data. Unfortunately, friction force is not leveling off even when the AE data clearly shows the end-point. This might be traceable to relatively long time response of a force sensor.

Research Reports 2001-2002

93

Figure 5. AE signals and Friction Coefficients in Copper CMP 4.2.2. STI Wafers Ox/Nit wafers are polished with fixed abrasives and pH-adjusted DI wafer. The same experimental procedure is used as copper CMP in the last section. Again, the edges are clearing first and triggering the endpoint. Stages of STI polish are marked A to D with D being the ideal end-point. Friction coefficient data collected along with AE data responds a little bit later than the AE sensor, as shown in Figure 6.

Figure 6. AE signals and Friction Coefficients in STI CMP 4.2.3. Interpretation of AE Signals in Terms of Hardness In both the copper and STI polish cases, the level of AE signals has shown a big dependence on hardness of materials polished. Pan, Liu, Lan, and Dornfeld found out that in the orthogonal cutting case, RMS of AE data has a relationship with shear strength [4,5,6]. And, as a first order approximation, hardness is 6 times of shear strength. So, it is natural that the RMS of AE data has shown a great dependence on the hardness. In the copper case, hardness of copper is on the near-range of that of tantalum, so noticeable changes are not seen in Figure 5, but both of them are only a quarter of that of oxide. Thats why the transition from tantalum to oxide is clearer. In the STI case, there is a difference between oxide and nitride in terms of hardness level, explaining why the AE signals increases as soon as a part of the nitride is exposed.

94

Laboratory for Manufacturing Automation

5. Conclusions As a new method for end-point detection, AE sensor is tested with copper and STI wafers. While frequency-based analysis does not yield noticeable results, time based analysis shows a great possibility. More importantly, it turns out that the level of AE RMS value is dependent on hardness of materials. 6. Limitations Another category that hasnt been tested with the AE sensor is an ILD process. This process does not have any material change involved; it does undergo surface roughness. Unfortunately, the AE sensor used here was not able to capture a significant change in either the time or frequency based method. References [1] Edward I. Hwang, End-Point Detection in CMP Process: Review of Current Approaches, 2001 LMA Report, 2002. [2] Jianshe Tang, Carsten Unger, Yongsik Moon, David Dornfeld, Low-k Dielectric Material Chemical Mechanical Polishing Process Monitoring Using Acoustic Emission, Mat. Res. Soc. Symp. Proc. Vol. 476, 1997. [3] Yoon Lee, Monitoring and Planning for Open Architecture Manufacturing of Precision Machining Using Acoustic Emission, Ph.D. Thesis. University of California, Berkeley, 2000. [4] Pan and Dornfeld, Modeling the Diamond Turning Process with Acoustic Emission for Monitoring Purposes, Proc. 14th North American. 1986. [5] Liu and Dornfeld, Monitoring of Micromachining Process Using Acoustic Emission, Trans. North American Manufacturing Research Institute, SME, Vol. 20. 1992. [6] Lan and Dornfeld, Acoustic Emission and Machining Process Analysis and Control, Advanced Manufacturing Processes, 1,1, 1-21. 1986.

Research Reports 2001-2002

95

A Hierarchical Framework for Integration of Fundamental PhysicalChemical Modeling to inform Environmental Decision Making: A Case study using the Environmental Value Systems Analysis
Nikhil Krishnan and Uday Ayyagari Sponsored by NSF/SRC and Applied Materials Abstract Corporations are becoming increasingly aware of environmental issues related to human industrial activity. Some corporations are making efforts to proactively improve their environmental performance from a systems perspective. Manufacturing is frequently an area of significant environmental impacts. However, proactive environmental improvements in manufacturing industries are confounded by rapid growth, fast-changing technologies and large and complex manufacturing systems. Furthermore, systematically reducing the environmental impacts of manufacturing processes requires parallel knowledge of environmental, health, performance and cost factors to identify win-win scenarios. These challenges are especially evident in semiconductor manufacturing. The Environmental Value Systems (EnVS) analysis was developed to inform environmental design and decision making in semiconductor manufacturing. To successfully incorporate environmental, cost and performance factors in a decision tool, however, requires the integration of fundamental physical-chemical modeling. This paper describes a generic framework for such integration, using a case study in Chemical Mechanical Polishing (CMP). K e y w o r d s : chemical mechanical polishing, decision making, environmental impact, semiconductor manufacturing. 1. Introduction Several attempts have been made to incorporate environmental factors into decision making in semiconductor manufacturing. These include CARRI [1, 2], S70 [3], SEMATECH Cost of Ownership [4] and E4 [5]. Some of these efforts had shortcomings due to large data requirements, lack of sufficient process links, no integration with other metrics and tools and lack of sufficient detail to allow significant decision making. A need was therefore felt, for an environmental design tool that allows for the (i) analysis of rapidly changing technology; (ii) ability to handle process complexity; (iii) simultaneous evaluation of environmental, cost and performance metrics. Such a design and decision tool would have to be tool-centric as opposed to facility-focused (or bottomup as opposed to top-down), modular and therefore easily expandable, model or information based as opposed to strictly data based, and be able to function in spite of

96

Laboratory for Manufacturing Automation

large information gaps. The Environmental Value Systems (EnV-S) Analysis was developed as a response to this need [6, 7]. The EnV-S analysis uses a process modeling shell to incorporate information on fundamental physical - chemical parameters. Case studies describing this approach were detailed in chemical mechanical polishing wastewater treatment [8]. One of the key results from that work was the fact that cost and environmental impacts associated with downstream treatment of CMP wastewater was most sensitive to the composition of the waste stream from the primary process tool. Since then work has been performed to include modeling of the primary CMP tool in the EnV-S framework [9]. The need was recognized, at that time, for an organized framework to incorporate physical - chemical modeling into environmental, cost of ownership and process performance analyses. This paper describes such a framework within the context of the CMP case. This methodology is directly extensible to other processes within semiconductor manufacturing as well as in other process type industries. 2. Framework A hierarchical approach is identified to effectively connect fundamental physical chemical modeling to aggregate environmental, cost and performance outputs. A three tiered modeling approach is developed (Figure 1). (i) The first tier involves the fundamental, empirical or mechanistic model(s) employed; (ii) The second tier is an equipment scale model of the process tool, process module or use cluster [10]. The level of aggregation considered at this level depends on the system needs and the nature of outputs required; (iii) the third tier is a facilities scale layer that includes analysis of relevant facilities parameters that are generally external to the specific module or equipment considered. Parameters considered here include downstream waste treatment options, other facilities usage, utilities generation, etc., depending on system needs and the nature of outputs required. Specific inputs, outputs and intermediate outputs are defined at each stage. Also final environmental, cost and performance metrics are identified. These may occur through analysis and outputs at each of the levels described. For the CMP case, the first tier includes a physical-chemical model of polishing. Inputs include wafer information (via density, layer thicknesses), consumable parameters (slurry size, weight percent, pH, additives) and polishing parameters (down pressure, velocity). A comprehensive material removal model for CMP [11] is then implemented. Outputs from this tier include material removal rate and other process performance metrics (within wafer non-uniformity, within die non uniformity). At the equipment or module scale, material removal rate information is combined with recipe data (chemical and utility flows), chemical data (densities, pH, TDS, TSS, etc) and equipment configuration information (number of platens, nature of platen and wafer interaction, etc). A mass balance model is implemented at the equipment scale that

Research Reports 2001-2002

97

combines information about capital, consumables, disposal, utilities and maintenance. Outputs of this model include environmental parameters (waste stream information (flows, TDS, TSS), consumable rates, utility usage), manufacturing performance parameters (wafer throughput, equipment downtime, etc) and some cost parameters [9].

Figure 1. Hierarchical approach to incorporating fundamental physical chemical parameters within environmental, cost and performance analysis of semiconductor manufacturing. At the facility scale, specific facility parameters of interest (downstream recycling systems, regulatory information, utility cost variation, etc) are combined with the output mass flow information at the equipment scale to expand this analysis further. At this level, it is possible to adjust the boundaries of the analysis appropriately depending on the nature of the case study and the purpose of the analysis. For this CMP case, the desired output is the analysis of environmental, performance and cost impacts of CMP on the facility. Therefore the analysis includes downstream treatment and recycling options [7, 8, 9].

98

Laboratory for Manufacturing Automation

3. Summary Advantages of such a hierarchical approach are manifold. First, the approach allows a simplified mechanism to define the boundaries of analysis. Aggregation may occur at the equipment, module or use cluster scale. Facility parameters may be explicitly included or discarded independently of the actual process models considered and may be changed depending on site specific factors. Second, meaningful sensitivity analysis becomes feasible due to a kernel of fundamental physical chemical models. This kernel allows broader questions of feasibility analyses of technology types to be answered up front in new product development cycles. Third, the analysis serves as a stepping stone towards a complete life cycle analysis for semiconductor manufacturing. By adopting a model based approach as opposed to a simple data based approach, issues of data availability associated with the fast temporal cycles in manufacturing may be circumvented [12]. Finally, this approach allows the isolation of fundamental physical chemical analysis from the actual implementation of such an analysis in equipment configuration, use cluster definition, etc. Therefore the analysis may be employed for the analysis of different process tools independent of equipment manufacturer. Individual pieces of this framework have been implemented for the CMP case. Work is currently underway to develop the linkages to connect the three tiers. A software tool integrating the EnV-S with fundamental physical-chemical models, within the boundaries of this framework, is being developed. Future work will include validation of the entire framework at a facility such as the EPIC center at Applied Materials. Also, this framework will be adopted for case studies in CVD. Once a critical number of modules are in place for deposition, etch, lithography, CMP, etc, it will be possible to better understand entire facility interactions of environmental, performance and cost issues, within a uniform infrastructure. References [1] Lashbrook W, OHara P, Dance D, Veltri A, Design for Environment Tools for Management Decision Making: A Selected Case Study, 1997 IEEE International Symposium of Electronics & the Environment, 1997. [2] Mendicino, L.; Beu, L., Addressing environment, health, and safety in semiconductor process development, IEEE Transactions on Components, Packaging & Manufacturing Technology, Part C (Manufacturing), vol.21, (no.3), IEEE, July 1998, pp. 233-237. [3] S70 design for environment, safety, and health (DFESH) implementation strategy for the semiconductor industry, SEMATECH, Technology Transfer #95103006AENG, 1995, nonconfidential.

Research Reports 2001-2002

99

[4] Lashbrook, W.; O'Hara, P., Evaluating the environment, safety and health impacts of semiconductor manufacturing at the design and process development stages, Proceedings nineteenth IEEE/CPMT International Electronics Manufacturing Technology Symposium, Austin, TX, USA, 14-16 Oct. 1996, pp.232-6. [5] Galli, R., Bachmann, A. H., Binder, H., Reduction of Production Costs through E4TM Efficiency Engineering, Semiconductor Fabtech, Edition 8, 1998, pp. 85-90. [6] Thurwachter, S., Shoening, J., Sheng, P., A Design Tool for Semiconductor Process Tools, SEMI Environmental Impacts of Process Tools Technical Programs Proceedings, SEMICON West 99, 1999. [7] Krishnan, N., Thurwachter, S., Francis, T., Sheng, P., The Environmental Value Systems (EnV-S) Analysis: Application to CMP Effluent Treatment Options, Improving Environmental Performance of Wafer Manufacturing Processes, Proceedings of the Electrochemical Society (ECS), Toronto, Canada, May 2000. [8] Krishnan, N., Thurwachter, S., Francis, T. Sheng, P., A Modular Environmental Design and Decision-Support Tool (EDDT) for Semiconductor Manufacturing, Improving Environmental Performance of Wafer Manufacturing Processes, Proceedings, SEMICON West 2000, 2000. [9] Bauer, D., Krishnan, N., Francis, T, Creating Win-Win: Leveraging Insight into Industrial Environmental Decision-Making, Fourth International Symposium on Environmental Issues with Materials and Processes for the Electronics and Semiconductor Industries, 199th Meeting of the Electrochemical Society, Washington, DC, March 25-30, 2001. [10] Murphy, C. F., Allen, D. T., 'Development of Life Cycle Inventory Modules for Semiconductor Processing,' EPA Grant Number R828208, Technology for a Sustainable Environment. http://es.epa.gov/ncerqa_abstracts/grants/99/sustain/murphy.html [11] Luo, J., Dornfeld D. A., Material Removal Mechanism in Chemical Mechanical Polishing: Theory and Modeling, IEEE Transactions on Semiconductor Manufacturing, Vol. 14, No. 2, May 2001. [12] Ho, H., SEMATECH LCA White Paper, Dec 2001, International SEMATECH.

100

Laboratory for Manufacturing Automation

SMART Pad Fabrication for CMP


Sunghoon Lee and Edward Hwang Sponsored by UC SMART Abstract There are many factors affecting CMP performance, among which slurry, pad, and pad conditioning have been the mainstreams of researchers in this area. As wafer size increases, new polishing mechanisms and different wafer designs have begun to be considered as well. Even though the polishing pad itself has developed considerably in terms of materials properties or issues related to the process over the last 20 years, the basic underlying concept is more or less the same as before. Hence, in order to address the ever-increasing demands of the current semiconductor industry, the SMART pad, a new concept pad, is suggested here to improve CMP performance. Keywords: Chemical mechanical polishing (CMP), SMART Pad. 1. Introduction The conventional CMP pad consists of 2 layers made of foamed polyurethane: the upper layer, which is harder, to achieve planarity in a die, and the lower layer, which is softer, to help uniform polishing over a wafer. The pad has numerous pores (foam) with 5080mm in diameter to hold the supplied slurry for a short time. During the CMP process, high pressure, rotational speeds, and chemical-mechanical reactions enhanced by chemical slurry result in a planarized surface on a wafer. However, deterioration in the pad steadily occurs as well mainly by residues: wafer debris, and abrasives in slurry. In practice, diamond grit conditioning is used to regenerate a new pad surface. The pad degradation mechanism mentioned above causes many problems: removal rate fluctuations (repeatability), scratches made by diamond grit loss (pad maintenance), erosion, dishing (chip-topography dependence), and so on [1,2]. So, the SMART pad suggested in the paper is developed to conform to the demands for a new pad with the functions such as signal for end-point, maintenance easy, repeatability in performance, and topography independence.

Research Reports 2001-2002

101

2. Concept of the SMART pad 2.1. What is the SMART pad? Figure 1 shows the schematic structure of the pad. The SMART pad is composed of two stacks with soft and hard portions similar to the conventional pad; however, the detailed design is different from the conventional one. The soft material with high compressibility is utilized for uniform pressure distribution over a wafer; and the hard part, which is pressed by the soft material during CMP, makes contact with the wafer. The pad is fabricated with a micro injection molding technique and the typical dimension is on the order of microns.
mm order

Soft Material (i.e. high compressibility)

Hard Material (i.e. high Youngs modulus) 1~10um 0.5~10um Soft/Hard variable

Signals for end-point detection from pad MAintenance easy pad Repeatability Topography independence Figure 1. Schematic of the SMART pad. 2.2. Signals for end point detection from pad In inter-level dielectric (ILD) and metal CMP, the characteristics of a wafer surface are totally different before and after CMP: from a wavy surface to a flat surface in ILD CMP, and from a metal surface to a SiO2 surface in metal CMP. Due to these differences in surfaces and with suitable built-in sensing features, different information could be acquired from the pad surface covered with hard material tips. Those differences in signals have potential for use as an end point detection method. Figure 2 illustrates the idea.

1~10 um

102

Laboratory for Manufacturing Automation

Figure 2. Illustration of end point detection with SMART pad. 2.3. MAintenance easy pad In conventional CMP, a diamond grit conditioner is used to remove a deformed and glazed pad surface, so that a new pad surface could be regenerated. However, many defects have been reported as scratches and contamination on a wafer from diamond grits and bonding metal. In addition, the material properties of pad also change after diamond conditioning. Thus, the conditioning process is generally considered to be a hard to control process. In the SMART pad, the contact surface is made of hard material and the pressure is absorbed by the supporting softer part, and little deformation occurs on the pad surface even after a long term process. The surface of the SMART pad intended to be more resistant to deformation and loading. Accordingly, there is no need to regenerate the pad surface, and polishing residues could be cleaned out using ultrasound or by other means. Hence, it becomes easier to maintain the pad without diamond conditioning. Figure 3 depicts the differences between conditioning with a diamond grit conditioner and ultrasonic conditioning. 2.4. Repeatability As the CMP process goes on, pad material properties deteriorate as mentioned in section 2.3. Consequently, repeatability of the performance between wafers -Wafer To Wafer Non Uniformity (WTWNU)- is hard to control. However, there should be little degradation of the SMART pad, because the surface is covered with hard material elements and the stress on pad, the cause of pad deformation, is backed up by softer material. For this reason, better repeatability is obtained with the SMART pad structure. The SMART proposed pad structure is shown in Figure 4.

Research Reports 2001-2002

103

Figure 3. Maintenance of the conventional pad and the SMART pad.

Figure 4. SMART pad structure under pressure. 2.5. Topography independence Defects in chips such as dishing, erosion and thinning are traceable to the differences in hardness between soft metals and hard dielectric materials; uneven pressure distribution generated by a pad encourages these defects. With the new structure suggested in the SMART pad, contact area is confined to m order and the stress distribution becomes very uniform due to back up of soft material. Hence, CMP defects depending on chip topography will decrease with the SMART pad. 3. Summary This paper proposes the design and fabrication of a new pad that addresses some limitations of conventional CMP pads. As mentioned, the hard pad elements polishes a patterned surface in ILD CMP or a metal layer in metal CMP without deformation because of the backup of the soft material. Therefore, repeatability and topography independence can be achieved. The pad can accommodate sensors to detect different

104

Laboratory for Manufacturing Automation

signals before and after the process, which can be a possible source for end-point detection. In addition, the pad is cleaned ultrasonically, thus there is no surface deterioration related to diamond grit conditioning. A detailed analysis of pad design, including prototyping of the pad and validation experiments, is underway. References [1] V. C. Venkatesh, I. Inasaki, H. K. Toenshof, T. Nakagawa, and I. D. Marinescu, Observations on Polishing and Ultraprecision Machining of Semiconductor Substrate Materials, Annals of the CIRP, Vol. 44, Feb. 1995, pp. 611-618. [2] Jia-Zhen Zheng, Vincent Huang S.K., Mark Toh W.S., Charlie Tay W. S., Feng Chen, and Bin-Bin Zhou, Optimization of Pad Conditioning for Stable Oxide CMP Process, CMP-MIC Conference, Feb. 1997, pp. 315-321.

Research Reports 2001-2002

105

Material Removal Regions in Chemical Mechanical Polishing: Coupling Effects of Slurry Chemicals, Abrasive Size Distribution and Wafer-Pad Contact Area, Part 1
Jianfeng Luo Sponsored by NSF and UC SMART Abstract A material removal rate (MRR ) model as a function of abrasive weight concentration has been proposed by extending a material removal model developed earlier [1-2]. With an increase of the weight concentration of abrasives/MRR, three regions of material removal exist: first, a chemically dominant and rapid increasing region, whose range is determined by the generation/passivation rate and hardness of the surface passivation layer, second, a mechanically dominant linear region, where the material removal is proportional to the weight concentration, and third, a mechanical dominant saturation region, where the material removal saturates because the total contact area is fully occupied by the abrasives. The passive layer of the wafer surface is proposed to be a bi-layer structure. In the first part of this paper, a detailed model is proposed to explain that the transition from the first to the second region is due to a transition from a wafer surface covered with a single soft material to a surface covered with both soft and hard materials. The chemicals contribute to the material removal through the generation rate of the upper softer layer of the passive films. The slope of the linear region is a function of abrasive size distribution, and the saturation removal rate is a function of abrasive size distribution and wafer-pad contact area. These are supported by experimental results to be discussed in the second part of this paper [39]. The model can help to clarify the roles of chemicals, wafer-pad contact area and abrasive size distribution in chemical mechanical polishing. Keywords : abrasive weight concentration, abrasive size distribution, chemical-mechanical polishing, hardness, material removal rate, pad, passivation, wafer. 1. Introduction The material removal rate in the solid-solid contact mode of chemical mechanical polishing (CMP) usually increases linearly with the abrasive weight concentration. This is observed experimentally [3-6] [37]. However, this linear increase only holds for a limited range of abrasive weight concentrations, as shown in Figure 1. Two exceptions exist. First, when there are few or no abrasives in the slurry, the material removal is

106

Laboratory for Manufacturing Automation

usually close to zero [8-11]. This has been observed for various wafer materials
30 25 20
Slower Linear Region 2

Saturation Region 3

Normalized MRR

15 10 5 0 0 5 Slope S C
1

Cs

Rapid Increasing Region 1

10

15

20

25

30

35

Weight ConcentrationC (%)

Figure 1. Three regions of MRR with the increase of abrasive weight concentration. including copper [8], aluminum [9], tungsten [10], silicon [11], and slurry recipes. This material removal, mainly due to the chemical erosion and dissolution, is much smaller than that due to chemical-mechanical removal with abrasives. This is reasonable. Otherwise, both high and low features on the wafer surface will be removed aggressively as observed in isotropic wet etching, and therefore no planarization can be realized. A small increase of the abrasive concentration in this region close to the pure chemical removal without abrasives usually leads to a rapid increase of the material removal, Figure 1. The observed linear increase is much slower than this rapid increase, and usually does not cross zero at zero weight concentration, Figure 1. Second, when the concentration of abrasives is larger than a certain value, say, Cs, the material removal rate will stop increasing but keep constant [3], [6-7]. This phenomenon is called material removal saturation. A qualitative explanation of this is that the total contact area between the wafer and pad surface is occupied by the active abrasives when saturation occurs, and a further increase in concentration cannot increase the number of abrasives on the contact area. This leads to the material removal saturation since M R R is supposed to be proportional to the active abrasive number [1-2]. This qualitative explanation is shown schematically in Figure 2. Therefore, there are two transitions of material removal regions with the increase of the abrasive weight concentration. First, a transition from a rapid increase region at small abrasive concentrations to a slower linear increase region. And second, a transition from the linear increase region to the saturation region at large abrasive concentrations. In this paper, we discuss the extension of the material removal model proposed by Luo and Dornfeld [1] to explain these transitions quantitatively. Three regions of material removal

Research Reports 2001-2002

107

are proposed. First, a chemically dominant and rapid increasing region, whose range is determined by the generation / passivation rate and hardness of the surface passivation layer. Second, a mechanically dominant linear region, where material removal increases proportionally with the weight concentration of abrasives. The material removal in both of the above regions increases linearly with abrasive weight concentrations, although with different slopes. We propose that this transition is due to a transition from a wafer surface covered with a single softer material to a surface covered with both softer and harder materials. And, third, a mechanically dominant saturation region, where the material removal saturates because the total contact area is fully occupied by the abrasives. The range of the first region, slopes of the rapid increasing and slower linear increasing region, the two transition concentrations and the saturation material removal rate are proposed to be functions of the chemicals, abrasive size distribution and waferpad contact area. Formulations of material removal rate as a function of the abrasive weight concentration are proposed for the above three regions. They agree with the previous model [1-2]. Experimental evidences supporting these regions are presented in the second part of this paper. The understanding of the proposed coupling effects of slurry chemicals, abrasive weight concentration, abrasive size distribution and wafer-pad contact area may help to understand the fundamental mechanism in CMP and optimize the process in the future.

pad asperity

Concentration from 0 to Cs

abrasives

Top view of contact area A with abrasive on it before MRR saturation

Top view of contact area A totally occupied by abrasives

Figure 2. Material removal saturation due to the limitation of contact area.

2. Transition from the first region to the second region: effects of bilayer properties of the passive film It is clear that the slurry chemicals must play an important role in the rapid increase of material removal illustrated in Figure 1. The role of chemicals in CMP may be attributed to a wear-accelerated corrosion [12], or a combined corrosion-wear [13], depending on the polished materials, slurry composition/environment, and the applied mechanical load. If this understanding is correct, CMP is basically a chemical process,

108

Laboratory for Manufacturing Automation

which is enhanced by mechanical elements including abrasion. Another understanding of the roles of chemicals, however, is based on Kaufmans model for tungsten CMP [10]. Since oxidants such as H 2O2, KMnO4, KIO3, NH4OH and K3Fe(CN)6 are frequently used in CMP slurries, Kaufman et. al. [10] proposed that chemical action of the slurry is responsible for continuously oxidizing the metal surface to form a thin passive layer (usually several to ten nanometers thick) which is immediately removed by the slurry abrasives. The fresh metal surface exposed due to the abrasion is then rapidly repassivated and removed. This passivation-abrasion-repassivation process continues until that the desired metal thickness is realized. Kaufmans model implies that the CMP is basically a mechanical process enhanced by chemical actions, whose effects on MRR are attributed to the material and mechanical properties of this passive surface layer, such as the hardness value, and its generation rate. This passivation-abrasion-repassivation mechanism of material removal has also been applied to explain the metal removal and planarization in copper and aluminum CMP [14-17]. For silicon and silicon oxide CMP, a near surface change was observed [11] [18-19]. Cook [20] proposed that a surface hydrated softer layer (SiOH) is formed under chemical attack for silica CMP and it is this layer that is removed. Therefore, a similar mechanism of surface modification, abrasion and then re-modification seems to be at work for silicon and silicon oxide CMP as well. Based on the use of different slurry chemicals, Carpio [21] pointed out that both dissolution-type chemistry and passivation-type chemistry may exist in CMP, specially, in copper CMP . For example, while ammonium hydroxide (N H4OH ) based slurry passivates the copper surface, nitric acid (HNO3) may dissolve it easily with the aid of abrasion to expose the copper surface [21]. A corrosion inhibitor such as BTA has to be used to protect the recessed areas on the wafer surface from the dissolution. In this paper, we extend Kaufmans model to explain that the rapid increase of material removal in the region of small abrasive weight concentration is due to a bilayer property of the passive films. The phenomena that occur in the dissolution-based CMP may not be able to be explained by the model proposed herein.

Metal Substrate

Primary Primary Passive Film

Porous, Precipitated, Upper Layer Film Oxygen

Slurry Solution

Film Growth

Injectio n

Figure 3. The bilayer-structure of the passive layers (from [22-24]).

Research Reports 2001-2002

109

Various micrographic and microchemical examinations of passive films on many metals and alloys have shown that they form as bilayers, consisting of a compact, harder barrier layer underlying a porous, precipitated, hydrated and softer upper layer [22-24], Figure 3. Recently, X-ray photoelectron spectroscopy (XPS) analysis has demonstrated that in the slurry modified copper surface, a Cu(OH) and CuO bilayer may exist [25]. The formation mechanism of the passive layer can be attributed to the diffusion of the metal cation and oxygen anions through the passive films and the generation and annihilation of vacancies (chemical reactions) at the metal/bottom film and upper film/bottom film interfaces, Figure 3. The growth rate of the bilayer on the metal surface including the upper and bottom layers, may be either diffusion-controlled when the films are thick or reaction-controlled when the films are thin. This property of bilayer structures with different microstructures and hardnesses has also been observed in near surface modification of the silicon and silica structure in CMP [18-19]. Trogolo and Rajan [18] found in silica CMP the existence of a 2 nm surface layer with lower density than the bulk, below of which the density increases to a value greater than the bulk, gradually returning to the bulk density at a depth of 15-20 nm below the silica surface. Although Trogolo and Rajan [18] explain the formation of the bilayer sturcture from a materials science viewpoint, a similar diffusion and reaction mechanism, as it is observed in metals, however, may underlie this phenomenon. The detailed discussion on the dynamical film growth mechanism is beyond the scope of this paper. Here, a parameter GR is simply introduced to represent the generation/growth rate of the upper and bottom layers. It may be a coupling function of slurry chemical and mechanical elements. Two hardness parameters, Hw1 and Hw2, are used to represent the different material properties of the upper and bottom layers, respectively. Due to the bilayer nature of the metal passive film, two regions of different materials removed with the increase of abrasive weight concentrations, are proposed as follows. When the weight concentration of slurry abrasives is small, the material removal rate (MRR ) is close to zero as mentioned before and probably smaller than the growth rate (GR) of the upper porous layer. This implies that the wafer surface is fully covered with the upper porous softer layer during the polishing, as shown in Figure 4 (a). Based on this understanding, and the material removal model proposed by Luo and Dornfeld [1-2], the material removal rate can be written as a function of the abrasive size distribution, down pressure and the hardness Hw1 of the upper layer:

(1)

110

Laboratory for Manufacturing Automation

where x avg is the average size of the abrasives, s the standard deviation of the size distribution function, C the weight concentration of abrasives, k a constant accounting for other factors including relative velocity V, and other consumable parameters, and C6 a function of the pad hardness and pad topography [1-2].

removal rate abrasives

growth rate softer surface

harder

surfac e (a) D2 (b) 2a2 (c)

D2
Shaped area is the leading edge with aggressive chemical reaction

Figure 4. Different layer removed with increase of abrasive weight concentration/material removal rate. (a) Small MRR < GR of upper layer (removed material is upper layer). (b) MRR= GR of upper layer (upper layer is removed as formed). (c) MRR> GR (part of removed materials is the upper softer layer which is removed as formed, and part of removed materials is the bottom harder layer). Considering that the active abrasive size is approximately equal to xavg+3s [1-2], we can obtain the following simplified material removal rate formulation: MRR= k1C/Hw13/2[(xavg+3s)2/xavg3 ]P01/2, (2)

where k1 includes the portion of the active abrasives (part 2 in Equation (1)). Note that if xavg+ 3 s /s in part 2 of Equation (1) is approximately constant, the portion of active abrasive number is almost independent of abrasive size distribution, which is the case for the experimental data from [3] to be used in the second part of this paper. From Equation (2), the slope of the material removal as a function of abrasive weight concentration is inversely proportional to the hardness H w1 of the upper film. Therefore, the material

Research Reports 2001-2002

111

removal increases rapidly with abrasive weight concentration when the upper layer composed of softer hydrated materials is removed (region 1 in Figure 1). With the increase of the weight concentration C of abrasives, the material removal rate increases. At a certain concentration C1, Figure 1, the material removal rate MRR1 will be equal to the generation rate GR1 of the upper softer layer. Then the softer upper layer will be removed as soon as generated, Figure 4(b). This soft layer is thin and its generation is expected to be reaction controlled. With a continued increase of the abrasive weight concentration, the material removal rate MRR becomes larger than the generation rate GR1 of the upper layer materials. Under this larger material removal rate, the upper layer material is generated slower than removed and part of the harder bottom layer is exposed. Therefore, instead of a single upper layer of materials, a layer of bi-material composed of both the upper softer materials and the bottom harder materials on the wafer surface (see Figure 4(c)) will be continuously formed and removed. The ratio of the area of softer materials to the harder materials is determined by the ratio of MRR1 to MRR-MRR1. It is proposed that of all the active abrasives, part of the abrasives with a number proportional to C1/xavg3 is removing the softer upper layer with an in-situ generation rate GR1, while the other part with a number proportional to (C-C1)/xavg3 is removing the exposed harder bottom layer. Considering that the material removal rate at concentration C1 is MRR1 and material removal increases linearly with the active abrasive number, we can write the material removal rate with concentration larger than C1 as: MRR = MRR1 + k1(C-C1)/Hw23/2[(xavg+3s)2/xavg3]P01/2 " C > C1 (3)

This transition of material removal rate from region 1 to region 2 is shown schematically in Figure 5. Hw2 is larger than Hw1. Therefore, the material removal in region 2 increases proportionally with the weight concentration but more slowly. The possibility that two different materials, one, the metal substrate, and the other, the metal oxide, are removed simultaneously with the increase of material removal has been noted by Kuo and Tsai [26], although they did not notice that a transition may occur on the interface of this bilayer structure of passive films, instead of the metal/ oxide interface. The transition on the metal / oxide interface, however, is possible with a further increase of the material removal rate, which is not covered in this paper. From the above discussion, the chemicals influence the material removal in region 2 from two aspects: the generation rate of the upper softer layer, and the hardness value of the upper and bottom layers. The generation rate GR1 is a function of mechanical elements including abrasive size and down pressure, and the chemical elements, including oxidizer concentrations. The addition of oxidation reagents increasing the passivation or growth rate of the upper soft layer, will lead to a higher portion of soft materials on the wafer surface, and therefore, an increase of material removal. That the material removal increases with the addition of oxidization reagents has been observed in experiments for various wafer materials including tungsten [4] [10] [27] and copper [5] [14] [28]. Aluminum can be passivated easily even with exposure to DI water and ambient air. Therefore, the generation rate GR1 may saturate easily. This explains why MRR in

112

Laboratory for Manufacturing Automation

RR Slope 2 k1Hw2-3/2(xavg+3s)2/xavg3 Slope 1 k1Hw1-3/2(xavg+3s)2/xavg3 C1 Abrasive Concentration %

MRR1= GR1

-b

Figure 5. The transition from rapid increase region 1 to slower linear increase region 2. aluminum CMP does not change much with the increase of oxidizer concentrations [9]. A change of applied potential on the aluminum CMP in phosphoric acid based slurry, however, reveals that the passivation rate and material removal rate may increase simultaneously with a high anodic polarization [26]. The increases of oxidizer concentrations do not change the basic micrographic structure of passive layers. This implies that their hardness values do not change much with concentrations. Therefore, the lines in the second region should move up and down parallel with each other only with changes of the oxidizer concentration. Figure 6(a) shows this idea schematically. In the second part of the paper, experimental results that support this concept will be presented.

oxidizer concentration RR

Slope 2
Slope 1 k1Hw1-3/2(xavg+3s)2/xavg3 -b C1

k1Hw2-3/2(xavg+3s)2/xavg3

Abrasive Concentration %

Figure 6(a). The transition from rapid increase region 1 to slower linear increase region 2 under different generation rates of upper hydrated softer film but the same hardness A.

Research Reports 2001-2002

113

Changing the type of oxidizers, however, may lead to a change of the hardness. If the metal oxide is too hard, MRR may be small even with a high generation rate. This idea is shown schematically in Figure 6(b). Therefore, a proper oxidizer for CMP should satisfy two conditions simultaneously: first, high oxidization rate, and second, soft enough metal oxide after the passivation. The hydration of the passive film might be indispensable for CMP in consideration of the softness requirement.

RR

decreasing hardness

Slope 2
MRR1= GR1 k1Hw2-3/2(xavg+3s)2/xavg3 Slope 1 k1Hw1-3/2(xavg+3s)2/xavg3 C1 Abrasive Concentration %

-b

Figure 6 (b). The transition from rapid increase region 1 to slower linear increase region 2 under the same generation rates but different hardness of upper hydrated softer film. However, it is also noteworthy that in the first region, the chemicals contribute to the material removal through the hardness value of the upper, softer layer only. The passivation rate of the upper softer layer does not contribute to the material removal rate. This implies that an increase in oxidizer concentration does not necessarily increase the material removal rate, if the material removal is in the first region. Gutmann [38] noted that in copper CMP, when the material removal is large, an increase of oxidizer concentration yields an apparent increase of material removal rate. When the material removal is small, however, the material removal rate does not change much. An explanation of this phenomenon is that when the material removal is large, the material removal lies in the second region, where the passivation rate contributes to the material removal; when the material removal is small, the material removal lies in the first region, where the passivation rate does not contribute to the material removal. This can be seen schematically in Figure 6(a). A better understanding of the generation/growth mechanism of the passive films is needed to exactly evaluate the transition concentration and growth rate. An exact evaluation of this value is beyond the scope of this paper. Here, we propose that the value of transition concentration C1 is independent of the abrasive size distribution and the down pressure P0 applied on the wafer top surface. This could be based on the following understanding on the coupling effects of mechanical and chemical elements. At the transition concentration C1, the material removal rate is equal to the generation rate of the upper porous film, therefore, from Equation. 2,

114

Laboratory for Manufacturing Automation

GR1=k1C1/Hw13/2[(xavg+3s)2/xavg3 ]P01/2

(4)

The abrasives are indented into the upper layer surface under force F , Figure 4. (The details on the indentation model can be found in [1] and [2].) The polishing consists of the passage of abrasive particles under load across the wafer surface. The area of the leading edge between a single abrasive and the surface layer is equal to the radius a2 of the projected indentation circle times the indentation depth D2 [1], as seen in Figure 4, and is proportional to (xavg+3s)2P01/2 [1]. The active abrasive number N C1/xavg3 [1-2]. Therefore, the total area of leading edge at the transition concentration C1 is proportional to k1C1(xavg+3s)2/xavg3P01/2. Cook [20] proposed that the temperature at the leading edge is much higher due to the bonding breakage. Therefore, the chemical reactions that take place are more intensive than those on the other areas at the wafer surface. Furthermore, due to the high-energy state of the stressed metal, a higher intensity of broken bonds in the neighborhood of the leading edge, may lower the energy barrier to oxidation [29]. Hence, it is reasonable to assume that the generation rate of the upper layer is proportional to this direct contact-leading edge area. This relationship can be simply written as GR1 = k1[(xavg+3s)2/ xavg3]P01/2f(C1, j) (5)

This function decouples the effects of the mechanical elements, including down pressure and abrasive size distribution, from other elements. The function f(C1, j) is introduced here to account for the effects of other chemical and mechanical factors j, such as the applied potential, chemical concentration, ion diffusion constant, slurry PH value and temperature. The C1 may influence the generation rate through other elements except the leading edge area and this is accounted for in function f ( C1, j ). An assumption in Equation (5) is that the abrasive size distribution and down pressure affect the generation rate through the leading edge area only. Substitution of Equation 4 into Equation 5 yields GR1= k1C1/Hw13/2[(xavg+3s)2/xavg3 ]P01/2 = GR1 =k1[(xavg+3s)2/ xavg3]P0_ f(C1, j) f(C1, j)= const independent of abrasive size distribution and down pressure transition concentration C1 = const independent of abrasive size distribution and down pressure. For convenience, Equation 3 can be written in another form: MRR =k1(C+b)/Hw23/2[(xavg+3s)2/xavg3]P01/2 (6-a)

where - b is the intercept of the M R R line with the concentration axis, Figure 5, representing the effects of passivation rate of the upper softer layer on the total material removal rate. It is easy to realize that b = C1 (Hw2/Hw1)3/2 from Figure 5. Since C 1 is

Research Reports 2001-2002

115

independent of the abrasive size distribution and down pressure, b should be independent of the abrasive size distribution and down pressure as well. The prediction of the transition under different abrasive size distribution based on this model is shown in Figure 7. Separating the portion of active abrasive from k1 we obtain the material removal as a function of slurry chemicals, abrasive size distribution and down pressure as follows:

(6-b)

C+b and Hw2 in Equation (6-b) are taking place of C and Hw1 in Equation 1 for the range of C>C1. C+b can be taken as an effective concentration, and the wafer surface can be taken as covered with a single material with an effective hardness Hw2. The independence

RR Slope 2 k1Hw2-3/2(xavg+3s)2/xavg3 Slope 1 k1Hw1-3/2(xavg+3s)2/xavg3 -b C1

MRR1= GR1

Abrasive Concentration %

Figure 7. The transition from rapid increase region 1 to slower linear increase region 2 under different abrasive size distributions. of b on the down pressure guarantees the validity of the previous model [1] on the down pressure dependency of material removal rate in the range of C> C1, which can be written as: MRR = h1[1-F(3-h2P01/3 )]P01/2 , (6-c) where h1 = k(C+b) (xavg+3s)2/(Hw23/2xavg3) is dependent on the chemicals, abrasives and pad, and h2 = C3[(xavg+3s)/s] (6-e) (6-d)

116

Laboratory for Manufacturing Automation

is dependent on the pad topography (C 3), pad materials (C 3), and abrasive size distribution but independent of chemicals. Note that h2 reflects the sensitivity of material removal on the down pressure distribution and can be used to optimize the nonuniformity from the viewpoint of consumable effects [31]. In the second part of the paper [39], we will present experimental evidence to support the down pressure dependency of material removal rate, Equations (6-c)-(6-e).
300

250

Material Removal Rate (nm/min)

Hw1
200

150

H w2
100

50

0 0 50 100 150 200 250 PV (psi * m/min)

Figure 8. Typical polishing characteristics for TEOS blanket wafer (from [32]). In summary, the rapid increase of material removal with a small increase of the abrasive concentration in the first region, is due to the nature of low hardness of the upper porous softer layer. The exponent 3/2 of the hardness term in the material removal rate function accelerates this change. The transition is due to a transition from a region where a single softer material is removed to a region where softer and harder materials are removed simultaneously. This transition may not be a function only of the abrasive weight concentration. Based on the same reasoning, it may be a function of other mechanical elements such as down pressure and velocity as well. When the material removal is small at small down pressure and velocity, the material removal increase may be much faster since the material removed is the softer upper layer. Typical polishing data for TEOS blanket wafers from Ouma [32] supports this, Figure 8. The dashed line in Figure 8 represents the change of the down pressure dependency of material removal rate with the wafer hardness (from Hw1 to H w2) based on Luo and Dornfelds model [1]. The CMP usually works in the second region. This transition may explain why the linear fit for CMP MRR data as a function of down pressure and velocity usually intercept with the MRR axis with a non-zero value, Figure 8.

Research Reports 2001-2002

117

2. Transition from the second to the third region: effects of abrasivr size destribution and wafer-pad contact area. The second transition is the transition of the material removal rate from the linear, slower increase region 2, to a saturation region 3, where material removal stops increasing with the weight concentration of abrasives. We propose that this transition is because the contact area between wafer and pad is completely occupied by active abrasives. Before the material removal saturates, the material removal formulation as a function of abrasive size distribution in region 2 has been developed in last section as: MRR=k1(C+b)/Hw23/2[(xavg+3s)2/xavg3]P01/2 (7)

The value of k1(C+b)/xavg3 is proportional to the number N of abrasives on the contact area A between wafer and pad [2]. When the weight concentration is small, most of the contact is direct contact between the wafer and pad asperities. The contact area A is dependent on the down pressure, pad material and pad topography but independent of the abrasive geometry and abrasive size [1], Figure 9(a). When the area is totally occupied by abrasives, however, the abrasives behave as an interfacial layer between the wafer and pad asperities, Figure 9(b). In this case, the pad asperities are considered to have a higher effective Youngs modulus. With larger abrasives, the effective Youngs modulus of the pad is larger. This changes the contact area A. When saturation occurs, this contact area will be totally occupied by active abrasives and therefore the number of abrasives will not increase with concentration any more.
smaller contact area larger contact area

wafer pad asperity

small weight concentration of abrasives

large weight concentration of abrasives

(a) slow linear region

(b) saturation region

Figure 9. Schematic of two contact modes with different abrasive weight concentrations.

118

Laboratory for Manufacturing Automation

xavg-a

Wafer

Pad Asperity with Youngs modulus Ep

R+xavg-a

Abrasive layer with effective Youngs modulus E a*

Real contact parts A between wafer & abrasives

(a)

Contact area A with active abrasives

(b)

Figure 10. Schematic of wafer-abrasive-pad contact in the situation of material removal saturation:. (a) before force applied to the wafer, (b) after force is applied to the wafer. Now, let us estimate the relationship between the contact area and the abrasive size distribution. As shown in Figure 10, the abrasives, which are closely packed together, are taken as an interfacial layer between the wafer and pad asperities with effective Youngs modulus Ea* and thickness xavg-a. The pad asperities are assumed to have a spherical tip with radius R and Youngs modulus E p, as used in [1]. The pad asperity and the interfacial layer are modeled as two springs in series, so the effective Youngs modulus of the pad asperity Ep*, considering the interfacial abrasive layer, can be approximated as
E* p = E a E p(
*

R + x avg- a E R + E p x avg- a
* a

).

(8)

Since not all of the interfacial space between the dashed curve in Figure 10 (b) and the pad asperity is occupied by abrasives, the effective Youngs modulus Ea* of the interfacial layer of abrasives is much smaller than the real Youngs modulus Ea of the abrasive materials. This E a* can be estimated as E a*= E aA/A where A is the real contact area between the abrasives and the wafer, and A the contact area occupied by the abrasives, as shown in Figure 10 (b). The A is equal to the number of active abrasives times the projected area of the indentation of a single abrasive into the wafer. A is equal to the

Research Reports 2001-2002

119

number of abrasives times the area occupied by a single abrasive. Therefore, the ratio between A and A can be estimated as A/A = P/Hw based on Equation (4) in [1], where P is the contact pressure and H w2, the effective wafer hardness. Therefore, E a*= E aP/Hw2. Since the contact pressure P (around 106 Pa [1]) is much smaller than the wafer hardness (around 109 Pa for tungsten and 1010 Pa for silicon oxide and silicon [34]), the effective Ea* is much smaller than the real Ea. For alumina abrasives, the real Ea is around 500 GPa [5]. Therefore, the effective Ea* is around 500 GPa/(1000 ~ 10,000)= 0.05 ~ 0.5 GPa. The effective radius R* of the asperity considering the interfacial abrasive layer is R+xavga, Figure 10. Based on contact mechanics ([33] & Equation 2 in [1]), an approximate relationship between the abrasive size xavg-a and the contact area A under force F can be obtained as A'
( E a R + E p x avg- a 2/3 R * 2/3 ) =( ) * * Ep Ea Ep

2/3

R = Ep

Ep x avg- a 1+ * RE a

2/3

(1+m1xavg-a)2/3,

(9)

where m 1 = E p/(REa*) is a constant related to the pad topography, pad material and abrasive material. Polymers used here usually have Youngs modulus around 1 GPa [34]. The value of E a* has been estimated earlier as 0.05 ~ 0.5 GPa. The radius R of the pad asperity should be around 10 ~100 mm. Therefore m1 can be estimated to be around 0.02 ~ 2 mm-1. Once the contact area is known, the relationship between the contact pressure P and the active abrasive size can be estimated as: P P0/A (1+m1xavg-a)-2/3. The force applied on a single active abrasive is F= 0.25p xavg-a2 P xavg-a2 (1+m1xavg-a)-2/3 (11) (10)

It is noteworthy that the contact area at saturation increases with the abrasive size while the contact pressure decreases with the abrasive size. From Equation 11 in [1],
Volremoved=
2 2 P 3/2 x avg- a ( ) V 4 H w2

where Hw2 is the effective hardness of the wafer and V the relative velocity of the wafer, and (10), the material removed by a single active abrasive in the situation of saturation satisfies:

120

Laboratory for Manufacturing Automation

2 3/2 x avg xavg-a2(1+m1xavg-a)-1 Volremoved -aP

(12)

The abrasive size dependence of material removed by a single abrasive in the situation of saturation is different from that without saturation. This is because the contact pressure and contact area are dependent on the active abrasive size. The number of active abrasives N in the situation of saturation is: N= A/(0.25p xavg-a2) (1+m1xavg-a)2/3 xavg-a-2 Therefore, the material removal rate MRRs at saturation should satisfy MRRs=N Vol removed (1+m1xavg-a)-1/3 A1/2 (14) (13)

The saturation material removal rate decreases with the increase of the abrasive size. It is a function of abrasive size and wafer-pad topography only. Based on the above discussions, the material removal rate can be written as a function of abrasive size distribution and concentration as follows: MRR k1(C+b) (xavg+3s)2/xavg3 when C< Cs , which is a function of weight concentration, and MRR (1+m1xavg-a)-1/3 =[1+m1(xavg+3s)]-1/3 A1/2 (16) (15)

when C C s , which is independent of weight concentration. If the slope of the linear region is S, which satisfies Sk1(xavg+3s)/xavg3 as shown in (15), then the relationship between Cs and abrasive size can be written as Cs=MRRs/S-b[1+m1(xavg+3s)]-1/3xavg3/(xavg+3s)-const , where const is independent of abrasive size distribution. In summary, when C1< C < Cs, the material removal is mainly mechanical removal. A linear relationship between the concentration and the material removal rate exists in this region. The contact mode in this region could be described schematically as in Figure 9(a). When C> Cs, the material removal saturates since the contact area has been totally occupied by the abrasives [3], [7]. The contact mode in this region is shown in Figure 9(b), schematically. The contact area in the saturation region is larger than that in the (17)

(18)

Research Reports 2001-2002

121

linear region. It is noteworthy that this second transition of material removal regions was also modeled by Fu. et al. [34] and Paul [35-36] using different approaches. 3. Conclusion A material removal rate (MRR) model as a function of abrasive weight concentration has been proposed by extending a material removal model developed earlier [1-2]. With an increase of the weight concentration of abrasives/MRR, three regions of material removal exist: first, a chemically dominant and rapid increasing region, whose range is determined by the generation/passivation rate and hardness of the surface passivation layer; second, a mechanically dominant linear region, where the material removal is proportional to the weight concentration; and third, a mechanical dominant saturation region, where the material removal saturates because the total contact area is fully occupied by the abrasives. The passive layer of the wafer surface is proposed to be a bi-layer structure. In the first part of this paper, a detailed model is proposed to explain that the transition from the first to the second region is due to a transition from a wafer surface covered with a single soft material to a surface covered with both soft and hard materials. The chemicals contribute to the material removal through the generation rate of the upper softer layer of the passive films. The slope of the linear region is a function of abrasive size distribution, and the saturation removal rate is a function of abrasive size distribution and wafer-pad contact area. These are supported by experimental results to be discussed in the second part of this paper. The model can help to clarify the role of chemicals, wafer-pad contact area and abrasive size distribution in chemical mechanical polishing. References [1] J. Luo and D. A. Dornfeld, Material removal mechanism in chemical mechanical polishing: theory and modeling, IEEE Transaction: Semiconductor Manufacturing, Vol. 14, No. 2, pp.112- 133, 2001. [2] J. Luo and D. A. Dornfeld, Effects of abrasive size distribution in chemicalmechanical polishing: modeling and verification, submitted to IEEE Transaction: Semiconductor Manufacturing, 2001. [3] M. Bielmann, U. Mahajan and R. K. Singh, Effect of particle size during tungsten chemical mechanical polishing, Electrochemical and Solid-State Letters, Vol. 2, pp. 401- 403, 1999. [4] R. Jairath, M. Desai, M. Stell, R. Tolles and D. Scherber-Brewer, Consumables for the chemical mechanical polishing (CMP) of dielectrics and conductors, Materials Research Society Symposium Proceedings, Vol. 337, pp. 121-131, 1994.

122

Laboratory for Manufacturing Automation

[5] Q. Luo, S.Ramarajan and S. V. Babu, Modification of the preston equation for the chemical-mechanical polishing of copper, Thin Solid Films, Vol. 335, pp. 160-167, 1998. [6] D. Devlieger, M. Desai and C. Fruitman, Sol gel abrasives in chemical mechanical polish, Advanced Metallization for ULSI Applications in 1994, Conference Proceedings ULSI-X, 1995 Material Research Society, pp. 201- 205, 1995. [7] D. J. Stein, D. L. Hetherington and J. L. Cecchi, Investigation of the kinetics of tungsten chemical mechanical polishing in potassium iodated-based slurriesI. Role of alumina and potassium iodate, Journal of the Electrochemical Society, Vol. 146, No. 1, pp. 376-381, 1999. [8] F. M. Doyle and S. Aksu, Copper CMP: electrochemistry in slurries containing organic complexing agents Small Feature Reproducibility (SFR) Weekly Meeting, University of California at Berkeley, Berkeley, CA, U. S. A., Feb. 26, 2001. [9] J. Hernandez, P. Wrschka, Y. Hsu, T.-S. Kuan, G. S. Oehrlein, H. J. Sun, D. A. Hansen, J. King and M. A. Fury, Chemical mechanical polishing of Al and SiO2 thin films: the role of consumables, Journal of the Electrochemical Society, Vol. 146, No. 12, pp. 4647-4653, 1999. [10] F. B. Kaufman, D. B. Thompson, R. E. Broadie, M. A. Jaso, W. L. Guthrie, D. J. Guthrie, D. J. Pearson and M. B. Small, Chemical-mechanical polishing for fabricating patterned W metal features as chip interconnects, Journal of the Electrochemical Society, Vol. 138, No. 11, pp. 3460-3465, 1991. [11] Y. Moon, Mechanical aspects of the material removal mechanism in chemical mechanical polishing (CMP), Ph.D. Dissertation, Department of Mechanical Engineering, University of California at Berkeley, Berkeley, CA, U. S. A., 1999. [12] S. Mischler, S. Debaud and D. Landolt, Wear-accelerated corrosion of passive metals in tribocorrosion systems, Journal of the Electrochemical Society, Vol. 145, No. 3, pp. 750-758, 1998. [13] I. Garcia, D. Drees and J. P. Celis, Corrosion-wear of passivating materials in sliding contacts based on a concept of active wear track area, Wear, Vol. 249, pp. 452-460, 2001. [14] J. M. Steigerwald, S. P. Murarka, D. J. Duquette and R. J. Gutmann, Surface layer formation during the chemical mechanical polishing of copper thin films, Materials Research Society Symposium Proceedings, Vol. 337, pp. 133-138, 1994. [15] J. Larsen-Basse and H. Liang, Probable role of abrasion in chemo-mechanical polishing of tungsten, Wear, Vol. 233-235, pp. 647-654, 1999.

Research Reports 2001-2002

123

[16] D. Zeidler, Z. Stavreva, M. Pltner and K. Drescher, Characterization of Cu chemical-mechanical polishing by electrochemical investigations Microelectronic Engineering, Vol. 33, pp. 259-265, 1997. [17] [M. A. Fury, D. L. Scherber and M. A. Stell, Chemical mechanical planarization of aluminum based alloy for multilevel metallization, Material Research Society Bulletin, Vol. 20, pp. 61-64, Nov., 1995. [18] J. A. Trogolo and K. Rajan, Near surface modification of silica structure induced by chemical/mechanical polishing, Journal of Materials Science, Vol. 29, pp. 4554-4558, 1994. [19] E. I. Hwang and D. A. Dornfeld, Scratch testing of silicon wafers for surface characterization, Small Feature Reproducibility (SFR) Annual Workshop, University of California at Berkeley, Berkeley, CA, U. S. A., Nov. 8, 2000. [20] L. M. Cook, Chemical processes in glass polishing, Journal of Non-Crystalline Solids,Vol. 120, pp. 152-171, 1990. [21] R. Carpio, J. Farkas and R. Jairath, Initial study on copper CMP slurry chemistries, Thin Solid Films, Vol. 266, pp. 238-244, 1995. [22] D. D. Macdonald and M. Urquidi-Macdonald, Theory of steady-state passive films, Journal of the Electrochemical Society, Vol. 137, No. 8, pp. 2395-2402, 1990. [23] D. D. Macdonald, S. R. Biaggio and H. Song, Steady-state passive films-Interfacial kinetic effects and diagnostic criteria, Journal of the Electrochemical Society, Vol. 139, No. 1, pp. 171-177, 1992. [24] N. Sato, K. Kudo and R. Nishimura, Depth analysis of passive films on iron in neutral borate solution, Journal of the Electrochemical Society, Vol. 123, pp. 14191423, 1976. [25] J. Hernandez, P. Wrschka and G. S. Oehrlein, Surface chemistry studies of copper chemical mechanical planarization, Journal of the Electrochemical Society, Vol. 148, No. 7, pp. G389-G397, 2001. [26] H-S. Kuo and W-T. Tsai, Effect of applied potential on the chemical mechanical polishing of aluminum in phosphoric acid base slurry, Journal of the Electrochemical Society, Vol. 147, No. 6, pp. 2136-2142, 2000. [27] J. Farkas, R. Carpio, R. Bajaj, C. Galanakis, R. Jairath, B. P. Jones and S-M. Tzeng, Oxidation and etching of tungsten in CMP slurries, Advanced Metallization for ULSI Applications in 1994, Conference Proceedings ULSI-X, 1995 Material Research Society, pp. 25-32, 1995.

124

Laboratory for Manufacturing Automation

[28] Q. Luo, D. R. Campbell and S. V. Babu, Chemical-mechanical polishing of copper in alkaline media, Thin Solid Films, Vol. 311, pp. 177-182, 1997. [29] F. C. M. J. M. Van Delft, P. _asi_ski and G. S. A. M. Theunisse, Plasma etch mechanistic framework used for tribochemical reactions, Journal of Materials Science Letter, Vol. 13, pp. 1370-1374, 1994. [30] J. Luo and D. A. Dornfeld, Improvement of non-uniformity in chemical mechanical polishing from the viewpoint of consumable effects based on a developed material removal model: a research proposal, ESRC Reports (01-2001), University of California at Berkeley, Berkeley, CA, U.S.A, Jan., 2001. [31] J. Luo and D. A. Dornfeld, "Optimization of chemical mechanical planarization from the viewpoint of consumable effects," (Invited Paper) Eighteenth International IEEE VLSI Multilevel Interconnection Conference (VMIC), pp. 281-289, Santa Clara, CA, U. S. A., Sept. 25-26, 2001 and submitted to Journal of the Electrochemical Society, 2002. [32] D. O. Ouma, Modeling of chemical mechanical polishing for dielectric planarization, Ph. D. Dissertation, Dept. of Electrical Engineering, MIT, MA, U. S. A., 1999. [33] K. L. Johnson, Contact Mechanics. Cambridge, Cambridge University Press, 1985. [34] G. Fu, A. Chandra, S. Guha and G. Subhash, A plasticity-based model of material removal in chemical-mechanical polishing (CMP), IEEE Transaction: Semiconductor Manufacturing, Vol. 14, No. 4, pp. 406-417, 2001. [35] E. Paul, A model of chemical mechanical polishing, Journal of the Electrochemical Society, Vol. 148, No. 6, G355-G358, 2001. [36] E. Paul, Application of a CMP model to Tungsten CMP, Journal of the Electrochemical Society, Vol. 148, No. 6, G359-363, 2001. [37] A. Jindal, S. Hegde and S. V. Babu, Evaluation of alumina/silica mixed abrasive slurries for chemical mechanical polishing of copper and tantalum, Eighteenth International IEEE VLSI Multilevel Interconnection Conference (VMIC), pp. 297306, Santa Clara, CA, U. S. A., Sept. 25-26, 2001. [38] R. J. Gutmann, Copper damascene patterning: challenges for chemical-mechanical planarization, Seminar at Dept. of Mechanical Engineering, University of California at Berkeley, Berkeley, CA, U. S. A., Feb. 15, 2002.

Research Reports 2001-2002

125

[39] J. Luo and D. A. Dornfeld, Material removal regions in chemical mechanical polishing: coupling effects of slurry chemicals, abrasive size distribution and waferpad contact area, part 2, Submitted to IEEE Transaction: Semiconductor Manufacturing, 2002.

126

Laboratory for Manufacturing Automation

Material Removal Regions in Chemical Mechanical Polishing: Coupling Effects of Slurry Chemicals, Abrasive Size Distribution and Wafer-Pad Contact Area, Part 2
Jianfeng Luo Sponsored by NSF and UC SMART Abstract Three regions of material removal with the increase of abrasive weight concentrations have been proposed in the first part of this paper [1]. The transition of the regions and the corresponding material removal rate formulations are functions of slurry chemicals, abrasive size distribution and wafer-pad contact area. In this paper, experimental evidence supporting the proposed model and formulations is discussed. Further experimental results supporting the model from other aspects in the future can help a better understanding on the chemicalmechanical polishing and its optimization. Keywords: abrasive size distribution, chemical-mechanical polishing, material removal rate, passivation, slurry. 1. Introduction In the first part of this paper [1], a model is proposed to explain the different regions of material removal. The passivation layer of the wafer surface due to chemical reactions is proposed to be a bi-layer structure with different hardness values. When the material removal is small, the material removed is the upper softer layer and the material removal rate increases rapidly with the abrasive weight concentration. When the material removal is larger than the passivation rate of the upper softer layer, both softer upper layer and harder bottom layer are removed simultaneously. The increase of material removal rate with abrasive weight concentration becomes slower. With continued increase of abrasive weight concentration, material removal saturation occurs due to the full occupation of the contact area by the abrasives. The material removal rates in the above three regions are coupling functions of slurry chemicals, wafer-pad contact area and abrasive size distribution. In the first region, the chemicals contribute to the material removal through the hardness value of the upper softer layer. The passivation rate of the upper softer layer does not contribute to the material removal rate. This implies that increasing oxidizer concentration does not necessarily increase the material removal rate. In the second region, the passivation rate due to the chemicals will contribute to the material removal. A larger passivation rate yields a larger material removal rate. In the third region, the material removal is a function of wafer-pad contact area. This contact area, different from that in the first and second region, is determined not only by pad topography and pad materials but also abrasive size distribution. Moreover, based on the proposed model, the down pressure

Research Reports 2001-2002

127

dependency of material removal rate is a function of pad topography, pad materials and abrasive size distribution but independent of slurry chemicals. In this paper, we will first discuss the experimental evidence supporting the proposed transition of material removal regions and the corresponding material removal rate formulation. Then, we will discuss experimental evidence supporting the proposed relationship between slurry chemicals, pad topography, pad materials and abrasives and the down pressure dependency of the material removal. 2. Experimental verification 2.1. Two Transitions Figures 1(a) and 1(b) show tungsten (W) CMP MRR experimental results by Jairath et. al. [2]. They proposed that the passivation/oxidation of the tungsten surface occurs as: W+ Ox++ WO3 + Ox+, where Ox is the oxidant used in the slurry. It is proposed in our model that this passive film is composed of two layers, one, a hydrated softer layer, the other, a compact harder layer. Both silica and alumina abrasives are used for the polishing experiments. The abrasives and oxidizer concentrations are changed from 1% to 8% and 1 to 5.
600

Material Removal Rate (nm/min)

500 400 300 200 100 0 0 1 2 3 4 Silica Abrasive Weight Concentration (%) 5
Ox: 1X Ox: 3X Ox: 5X Linear (Ox: 5X) Linear (Ox: 3X) Linear (Ox: 1X)

Figure 1(a). Removal rate of tungsten CMP as a function of oxidizer concentration and silica abrasive concentration It is seen that the material removal increases proportionally with the abrasive weight concentrations. Higher oxidizer concentration yields higher material removal rate. This is due to the higher growth rate of the upper softer layers. The hardness value of the bottom layer WO3, however, may not change since the micrographic structure does not change. Therefore, for different oxidizer concentrations, the slopes of material removal in Figures 1(a) and 1(b) keep as constant. This agrees with the model prediction in Figure 6 of [1]. Jairath [2] did not measure the material removal rate in the absence of abrasives,

128

Laboratory for Manufacturing Automation

however, tungsten CMP experimental data [3] from other sources using similar chemicals shows that it is minimal. This is also a requirement from the viewpoint of avoiding isotropic etching for industry-standard slurries. Therefore, the increase of material
Material Removal Rate (nm/min)

800 700 600 500 400 300 200 100 0 0 1 2 3 4 5 6


Ox: 1X Ox: 3X Ox: 5X Linear (Ox: 5X) Linear (Ox: 3X) Linear (Ox: 1X)

9 10

Alumina Abrasive Weight Concentration (%)

Figure 1(b). Removal rate of tungsten CMP as a function of oxidizer concentration and alumina abrasive concentration

300
Copper CMP, DI Water at pH 4 Copper CMP, 5% H2O2 at pH 10 Tantalum CMP, 5% H2O2 at pH 10

250

200
MRR (nm/min)

150

100

50

0 0 0.5 1 1.5 2 2.5 3 Alumina Abrasive Weight Concentration (%)

Figure 1(c). Removal rate of copper and tantalum CMP as a function of alumina abrasive concentration (from [5])

Research Reports 2001-2002

129

removal in the first region must be much more rapid than that in the second region. The dashed line in Figure 1(a) represents a conservative estimation of the material removal
Mean Size (mm) AKP50 AKP30 AKP15 AA07 AA2 0.29 0.38 0.60 0.88 2.00 Standard Deviation (mm) 0.0702 0.1189 0.2106 0.2888 1.0562

Table 1. The mean size and standard deviation of the abrasive size distributions used in [3] increase in the first region. Based on this estimation, the hardness ratio of the bottom layer to the upper layer should be at least 5.4. More experimental data in the first region in the future will be helpful. It is noted that the increase of material removal in the second region is more rapid for alumina abrasives than that for silica abrasives. This indicates that the effects of the abrasive morphology may not be neglected. A more detailed model to account for the effect of abrasive morphology, and probably, the chemical durability of the abrasives, can be developed in the future. The effects of abrasive morphology was observed and discussed in detail in [4]. This transition of material removal regions has been observed in copper and tantalum CMP [5] as well, as shown in Figure 1(c). Note that the material removal in the absence of abrasives is zero.

800 700 600 500 400 300 200 100 0 0

MRR Saturation at Concentration 10%

Xavg=2um Xavg=0.88um Xavg=0.6um Xavg=0.38um Xavg=0.28um

Linear Region with different slopes for different abrasive size 2 4 6 8 10 12 14 16

Abrasive Weight Concentration (%)

Figure 2. Material removal rate as function of weight concentrations under five different abrasive size distributions (from [6])

130

Laboratory for Manufacturing Automation

Jairath et. al. [2] did not change the abrasive size distribution. Therefore their experimental results are not sufficient to verify the proposed material removal formulation as coupling functions of abrasive size distribution, slurry chemicals and wafer-pad contact area. Bielmann et. al. [6] did tungsten CMP experiments using five different distributions of abrasive sizes and slurry chemicals including 0.1M K3Fe(CN)6, the same oxidizer as that used by Kaufman [3], and nitric acid (HNO3), a chemical to adjust the slurry PH value. Table 1 lists the average sizes and the standard deviations of the five kinds of abrasives [6]. The abrasive weight concentrations are changed from 2% to 15%. The material removal rate as a function of abrasive concentration is shown schematically in Figure 2.
Mean Size (mm) AKP50 AKP30 AKP15 AA07 AA2 0.29 0.38 0.60 0.88 2.00 Experimental Slope (b= 10) 38.929 38.355 30.516 19.025 11.243 41.573 39.035 28.614 17.537 12.416 Model Prediction

Table 2. Experimental slope values vs. predictions First, it can be seen that there is a transition from the first region to the second region, as in Jairths experiments. The linear increase of material removal rate with the abrasive weight concentration does not cross zero at zero concentration, although experimental data from [3] shows it is minimal. Dashed lines in Figure 2 are used to approximate the first regions. The material removal increases in the second region are not parallel to each other as that in Figures 1(a) and 1(b). This is because the slopes are a function of the abrasive size distribution, Equation (6) in [1]. The slope S is the smallest for abrasive size xavg= 2mm, increasing to larger values with the decrease of the abrasive sizes. Figure 3 shows the good correlation between the slopes S from experimental results and those from model predictions. For clarity, Table 2 lists the experimental slope values and the model predictions as well. In section II of [1], it has been proposed that the transition concentration C1 is independent of the abrasive size distribution. This is demonstrated by the independence of the value of b, the intersection of the MRR lines in region 2 with the concentration axis, on the abrasive size distribution. A constant value of 10 is obtained for b by fitting the experimental results. This good correlation of model prediction (Figure 7 in [1]) and experimental results is shown schematically in Figure 4. The second transition can be seen from Bielmanns experimental results [6] as well. The material removal saturation occurs when the concentration is larger than 10% for abrasive sizes 0.29mm, 0.38mm and 0.60mm, while the linear relationship holds for abrasive sizes

Research Reports 2001-2002

131

0.88mm and 2m m, Figure 2. Based on Equation (14) in [1], the saturation MRR for an abrasive size of 0.29mm should be larger than that for abrasive size 0.6 mm. This can be
50 45

Normalized Slopes

40 35 30 25 20 15 10 5 0 0 0.5 1

Experimental Slope Model Prediction Power (Model Prediction) Power (Experimental Slope)

y = 18.584x-0.6884

y = 18.825x-0.6756
1.5 2 2.5

Average Abrasive Size (10 -6m)

Figure 3. Experimental slopes values vs. predictions

800 700 600 500 400 300 200 100 0 -10 -5 0 5 10 15 20 25 30 35 Concentration (%)
Experimental Xavg=2um Experimental Xavg=0.88um Experimental Xavg=0.6um Experimental Xavg=0.38um Experimental Xavg=0.29um Prediction Xavg=0.29um Prediction Xavg=0.38um Prediction Xavg=0.6um Prediction Xavg=0.88um Prediction Xavg=2um

Figure 4. Prediction of material removal as a function of weight concentration for five different abrasive size distributions (using xavg= 0.6mm as reference) seen from the data in Figure 2. Based on the model, the ratio 758/622= 1.22 of saturation MRR for abrasive sizes 0.29mm and 0.6mm should be equal to the ratio of the contact area A1/2 for these two abrasive sizes, Figure 2. The xavg-a for xavg=0.29 and 0.6mm can be obtained approximately as 0.495 and 1.22mm, respectively [7-8]. Using Equation (14) in

132

Laboratory for Manufacturing Automation

[1], m1 is obtained as 3. In the earlier section in [1], m 1 is estimated to be 0.02~2. Considering the estimation and experimental errors, the fitted value m1=3 is reasonable. Moreover, it is noted that the material removal saturates earlier for smaller abrasives. This agrees with Equation (18) in [1], which indicates that the saturation concentration is smaller for smaller abrasive sizes. Substituting m1 into Equation (16) in [1], we can predict the ratio of the saturation MRRs for x avg= 0.29 and 0.38m m as 1.077, indicating that they are close to each other. This correlates with the experimental results in Figure 2. Using Equation (18) in [1], we can calculate the saturation concentrations, Cs, for xavg= 0.29, 0.38 and 0.6mm. The predicted slopes in the linear region are 41.573, 39.035 and 28.614 respectively for the above three abrasive sizes. The value of b is equal to 10. The M R Rs= 758, 758/1.069=709 and 622nm/min. So the values of Cs are predicted as 8.23%, 8.16% and 11.73%, respectively. Based on the above discussion, the saturation material removal rate and saturation concentration for xavg= 0.88 and 2mm can be estimated approximately. Using Equation (16) in [1], the ratio of saturation material removal rate for abrasive size xavg=0.6 and 0.88mm can be obtained as 1.11. Similarly, the ratio for abrasive size xavg=0.6 and 2mm is approximately 1.5. Therefore, the saturation MRRs for xavg= 0.88 and 2mm are predicted as 560nm/min and 416nm/min, respectively. Using Equation (18) in [1] and the slope data, the saturation concentration for xavg= 0.88 and 2mm can be predicted as 21.9% and 23.5%, respectively. However, more experimental data is needed to verify this. In summary, using the material removal curve at xavg= 0.6mm as reference, the material removal as a function of concentration for other abrasive sizes can be predicted and these are plotted schematically in Figure 4. 2.2. Coupling Effects of Abrasive Sizes, Polishing Pad and Chemicals on Down Pressure Dependency of MRR Most of the time CMP operates in the second region. It is worthy to see experimental results supporting the down pressure dependence of MRR predicted by Equation (6-c) in [1]. This can be seen from the following three aspects: i. the correlation between Equation (6-c) and the experimental down pressure dependence; ii. the dependence of h2 in the Eq. (6-c) on polishing pads and abrasives, as indicated by Equation (6-e) in [1]; and iii. the independence of h2 on slurry chemicals. Jairath et. al. [2] did silica CMP experiments using three different abrasives, while other parameters including slurry chemicals were kept the same. Figure 5 shows the good correlation between the model predictions and Jairaths experimental results. h2 changes apparently with the abrasives. The down pressure dependence of material removal using two different polishing pads (one grooved IC1000 pad, and the other perforated IC1000 pad) and two different slurry abrasives (one colloidal abrasives, and the other fumed abrasives) has been investigated by Clark et. al. [9]. Again, the model prediction correlates with the experimental results, Figures 6(a) and 6(b). It is also found that h2

Research Reports 2001-2002

133

changes with the polishing pads and abrasives. This is reasonable considering that h2 is a function of abrasive size distribution, pad materials and pad topography. It is found in Figure 6(a) that when using colloidal abrasives, the ratio of h2 for the two
1.4
Normalized Material Removal Rate 1
Experiment: Fumed Silica

1.2 1 0.8 0.6 0.4 0.2 0


0

Experiment: Precipitated Silica Experiment: Ceria Model: Fumed Silica Model: Precipitated Silica Model: Ceria

h 2= 0.45 h 2= 0.6 h 2= 0.33


0.2 0.4 0.6 0.8 1

Normalized Down Pressure P 0

Figure 5. Model prediction vs. experimental data for silicon oxide CMP using three kinds of abrasives different polishing pads is 2. This ratio does not change when using the same two polishing pads for fumed abrasives, Figure 6(b). This implies the correctness that h2 was a product of the C 3, a parameter related to pad topography and pad material, and (xavg+3s)/s, the abrasive size distribution, as described by Equation (6-e) in [1]. Passivation dominant copper CMP was done by Ramarajan et. al. [10] using different chemicals. It is seen from Figure 7 that the model correlates with the experimental results quite well. All h 2 = 0.24 do not change with the slurry chemicals, agreeing with the conclusion that h2 is independent of the chemicals. From experimental data, it is seen that the pressure dependency of material removal can be approximated by a linear relationship: MRR= MRR0 + KpeP0 where MRR0 is the intercept of the linear line with the MRR axis and Kpe, its slope. This is the famous experimental Prestons equation. Based on the model, the MRR0 and Prestons coefficient Kpe are functions of consumable parameters h1 and h2, in Equations (6-d) and (6-e) in [1]. A change of the MRR0 and Kpe implies a change of the consumable parameters. Moreover, a possible transition of down pressure dependency from region 1, MRR= k2C/Hw1[1-F(3-h2P01/3 )]P01/2 to region 2, MRR= k2 (C+b)/Hw2[1-F(3-h2P01/3 )]P01/2 (2) (1)

134

Laboratory for Manufacturing Automation

500 450 400 350 300 250 200 150 100 50 0 0

Experimental Data (Perforated Pad) Experimental Data (Grooved Pad) Model (Perforated Pad) Model (Grooved Pad)

h 2= 0.25

h 2= 0.5

Collodia Abrasives, in-situ Conditioning 2 4 6 Down Pressure P 0 (psi) 8 10

Figure 6(a). Model prediction vs. experimental data for silicon oxide CMP using different abrasives and pads
450 400 350 300 250 200 150 100 50 0 0 h 2= 0.55 h 2= 0.275
Experimental Data (Perforated Pad) Experimental Data (Grooved Pad) Model (Perforated Pad) Model (Grooved Pad)

Fumed Abrasives, in-situ Conditioning


2 4 6 Down Pressure P 0 (psi) 8 10

Figure 6(b). Model prediction vs. experimental data for silicon oxide CMP using different abrasives and pads is supported by experimental results from Li et. al. [11]. They measured the down pressure dependency of material removal rate under three different slurry temperatures. When the temperature T is small, the generation G R1 is small. For all of the down pressures in the range of the experiment, the material removal occurs in the second region. One single Equation (2) can be used to fit the experimental data, as shown in Figure 8 by line 5. With the increase of the slurry temperature, the generation rate GR1 increases. When the down pressure is small, the material removal is smaller than the generation rate GR1 and therefore, the material removal occurs in the first region, where the softer upper layer with hardness Hw1 is removed. When the material removal is larger than the generation rate, the material removal occurs in the second region, where the

Research Reports 2001-2002

135

Experiment: DI Water Experiment: Ammonium Hydroxide" Experiment: Hydrogen Peroxide + Glycine Model: DI Water Model: Ammonium Hydroxide Model: Hydrogen Peroxide + Glycine

450

Material Removal Rate (nm/min)

400 350 300 250 200 150 100 50 0 0 2 4 6 8 10 Down Pressure P 0 (1psi or 6.89kPa)

all h 2= 0.24

Figure 7. Model prediction vs. experimental data for copper CMP using different passivation chemicals

1.6

1.4

Normalized Material Removal Rate 1

Experiment: T=21oc Experiment: T=43oc Experiment: T=61oc Model: T=21oc Model: T=43oc Region 1 Model: T=43oc Region 2 Model: T=61oc Region 1 Model: T=61oc Region 2

1.2

2 1 3&4

0.8

0.6

all h 2=0.01
5

0.4

0.2 0 2 4 6 8 10
0 (psi)

12

14

16

Down Pressure P

Figure 8. Down pressure dependency of material removal rate under different slurry temperatures

136

Laboratory for Manufacturing Automation

bottom harder and upper softer layers are removed simultaneously. Therefore, Equations (1) and (2) have to be used to fit the experimental data in the lower down pressure region and higher down pressure region respectively, as shown by line 1 to line 3 and line 2 to line 4 in Figure 8. Note that the h2= 0.01 does not change although two lines have to be used to fit the experimental data. Conclusion Three regions of material removal with the increase of abrasive weight concentrations have been proposed. The transition of the regions and the corresponding material removal rate formulations are functions of slurry chemicals, abrasive size distribution and wafer-pad contact area. In this paper, experimental evidence supporting the proposed model and formulations has been discussed. Further experimental results supporting the model from other aspects in the future can help a better understanding on chemicalmechanical polishing and its optimization. References [1] J. Luo and D. A. Dornfeld, Material removal regions in chemical mechanical polishing: coupling effects of slurry chemicals, abrasive size distribution and waferpad contact area, part 1, submitted to IEEE Transaction: Semiconductor Manufacturing, 2002. [2] R. Jairath, M. Desai, M. Stell, R. Tolles and D. Scherber-Brewer, Consumables for the chemical mechanical polishing (CMP) of dielectrics and conductors, Materials Research Society Symposium Proceedings, Vol. 337, pp. 121-131, 1994. [3] F. B. Kaufman, D. B. Thompson, R. E. Broadie, M. A. Jaso, W. L. Guthrie, D. J. Guthrie, D. J. Pearson and M. B. Small, Chemical-mechanical polishing for fabricating patterned W metal features as chip interconnects, Journal of the Electrochemical Society, Vol. 138, No. 11, pp. 3460-3465, 1991. [4] S. Ramarajan, M. Hariharaputhiran, Y. S. Her, J. E. Prendergast and S. V. Babu, The role of alumina particle hardness/elastic modulus in chemical mechanical polishing of copper, tantalum and tungsten, Fourth International Conference on Chemical-Mechanical Polish (CMP) Planarization for ULSI Multilevel Interconnection (CMP-MIC), pp. 430-437, Santa Clara, CA, U. S. A., Feb. 11-12, 1999. [5] Jindal, S. Hegde and S. V. Babu, Evaluation of alumina/silica mixed abrasive slurries for chemical mechanical polishing of copper and tantalum, Eighteenth International IEEE VLSI Multilevel Interconnection Conference (VMIC), pp. 297306, Santa Clara, CA, U. S. A., Sept. 25-26, 2001.

Research Reports 2001-2002

137

[6] M. Bielmann, U. Mahajan and R. K. Singh, Effect of particle size during tungsten chemical mechanical polishing, Electrochemical and Solid-State Letters, Vol. 2, pp. 401- 403, 1999. [7] J. Luo and D. A. Dornfeld, Material removal mechanism in chemical mechanical polishing: theory and modeling, IEEE Transaction: Semiconductor Manufacturing, Vol. 14, No. 2, pp.112- 133, 2001. [8] J. Luo and D. A. Dornfeld, Effects of abrasive size distribution in chemicalmechanical polishing: modeling and verification, submitted to IEEE Transaction: Semiconductor Manufacturing, 2002. [9] J. Clark, K. B. Witt and R. L. Rhoades, Oxide removal rate interactions between slurry, pad, down force, and conditioning, Fourth International Conference on Chemical-Mechanical Polish (CMP) Planarization for ULSI Multilevel Interconnection (CMP-MIC), pp. 401-404, Santa Clara, CA, U. S. A., Feb. 11-12, 1999. [10] S. Ramarajan and S. V. Babu, Modified Preston equation-revisited, Materials Research Society Symposium Proceedings: Chemical- Mechanical PolishingFundamentals and Challenges, Vol. 556, pp. 149-154, 2000. [11] W. Li, D. W. Shin, M. Tomozawa and S. P. Murarka, The effect of the polishing pad treatments on the chemical-mechanical polishing of SiO2 films, Thin Solid Films, Vol. 270, pp. 601-606, 1995.

138

Laboratory for Manufacturing Automation

A Macroscopic Model for CMP


Zhoujie Mao Sponsored by NSF Abstract An integrated model for CMP will be discussed in this research. Both a macroscopic model and microscopic models will be discussed. Attempt is also made to connect macroscopic behavior to microscopic behavior such that the process can be controlled to improve the performance. Keywords: chemical mechanical polishing, lubrication regime, material removal. 1. Introduction As a very important and enabling but poorly understood technology in semiconductor manufacturing, CMP has attracted a lot of attention recently. Substantial research has been conducted on various aspects of the process, for example: pad properties, slurry properties, material removal mechanisms, etc. These studies look at the process either macroscopically, i.e., taking the wafer, slurry, and pad as a whole, or microscopically, i.e., focusing on the evolution of features or feature densities on the wafer. Although great insight has been obtained through this research, the question of how the macroscopic behavior affects microscopic level material removal is still to be answered. It is therefore the purpose of this research to develop a framework to connect the macroscopic properties with the microscopic material removal. 2. The Framework Moon [3] used the Stribeck curve to describe the possible operation regimes of CMP: boundary lubrication, elastro-hydrodynamic lubrication (mixed lubrication), and hydrodynamic lubrication, as shown in Figure 2. The material removal mechanism is different in the three regimes. Our purpose is to first develop a model that can decide the correct operating regime given operation parameters like applied pressure, relative velocity between the wafer and the pad, and slurry delivery. Material removal mechanisms for different operating regimes will then be used to estimate the material removal rate, and analyze uniformity issues. Figure 2 shows how the different elements in CMP come together. Macroscopically, the applied pressure on the carrier head, the wafer, the rotational velocity of the wafer, and the rotational velocity of the pad, define the operation regime. The operation regime can be analyzed given the configuration of a particular CMP machine, and by analyzing the dynamics of the wafer carrier head, the wafer, the pad,

Research Reports 2001-2002

139

and the slurry flow between the wafer and the pad. To analyze the coupled system, it is first assumed that there exists a thin film between the wafer and the pad. If a reasonably thick film exists such that the pressure developed by the film is sufficient to support the applied pressure, the system is operating in hydrodynamic lubrication. Otherwise,

Applied Pressure Wafer rotation velocity

Hardness Compressibility Surface roughness Youngs modulus

Perforation patterns

Hardness Youngs Modulus Surface Roughness

Material Removal Uniformity Dishing Erosion Rounding

Pad

Wafer

Surface topology

Platen rotation velocity

Mechanical actions

Chemical actions

Energy consumption

Slurry
Slurry supply
Abrasive type Abrasive concentration Abrasive hardness

Waste

Solution chemistry Figure 1. CMP Model Schematic the assumption is not correct, and there exists contact between the wafer and the pad. Depending on the Hersey number and pad properties, either mixed lubrication or boundary lubrication can occur. In mixed lubrication, when the slurry thickness is on the same order of pad roughness, pad asperity and its effect on slurry flow must be included. The total pressure imposed on the wafer is statistically balanced by the hydrodynamic pressure in the slurry and the support of pad asperities: Papp = Pslurry + Pasperity . Patir and Chengs model [2] is used to analyze the slurry flow with pad asperity contact. The asperity contact can be estimated by Hertzs model. In boundary lubrication regime, it is assumed that the effect of slurry flow is small enough such that it can be ignored without loss of accuracy. In this case the applied pressure on the wafer is solely supported by the

140

Laboratory for Manufacturing Automation

contact between the wafer, abrasive particles and the pad. The material removal model developed by Luo[1] is appropriate in this case.

Figure 2. Three different operating regimes 3. Conclusion A macroscopic model framework for CMP has been developed. Models for hydrodynamic lubrication, mixed lubrication and boundary lubrication were developed. References [1] J. Luo and D. A. Dornfeld, Material removal mechanism in chemical mechanical polishing: Theory and modeling, IEEE Transaction: Semiconductor Manufacturing, vol.14, no. 2, May 2001. p.112-33. [2] N. Patir and H.S. Cheng, ASME Journal of Lubrication Technology, vol. 100, 1978. p.12-17 [3] Y. Moon, PhD dissertation. University of California, Berkeley 1999.

Research Reports 2001-2002

141

Archival Journal Publications 1. 2. D. A. Dornfeld and S. M. Wu, An Investigation of Ground Wood Surfaces as Related to Pulp and Stone Characteristics, Wear, Vol. 42, 1977, pp. 163-175. W. R. DeVries, D. A. Dornfeld and S. M. Wu, Bivariate Time Series Analysis of the Effective Force Variation and Friction Coefficient Distribution in Wood Grinding," Journal of Engineering for Industry, Trans. ASME, Vol. 100, No. 2, 1977, pp. 181-185. D. A. Dornfeld and S. M. Wu, "Development of an Experimental Setup for the Investigation of Grinding of Wood and a Proposal for Pulsed Loading Technique," Journal of Engineering for Industry, Trans. ASME, Vol. 100, No. 2, 1977, pp. 147152. D. A. Dornfeld, W. R. DeVries and S. M. Wu, "An Orthomorphic Rheological Model for the Grinding of Wood," Journal of Engineering for Industry, Trans. ASME, Vol. 100, No. 2, 1977, pp. 153-158. J. A. Svestka, D. A. Dornfeld and R. Gil, "On Improving the Productivity of Numerically ControlledPunch Presses," Int. J. Prod. Res., Vol. 19, No. 5, 1981, pp. 471-480. D. A. Dornfeld and E. Kannatey-Asibu, Jr., "Acoustic Emission During Orthogonal Metal Cutting," International Journal of Mechanical Sciences, Vol. 22, No. 5, 1980, pp. 285-296. M. Tomizuka, D. Dornfeld and M. Purcell, "Application of Microcomputers to Automatic Weld Quality Control," Trans. ASME, J. of Dynamic Systems, Measurement and Control, Vol. 12, No. 2, 1980, pp. 62-68. D. A. Dornfeld, "Single Grit Simulation of the Abrasive Machining of Wood," Trans. ASME, J. of Engineering for Industry, Vol. 103, No. 1, 1981, pp. 1-12. D. Dornfeld, P. Benenson and R. Barnes, "The Potential for Industrial Energy Conservation in California," Trans. ASME, Journal of Engineering for Industry, Vol. 103, No. 1, 1981, pp. 52-60. E. Kannatey-Asibu, Jr. and D. A. Dornfeld, "Quantitative Relationships for Acoustic Emission from Orthogonal Metal Cutting," Trans. ASME, J. Eng. for Industry, Vol. 103, No. 3, 1981, pp. 330-340. E. Kannatey-Asibu, Jr. and D. A. Dornfeld, "A Study of Tool Wear Using Statistical Analysis of Metal Cutting Acoustic Emission," Wear, Vol. 76, No. 2, 1982, pp. 247-261.

3.

4.

5.

6.

7.

8. 9.

10.

11.

142 12. 13. 14.

Laboratory for Manufacturing Automation

D. A. Dornfeld and E. N. Diei, "Acoustic Emission from Simple Upsetting of Solid Cylinders," ASME Trans., J. Eng. Mat. Tech., Vol. 104, No. 2, 1982, pp. 145-152. R. L. Lemaster, B. Klamecki and D. A. Dornfeld, "Analysis of Acoustic Emission in Slow Speed Wood Cutting," Wood Science, Vol. 15, No. 2, 1982, pp. 150-160. M. Tomizuka, D. Dornfeld, X. Q. Bian and H. G. Cai, "Experimental Evaluation of the Preview Servo Scheme for Two-Axis Welding Table," Trans. ASME, J. Dyn. Sys. Meas. & Control, Vol. 106, No. 1, 1984, pp. 1-5; also in Comp. in Eng., Proc. 2nd Int'l Computer Eng. Conf., ASME, 1982, pp. 255-261. D. Dornfeld and H. G. Cai, "An Investigation of Grinding and Wheel Loading Using Acoustic Emission," Trans. ASME, J. Eng. Ind., Vol. 106, No. 1, 1984, pp. 28-33. M. S. Lan and D. A. Dornfeld, "In Process Tool Fracture Detection," Trans. ASME, J. Engineering Materials and Technology, Vol. 106, No. 2, 1984, pp. 111-118. D. A. Dornfeld and C. S. Pan, "Study of Continuous/Discontinuous Chip Formation Using Acoustic Emission Signal Analysis," J. Applied Metalworking, Vol. 4, No. 1, 1985, pp. 18-29. E. N. Diei and D. A. Dornfeld,"Acoustic Emission Sensing of Tool Wear in Peripheral Milling, ASME Trans., J. Eng. Ind., Vol. 109, No. 3, 1987, pp. 234-240; also appears in Acoustic Emission Monitoring and Analysis of Manufacturing, D. Dornfeld, ed., ASME, New York, 1984, pp. 107-123. R. L. Lemaster, L. B. Tee and D. A. Dornfeld, "Monitoring Tool Wear During Wood Machining with Acoustic Emission," Wear, Vol. 101, No. 3, 1985, pp. 273282. M. S. Lan and D. A. Dornfeld, "Acoustic Emission and Machining - Process Analysis and Control," Int. J. Advanced Manufacturing Processes, Vol. 1, No. 1, 1986, pp. 1-22. E. N. Diei and D. A. Dornfeld, "A Model of Tool Fracture Generated Acoustic Emission During Machining," ASME Trans., J. Eng. Ind., Vol. 109, No. 3, 1987, pp. 227-234; also appears in Sensors and Controls for Manufacturing, KannateyAsibu, E. and Ulsoy, A.G., eds., ASME, New York, 1985. E. N. Diei and D. A. Dornfeld, "Acoustic Emission from the Face Milling Process the Effects of Process Variables," ASME Trans., J. Eng. Ind., Vol. 109, No. 2, 1987, pp. 92-99.

15.

16. 17.

18.

19.

20.

21.

22.

Research Reports 2001-2002

143

23.

S. Y. Liang and D. A. Dornfeld, "Punch Stretching Process Monitoring Using Acoustic Emission Signal Analysis - Part 1: Basic Characteristics," J. Acoustic Emission, Vol. 6, No. 1, 1987, pp. 29-36. S. Y. Liang, D. A. Dornfeld and J. A. Nickerson, "Punch Stretching Process Monitoring Using Acoustic Emission Signal Analysis - Part 2: Application of Frequency Domain Deconvolution," J. Acoustic Emission, Vol. 6, No. 1, 1987, pp. 37-42. R. L. Lemaster and D. A. Dornfeld, "Preliminary Investigation of the Feasibility of Using Acousto-Ultrasonics To Measure Defects in Lumber," J. Acoustic Emission, Vol. 6, No. 3, 1987, pp. 157-165. S. Rangwala, F. Farouhar and D. A. Dornfeld, "Application of Acoustic Emission Sensing to Slip Detection in Robotic Grippers," Int. J. Machine Tools and Manufacture, Vol. 28, No. 3, 1988, pp. 207-215. S. Rangwala, S. Liang and D. Dornfeld, "Pattern Recognition of Acoustic Emission Signals during Punch Stretching," Mechanical Systems and Signal Processing, Vol. 1, No. 4, 1987, pp. 321-332. S. Rangwala and D. Dornfeld, "Integration of Sensors via Neural Networks for Detection of Tool Wear States," Proc. Symposium on Integrated and Intelligent Manufacturing Analysis and Synthesis, C. R. Liu, A. M. Requicha and S. Chandrasekar, ed., ASME, New York, 1987, pp. 109-120. M. Jouaneh and D. A. Dornfeld, "A Kinematic Approach for Coordinated Motion of a Robot and a Positioning Table," Manufacturing Systems, Vol. 7, No. 4, 1988, pp. 307-314. S. Rangwala and D. A. Dornfeld, "Learning and Optimization of Machining Operations using Computing Abilities of Neural Networks," IEEE Trans. on Systems, Man and Cybernetics, Vol. 19, No. 2, 1989, pp. 299-314. C. L. Jiaa and D. A. Dornfeld, "Experimental Studies of Sliding Friction and Wear Via Acoustic Emission Signal Analysis," Wear, Vol. 139, 1990, pp. 403-424. S. M. Yoo, D. A. Dornfeld and R. L. Lemaster, "Analysis and Modeling of Laser Measurement System Performance for Wood Surfaces," Mechanics of Deburring and Surface Finish Processes, R. J. Stango and P. R. Fitzpatrick, eds., ASME, New York, 1989, pp. 189-200; also in Trans. ASME, J. Eng. Ind., Vol. 112, No. 1, 1990, pp. 69-77. R. Teti and D. A. Dornfeld, "Modeling and Experimental Analysis of Acoustic Emission from Metal Cutting," Trans. ASME, J. Eng. Ind., Vol. 111, No. 3, 1989, pp. 229-237.

24.

25.

26.

27.

28.

29.

30.

31. 32.

33.

144 34.

Laboratory for Manufacturing Automation

S. Y. Liang and D. A. Dornfeld, "Characterization of Sheet Metal Forming Using Acoustic Emission,"Trans. ASME, J. Eng. Mats. Tech., Vol. 112, No. 1, 1990, pp. 44-51. S. Y. Liang and D. A. Dornfeld, "Tool Wear Detection Using Time Series Analysis of Acoustic Emission," Trans. ASME, J. Eng. Ind., Vol. 111, No. 2, 1989, pp. 199205. S. L. Ko and D. A. Dornfeld, "A Study on Burr Formation Mechanism," Trans. ASME, J. Eng. Mats.Tech., Vol. 113, No. 1, 1991, pp. 75-87. D. A. Dornfeld, "Neural Network Sensor Fusion for Tool Condition Monitoring," CIRP Annals, Vol. 39, No. 1, 1990, pp. 101-105. C. L. Jiaa and D. A. Dornfeld, "Detection of Tool Wear using Gradient Adaptive Lattice and Pattern Recognition Analysis," J. Mechanical Systems and Signal Processing, Vol. 6, No. 2, 1992, pp. 92-102. S. S. Rangwala and D. A. Dornfeld, "A Study of Acoustic Emission Generated During Orthogonal Metal Cutting-Part 1: Energy Analysis," Int. J. Mechanical Sciences, Vol. 33, No. 6, 1991, pp. 471-487. S. S. Rangwala and D. A. Dornfeld, "A Study of Acoustic Emission Generated During Orthogonal Metal Cutting-Part 2: Spectral Analysis," Int. J. Mechanical Sciences, Vol. 33, No. 6, 1991, pp. 489-499. S. S. Rangwala and D. A. Dornfeld, "Sensor Integration using Neural Networks for Intelligent Tool Condition Monitoring," ASME Transactions, J. Eng. Ind., Vol. 112, No. 3, 1990, pp. 219-228. M. Jouaneh, Z. X. Wang and D. A. Dornfeld, "Trajectory Planning for Coordinated Motion of a Robot and a Positioning Table, Part I: Path Specification," IEEE Trans. on Robotics and Automation, Vol. 6, No. 6, 1990, pp. 735-745. M. Jouaneh, D. Dornfeld and M. Tomizuka, "Trajectory Planning for Coordinated Motion of a Robot and a Positioning Table, Part II: Optimal Trajectory Specification," IEEE Trans. on Robotics and Automation, Vol. 6, No. 6, 1990, pp. 746-759. A. E. Diniz, J.J.Liu, and D. A. Dornfeld, "Correlating Tool Life, Tool Wear, and Surface Roughness by Monitoring Acoustic Emission in Finish Turning," Wear, Vol. 153, No. 1, 1992, pp. 396-407. D. A. Dornfeld and V. Lisiewicz, "Acoustic Emission Feedback for Precision Deburring," CIRP Annals, Vol. 41, No. 1, 1992, pp. 93-96.

35.

36. 37. 38.

39.

40.

41.

42.

43.

44.

45.

Research Reports 2001-2002

145

46.

J. J. Liu and D. A. Dornfeld, "Monitoring of Micromachining Process using Acoustic Emission," Trans. North American Manufacturing Research Institute, SME, Vol. 20, 1992, pp. 189-195. D. Dornfeld and J. J. Liu, "Abrasive Texturing and Burnishing Process Monitoring using Acoustic Emission," CIRP Annals, Vol. 42, No. 1, 1993, pp. 397-400. S. L. Ko and D. A. Dornfeld, "Analysis of Fracture in Burr Formation at the Exit Stage of Metal Cutting," J. Material Processing Technology.. Vol. 58, 1996, pp. 189-200. C. S. Leem, D. A. Dornfeld and S. E. Dreyfus, "A Customized Neural Network for Sensor Fusion in On-Line Monitoring of Cutting Tool Wear," Trans. ASME, J. Eng. Industry, 117, May 1995, pp. 152-159. J. J. Liu and D. A. Dornfeld, "Modeling and Analysis of Acoustic Emission in Diamond Turning," Trans. ASME, J. Engineering for Industry, Vol. 118, 1996, pp. 199-207. D. Dornfeld, "Application of Acoustic Emission Techniques in Manufacturing," NDT International, Vol. 25, No. 6, 1992, pp. 259-269. R. Narayanaswami and D. A. Dornfeld, "Design and Process Planning Strategies for Burr Minimization and Deburring," Trans. North American Manufacturing Research Institute, SME, Vol. 22, 1994, pp. 313-322. A. Sokolowski, P. Vincent and D. A. Dornfeld, "Drill State Monitoring during Multispindle Machining," Trans. North American Manufacturing Research Institute, SME, Vol. 22, 1994, pp. 233-240. J. F. Gomes de Oliveira, D. A. Dornfeld and B. Winter, "Dimensional Characterization of Grinding Wheel Surface through Acoustic Emission, CIRP Annals, Vol. 43, No. 1, 1994, pp. 291-294. G. L. Chern and D. A. Dornfeld, "Analysis of Burr Formation and Breakout Using a Scanning Electron Microscope Micro-Machining Stage," Trans. ASME. J. Eng. Mats Tech., to appear. D. A. Dornfeld, "In Process Recognition of Cutting States," Japan Society of Mechanical Engineers International Journal, Series C, Vol. 37, No. 4, 1994, pp. 638-6. D. A. Dornfeld and P. K. Wright, "Intelligent Machining: Global Models, Local Scripts and Validations," Trans. North American Manufacturing Research Institute, SME, Vol. 26, 1995, pp. 351-356.

47. 48.

49.

50.

51. 52.

53.

54.

55.

56.

57.

146 58.

Laboratory for Manufacturing Automation

M. Hashimura, K. Ueda, D. Dornfeld, and K. Manabe, "Analysis of Three Dimensional Burr Formation in Oblique Cutting," CIRP Annals, Vol. 44, No. 1, 1995, pp 27-30. Y. P. Chang, M. Hashimura, and D. A. Dornfeld, "Analysis of Orthogonal Microcutting using Acoustic Emission," Trans. ASME. J. Eng. Industry, submitted for publication and Proc. ASME IMECE, MED-Vol. 2-1, San Francisco, November 1995, pp. 229-249. J. Stein and D. A. Dornfeld, "An Analysis of the Burrs in Drilling Precision Minature Holes using a Fractional Factorial Design,"Proc. ASME IMECE, MEDVol. 2-1, San Francisco, November 1995, pp. 127-148. R. Narayanaswami and D. A. Dornfeld, "A Burr Agent for Precision Manufacturing," Proc. ASME IMECE, San Francisco, MED-Vol. 2-1, November 1995, pp. 979-990. P. S. Sheng, D.A. Dornfeld and P. Worhach, "Integration Issues in "Green Design and Manufacturing," Manufacturing Review, Vol. 8, No. 2, 1995, 95-105. S. L. Ko and D. A. Dornfeld, "Burr Formation and Fracture in Oblique Cutting," J. Materials Processing Technology, Vol. 62, 1996, pp. 24-36. P. K. Wright and D. A. Dornfeld, "Agent-Based Manufacturing Systems," Trans. North American Manufacturing Research Institute, SME, Vol. 24, 1996, pp. 241246. G. L. Chern and D. A. Dornfeld, "Burr/Breakout Development and Experimental Verification," Trans. ASME, J. Eng. Mats. Tech., Vol. 118, No. 2, 1996, pp. 201206. J. Stein, I. Park and D. A. Dornfeld, "Influence of Workpiece Exit Angle on Burr Formation in Drilling Intersecting Holes," Trans. North American Manufacturing Research Institute, SME, Vol. 24, 1996, pp. 39-44. Y. P. Chang, M. Hashimura, and D. A. Dornfeld, "An Investigation of the AE Signals in the Lapping Process, CIRP Annals, Vol. 45, No. 1, 1996, pp. 331-334. C. S. Leem and D. A. Dornfeld, "Design and Implementation of Sensor-based Tool Wear Monitoring Systems," Mechanical Systems and Signal Processing, 1996, Vol. 10, No. 4, pp. 439-458. X. M. Chen and D. A. Dornfeld, "Monitoring and Analysis of Ultraprecision Metal Cutting with Acoustic Emission," Proc. Intl. Mech. Eng. Congress and Exposition, ASME, Atlanta, GA, 1996, pp. 387-393.

59.

60.

61.

62. 63. 64.

65.

66.

67. 68.

69.

Research Reports 2001-2002

147

70.

R. Narayanaswami and D. A. Dornfeld, "Burr Minimization in Face Milling: A Geometrical Approach," Trans. ASME, J. Engineering for Industry, Vol. 119, No. 2, 1997, pp. 170-177. Stein, J. M. and D. A. Dornfeld, "An Architecture for Integrated Design and Manufacturing of Precision Mechanical Components," Trans. North American Manufacturing Research Institute, SME, 1997,Vol. 25. pp. 249-254. Dornfeld, D. A. and P. K. Wright, "Process Planning for Agent-based Precision Manufacturing, "Trans. North American Manufacturing Research Institute, SME, 1997, Vol. 25. pp. 359-364. Stein, J. M. and D. A. Dornfeld, "Burr Formation in Drilling Miniature Holes," CIRP Annals, Vol. 46, No. 1, 1997, pp. 63-66. Wright, P. K. and Dornfeld, D. A., "Cybercut; A Networked Machining Service," Trans. North American Manufacturing Research Institute, SME, 1998, Vol. 26, pp. 281-286. Guo, Y. B. and Dornfeld, D. A., Finite Element Analysis of Drilling Burr Minimization with a Backup Material, Trans. North American Manufacturing Research Institute, SME, 1998, Vol. 26, pp. 207-212. Guo, Y. B. and Dornfeld, D. A., Integration of CAD of Drill with Drilling Burr Formation, Trans. North American Manufacturing Research Institute, SME, 1998, Vol. 26, pp. 201-206 Tang, J. S., Dornfeld, D. A., Pangrele, S. and Dangca, A., In-Process Detection of Micro-scratching during CMP using Acoustic Emission Sensing Technology, Proc. TMS Annual Meeting,, San Antonio TX, TMS, February, 1998, and J. Electronic Materials, 27, 10, 1998, pp. 1099-1103. Jiaa, C. L. and Dornfeld, D. A., A self-organizing approach to the prediction and detection of tool wear, ISA Transactions, 37, 1998, pp. 239-255. Hashimura, M., and Dornfeld, D. A., Effect of axial rake angle on burr formation in milling, J. Japan Society of Precision Engineering, 64, 11, 1998, pp. 1658-1663 (in Japanese). M. Hashimura, Y. P. Chang and D. A. Dornfeld, "Analysis of Burr Formation Mechanism in Orthogonal Cutting," Trans. ASME. J. Eng. Industry, Vol. 121, No. 1, 1999, pp. 1-7.

71.

72.

73. 74.

75.

76.

77.

78. 79.

80.

148 81.

Laboratory for Manufacturing Automation

Dornfeld, D., Wright, P., Wang, F., Sheng, P. Stori, J., Sundarajaran, V., Krishnan, N, and Chu, C., Multi-agent Process Planning for a networked Machining Service, Trans. North American Manufacturing Research Institute, 1999, pp. 191196. Dornfeld, D. A., Kim, J. S., Dechow, H., Jewson, J., and Chen, L. J., Drilling burr formation in titanium alloy, Ti-6Al-4V, CIRP Annals, Vol. 48, No. 1, 1999, pp. 73-76. Hashimura, M., and Dornfeld, D. A., Proposal of a Burr Classification Method Based on the Formation Mechanisms in Face Milling (1st Report), J. Japan Society of Precision Engineering, 65, 6, 1999, pp. 872-877 (in Japanese). Hashimura, M., and Dornfeld, D. A., Proposal of a Burr Classification Method Based on the Formation Mechanisms in Face Milling (2nd Report) Application of the Proposed Method for Side, Top, Entrance and Entrance Side Burrs, J. Japan Society of Precision Engineering, 65, 7, 1999, pp. 1002- 1007 (in Japanese). Chu, C. H. and Dornfeld, D., Prediction and Simulation of Milling Burr Formation for Edge- Precision Process Planning, ESRC Report, Dec. 1999. Hassamontr, Jaramporn. Dornfeld, D. A., On designing an efficient deburring tool path, American Society of Mechanical Engineers, Manufacturing Engineering Division, Med., Vol. 10, 1999, pp. 131-135. M. Hashimura, J. Hassamontr and D. A. Dornfeld, "Effect of In-Plane Exit Angle on Burr Height and Thickness in Face Milling Operation," Trans. ASME. J. Manufacturing Science and Engineering, Vol. 121, No. 1, 1999, pp. 13-19. Chu, C. H. and Dornfeld, D., Tool Path Planning for Exit Burr Minimization by Estimating the Total Length of Primary Burrs, Int. J. Computer Integrated Mfg., August, 1999, submitted for publication. M. Hashimura and D. A. Dornfeld, "Effect of Axial Rake Angle on Burr Formation in Face Milling,"Int. Journal of Machine Tools and Manufacture, submitted for publication. Chang. Y.P, Hashimura, M. and D. A. Dornfeld, An Investigation of Material Removal Mechanisms in Lapping with Grain Size Transition, Trans. ASME. J. Manufacturing Science and Engineering.. to appear, 1999. M. Hashimura and D. A. Dornfeld, "A Proposal for a Classification Method for the Mechanism of Face Milling Burrs: Parts I and II," Int. Journal of Machine Tools and Manufacture, submitted for publication.

82.

83.

84.

85. 86.

87.

88.

89.

90.

91.

Research Reports 2001-2002

149

92.

Park, I. W, S. H. Lee, and D. A. Dornfeld, "Modeling of Burr Formation Processes in Orthogonal Cutting by the Finite Element Method," to be submitted for publication, ESRC Report No. 94-34. Ahn, S. E., I. W. Park and D. A. Dornfeld, "Probabilistic Prediction of Burr/Breakout Formation in Face Milling of Gray Cast Iron," submitted for publication Trans. ASME. J. Manufacturing Science and Engineering., and ESRC Report No. 95-25. Lohrberg, D., I. W. Park and D. A. Dornfeld, "Burr Formation in Orthogonal Cutting with Negative Rake Angle Tools," submitted for publication Trans. ASME. J. Manufacturing Science and Engineering, and ESRC Report No. 96-15. Guo, Y. B. and Dornfeld, D. A., Finite Element Modeling of Drilling Burr Formation Process, Trans. ASME. J. Manufacturing Science and Engineering., vol. 122, November, 2000, pp. 612-619. Kim, J. and Dornfeld, D., Development of a Drilling Burr Control Chart for Stainless Steel, Trans. North American Manufacturing Research Institute, 2000, vol. 28, pp. 317-322. M. Hashimura, K. Ueda, K. Manabe, and D. Dornfeld, Effect of In-plane Exit and Radial Rake Angle on Burr Formation in Face Milling, J. Japan Society of Precision Engineering, Vol. 66, 1, 2000, 85-90 (in Japanese). M. Hashimura, K. Ueda, K. Manabe, and D. Dornfeld, Analysis of Burr Formation in Orthogonal Cutting, J. Japan Society of Precision Engineering, Vol. 66, 2, 2000, 218-223 (in Japanese). Wright, P. K., Dornfeld, D. A., Wang, F., and Chu, C. H., Decisionmaking in Multi-criterion Agentbased Process Planning System, Trans. NAMRI, vol. 27, pp. 191-196, 2000.

93.

94.

95.

96.

97.

98.

99.

100. Rangarajan, A., Chu, C. H., and Dornfeld, D. A., Avoiding Tool Exit in Planar Milling by Adjusting Width of Cut, Proc. ASME IMECE, ASME, MED-Vol. 11, 2000, pp. 1017-1025. 101. Luo, J. F. and Dornfeld, D. A., Material Removal Mechanism in Chemical Mechanical Polishing: Theory and Modeling, IEEE Trans. Semiconductor Manufacturing, vol. 14, No. 2, 2000, pp. 112-133. 102. I. W. Park, S. E. Ahn and D. A. Dornfeld, "Probabilistic Prediction of Burr Patterns of 1045 Carbon Steel in Face Milling," J. Machining Science and Technology, to appear, 2000.

150

Laboratory for Manufacturing Automation

103. Park, I. W. and D. A. Dornfeld, "A Study of Burr Formation Processes using the Finite Element Method- Part I," Trans. ASME, J. Engineering Materials and Technology, 2000, to appear. 104. Park, I. W. and D. A. Dornfeld, "A Study of Burr Formation Processes using the Finite Element Method- Part II- The Influence of Exit Angle, Rake Angle and Backup Material on Burr Formation," to be submitted for publication, Trans. ASME, J. Engineering Materials and Technology, 2000, to appear. 105. Kim, J. and Dornfeld, D., Cost Minimization of Drilling Operation by a Drilling Burr Control Chart and Bayesian Statistics, SME J. Manufacturing Systems, 2000, to appear. 106. Kim, J. and Dornfeld, D, Development of an Analytical Model for Drilling Burr Formation in Ductile Materials, ASME Trans. J. Engineering Materials and Technology, 2000, submitted for publication. 107. Chu, C. H. and Dornfeld, D., Geometric Approaches for Reducing Burr Formation in Planar Milling by Avoiding Tool Exits, Int. J. Machine Tools and Manufacturing, Jan. 2000, submitted for publication. 108. Lee, S. H. and D. A. Dornfeld, Precision Laser Deburring, Trans. ASME. J. Manufacturing Science and Engineering., 2000, to appear. 109. Lee, S. H. and D. A. Dornfeld, Precision Laser Deburring and Acoustic Emission Feedback, Trans. ASME. J. Manufacturing Science and Engineering., 2000, to appear. 110. Kim, J. Min, S. and Dornfeld, D., Optimization and Control of Drilling Burr Formation of AISI 304L and AISI 4118 based on Drilling Burr Control Charts, Int. J. of Machine Tools and Manufacture, Vol. 41 No. 7, May 2001, pp. 923-936. 111. Gomes de Oliveira, J., and Dornfeld, D. A., Application of AE Contact Sensing in Reliable Grinding Monitoring, CIRP Annals, Vol. 50, No. 1, 2001, pp. 217-220. 112. Min, S., Dornfeld, D.A., Kim, J., and Shyu, B., Finite element modeling of burr formation in metal cutting , Machining Science & Technology, Vol. 5 No. 3, 2001, pp. 307-322. 113. Dornfeld, D. A., Wright, P. K., Roundy, S., Rangarajan, A., and Ahn, S. H., Agent Interaction in CAD/CAM, Trans. North American Manufacturing Research Institute, 2001, to appear.

Research Reports 2001-2002

151

114. Luo, J. F. and Dornfeld, D.A, Material removal regions in chemical-mechanical planarization: Coupling effects of slurry chemicals, abrasive size distribution and wafer-pad contact area , Part 1Theory, IEEE Transactions on Semiconductor Manufacturing, under review (2002). 115. Luo, J. F. and Dornfeld, D.A, Material removalregions in chemical-mechanical planarization: Coupling effects of slurry chemicals, abrasive size distribution and wafer-pad contact area , Part 2Validation, IEEE Transactions on Semiconductor Manufacturing, under review (2002). Luo, J. F. and Dornfeld, D.A, "Effects of abrasive size distribution in chemicalmechanical planarization: Modeling and verification, IEEE Transactions on Semiconductor Manufacturing, under review (2002). Luo, J. F. and Dornfeld, D.A, Optimization of chemical mechanical planarization (CMP) from the viewpoint of consumable effects, Journal of the Electrochemical Society , under review (2002).

116.

117.

Refereed Conference Proceedings 1. D. A. Dornfeld, "An Investigation of Orthogonal Cutting via Acoustic Emission Signal Analysis,"Proceedings of the Seventh North American Metal Working Research Conference, University of Michigan, Ann Arbor, May 1979, pp. 270-274. D. M. Auslander, D. A. Dornfeld and P. Sagues, "Software for Micro Processor Control of Mechanical Equipment," Proceedings of 1979 Joint Automatic Control Conference, ASME, Denver, Colorado, June 1979. D. A. Dornfeld, D. M. Auslander and P. Sagues, "Programming and Optimization of Multimicroprocessor Controlled Manufacturing Processes," presented at 1979 ASME Winter Annual Meeting, New York, December 1979; also appears in Mechanical Engineering, Vol. 102, No. 13, 1980, pp. 34-41. D. Dornfeld, "Acoustic Emission and Metalworking - Survey of Potential and Examples of Applications," Proc. 8th North American Manufacturing Research Conference, University of Missouri, Rolla, MO, May 1980, pp. 207-213. D. Dornfeld, M. Tomizuka, S. Motiwalla and R. Tseng, "Preview Control of Welding Torch Tracking," Proc. 1981 Joint Automatic Control Conference, ASME, University of Virginia, Charlottesville, VA, June 1981. D. A. Dornfeld, "Investigation of Machining and Cutting Tool Wear and Chatter Using Acoustic Emission," Proceedings AF/DARPA Review of Progress in Quantitative NDE, University of Colorado, Boulder, CO, August 2-7, 1981, Plenum Press, pp. 475-483.

2.

3.

4.

5.

6.

152 7.

Laboratory for Manufacturing Automation

M. S. Lan and D. Dornfeld, "Experimental Studies of Tool Wear via Acoustic Emission Analysis," Proc. 10th North American Manufacturing Research Conference, SME, McMaster University, Hamilton, Ontario, May 1982, pp. 305311. D. A. Dornfeld, M. Tomizuka and R. Langari, "Modeling and Adaptive Control of Arc Welding Processes," Measurement and Control for Batch Manufacturing Processes, D. Hardt, ed., ASME, New York, 1982, pp. 53-64. H. G. Cai, X. Q. Bian, D. A. Dornfeld and M. Tomizuka, "Design and Characterization of a Two-Axis Computer Controlled Welding Manipulator," Proceedings of ASME 2nd International Computer Engineering Conference, San Diego, CA, August 1982, pp. 243-247. D. A. Dornfeld and M. S. Lan, "Chip Form Detection Using Acoustic Emission," Proc. 11th North American Manufacturing Research Conf., SME, Univ. of Wisconsin, Madison, May 1983, pp. 386-389. D. A. Dornfeld, "Arc Weld Monitoring for Process Control- Seam Tracking," Computer Integrated Manufacturing, M. Martinez, ed., ASME, New York, 1983, pp. 89-97. M. Tomizuka, J. H. Oh and D. A. Dornfeld, "Model Reference Adaptive Control of the Milling Process," Control of Manufacturing Processes and Robotic Systems, D. Hardt, ed., ASME, New York, 1983,pp. 55-63. D. A. Dornfeld and C. S. Pan, "Determination of Chip Forming States Using a Linear Discriminant Function Technique with Acoustic Emission," Proc. 13th North American Manufacturing Research Conference, SME, University of California, Berkeley, May 1985, pp. 299-303. C. S. Pan and D. A. Dornfeld, "Modeling the Diamond Turning Process with Acoustic Emission for Monitoring Applications," Proc. 14th North American Manufacturing Research Conference, SME, University of Minnesota, Minneapolis, May 1986, pp. 257-265. M. Tomizuka, D. Dornfeld, M. S. Chen and T. C. Tsao, "Noncircular Cutting with Lathe," Proc. 14th North American Manufacturing Research Conference, SME, University of Minnesota, Minneapolis, May 1986, pp. 201-206. D. A. Dornfeld, "Acoustic Emission Process Monitoring for Untended Manufacturing," Proc. Japan- USA Symposium on Flexible Automation, JACCE, Osaka, Japan, July 1986, pp. 831-836.

8.

9.

10.

11.

12.

13.

14.

15.

16.

Research Reports 2001-2002

153

17.

K. W. Yee, D. S. Blomquist, D. A. Dornfeld and C. S. Pan, "An Acoustic Emission Chip-Form Monitor or Single Point Turning," Proc. 26th International Machine Tool Design and Research Conference, Univ. of Manchester Institute of Science and Technology, England, l986, pp. 305-312. D. A. Dornfeld and R. Y. Fei, "In Process Surface Finish Characterization," Manufacturing Simulation and Processes, PED. Vol. 20, ed. A.A. Tseng, D.R. Durham and R. Komanduri, ASME, New York, 1986, pp. 191-204. D. A. Dornfeld and T. Masaki, "Acoustic Emission Feedback for Deburring Automation," Modeling and Control of Robotic Manipulators and Manufacturing Processes, R. Shoureshi, K. Youcef-Toumi, and H. Kazerooni, eds., ASME, New York, 1987, pp. 81-89. D. Dornfeld and C. Handy, "Slip Detection Using Acoustic Emission Signal Analysis," Proc. 1987 IEEE Conference on Robotics and Automation, Raleigh, NC, pp. 1868-1875. S. Y. Liang and D. A. Dornfeld, "An Analytical Relationship between Acoustic Emission and Stretch Forming Process Parameters," Proc. 16th North American Manufacturing Research Conference, SME, University of Illinois, ChampaignUrbana, May 1988, pp. 22-26. M. Jouaneh, Z. X. Wang and D. Dornfeld, "Tracking of Sharp Corners Using a Robot and a Table Manipulator," Proc. USA-Japan Symposium on Flexible Automation, ASME, Minneapolis, MN, July 1988, pp. 271-278. Z. X. Wang, M. K. Jouaneh and D. A. Dornfeld, "Design and Characterization of a Linear Motion Piezoelectric Microactuator," Proc. 1989 IEEE International Conference on Robotics and Automation, IEEE, Scottsdale, AZ, May 1989, pp. 1710-1715. D. A. Dornfeld, "Monitoring of the Machining Process with Acoustic Emission Sensors," Proc. World Meeting on Acoustic Emission, ASNT/AEWG, Charlotte, NC, March 1989, pp. 227-230. S. L. Ko and D. A. Dornfeld, "Analysis and Modeling of Burr Formation and Breakout in Metal," Proc.Symposium on Mechanics of Deburring and Surface Finishing Processes at 1989 ASME Winter Annual Meeting, Dec. 1989, pp. 79-92. D. A. Dornfeld and E. Erickson, "Robotic Deburring with Real Time Acoustic Emission Feedback Control," Proc., Symposium on Mechanics of Deburring and Surface Finishing Processes at 1989 ASME Winter Annual Meeting, Dec. 1989, pp. 13-26.

18.

19.

20.

21.

22.

23.

24.

25.

26.

154

Laboratory for Manufacturing Automation

27.

C. L. Jiaa and D. A. Dornfeld, "On-line Tool Condition Monitoring in Face Milling with Acoustic Emission," Proc. Symposium CAD and Manufacture of Cutting and Forming/Forging Tools at the 1989 ASME Winter Annual Meeting, Dec. 1989, pp. 45-54. G. S. Choi, Z. X. Wang, D. A. Dornfeld and K. Tsujino, "Development of an Intelligent On-Line Tool Wear Monitoring System for Turning Operations," Proc. Japan-US Symposium on Flexible Automation, ISCIE, Kyoto, Japan, July 1990, pp. 683-690. J. Stein and D. A. Dornfeld, "A Classification and Design Methodology for Manufacturing Process Sensor Systems," Proc. Japan US Symposium on Flexible Automation, ISCIE, Kyoto, Japan, July 1990, pp. 675-681. G. H. Choi and D. A. Dornfeld, "Analytical Predictions of Rotary Cutting Processes," Proc. 18th North American Manufacturing Research Conference, SME, Penn State University, May 1990, pp. 146-153. J. R. Klaiber, D. A. Dornfeld and J. J. Liu, "Acoustic Emission Feedback for Diamond Turning," Proc. 18th North American Manufacturing Research Conf., SME, Penn State Univ., May 1990, pp. 113-119. S. M. Yoo and D. A. Dornfeld, "Computer Simulation of the Flexible Disk Grinding Process: Flat Surface Control using Variable Vertical Feed Speed," Proc. Symposium on Monitoring and Control for Manufacturing Processes, ASME, New York, NY, November 1990, pp. 121-132. S. M. Yoo, D. A. Dornfeld and R. L. Lemaster, "Modeling of the Flexible Disk Grinding Process: Part I-Model Development," Proc. Symposium on Monitoring and Control for Manufacturing Processes, ASME, New York, NY, November 1990, pp. 213-235. S. M. Yoo and D. A. Dornfeld, "Modeling of the Flexible Disk Grinding Process: Part II- Control and Optimization," Proc. Symposium on Monitoring and Control for Manufacturing Processes, ASME, New York, NY, November 1990, pp. 225236. S. M. Yoo and D. A. Dornfeld, "Three Dimensional Modeling of the Flexible Disk Grinding Process," Proc. Symp. on Fundamental Issues in Mach., ASME, New York, NY, November 1990, pp. 299-308. G. S. Choi, Z. X. Wang and D. A. Dornfeld, "Adaptive Optimal Control of Machining Process using Neural Networks," Proc. 1991 IEEE International Conference on Robotics and Automation, IEEE, Sacramento, CA, April 7-12, 1991, pp. 1567-1572.

28.

29.

30.

31.

32.

33.

34.

35.

36.

Research Reports 2001-2002

155

37.

J. J. Liu, C. Y. Liu and D. A. Dornfeld, "Optimization of Texturing Process via Sensor Feedback in Manufacturing of Thin-film Rigid Disks," Proc. 1992 JapanUSA Symposium on Flexible Automation, ASME, 1992, pp. 1591-1597. Z. X. Wang and D. A. Dornfeld, "In Process Tool Wear Monitoring using Neural Networks, Proc. 1992 Japan-USA Symposium on Flexible Automation, ASME, 1992, pp. 263-270. Y. P. Chang, A. E. Diniz and D. A. Dornfeld, "Monitoring the Grinding Process through Acoustic Emission using a Squirter," Proc. 1992 Japan-USA Symposium on Flexible Automation, ASME, pp. 335-340. J. J. Liu and D. A. Dornfeld, "Modeling and Analysis of Acoustic Emission in Diamond Turning,"Precision Machining: Technology and Machine Development and Improvement, PED-Vol. 58, ASME, 1992, New York, pp.43-58. Y. P. Chang and D. A. Dornfeld, "Chatter and Surface Pattern Detection for Grinding Processes using Fluid Coupled Acoustic Emission Sensor," Proc. International Conference on Machining of Advanced Materials, NIST, 1993, pp. 159-167. R. L. Lemaster and D. A. Dornfeld, "The Use of Acoustic Emission to Monitor an Abrasive Machining Process," Proc. 11th Int'l Wood Machining Seminar, Norway, 1993. L. A. Campos Bicudo, A. Sokolowski, J. F. Gomes de Oliveira and D. A. Dornfeld, "Compensation of Thermal Deformation on Cylindrical Grinding Machines using Neural Networks, Proc. Japan-USA Symposium on Flexible Automation, ISCIE, 1994, Kobe, Japan, pp. 877-884. A. Sokolowski, R. Narayanaswami and D. A. Dornfeld, "Prediction of Burr Size using Neural Networks and Fuzzy Logic," Proc. Japan-USA Symposium on Flexible Automation, ISCIE, 1994, Kobe, Japan, pp. 889-896. D. Dornfeld, "Monitoring Technologies for Intelligent Machining, "Uberwachung von Zerspan-und Umformprozessen," CIRP/VDI Konferenz, VDI Berichte 1179, March 1995, pp. 71-90. G. Byrne, D. Dornfeld, I. Inasaki, G. Ketteler, W. Konig, and R. Teti, "Tool Condition Monitoring (TCM)- Status of Research and Industrial Application," CIRP Annals, 44, 2, 1995, pp. 541-567. J. Stein and D. A. Dornfeld, "Integrated Design and Manufacturing for Precision Mechanical Components," Proc. 1st Int'l. Conf. on Integrated Design and Manufacturing, PRIMECA, Nantes, France, 1996, pp. 195-204.

38.

39.

40.

41.

42.

43.

44.

45.

46.

47.

156 48. 49.

Laboratory for Manufacturing Automation

Pruitt, B. and D. A. Dornfeld, "Monitoring End Mill Contact using Acoustic Emission," Proc. Japan US Symposium on Flexible Automation, Boston, July 1996. Lee, Y. X. and D. A. Dornfeld, Application of Open Architecture Control System in Precision Machining, Proc. 31st CIRP Intl Seminar on Manufacturing Systems, Berkeley, CA, May 1998, pp. 436- 441. Blondaz, L., Brissaud, D. and D. A. Dornfeld, Design for Manufacturing: Avoiding Machining Burrs in Structural and Embodiment Design, Proc. 2nd Int'l. Conf. on Integrated Design and Manufacturing, PRIMECA, Compiegne, France, 1998. Lee, Y. and Dornfeld, D. A., "Open Architecture Control System for Precision Manufacturing," Proceedings of 1998 Japan-USA Symposium on Flexible Automation, Volume 3,1998, pp1165 - 1172. Hassamontr, J., Blondaz, L. and D. A. Dornfeld, Avoiding Exit Burrs in CNC End Milling by an Adapted Tool Path, ASME IMECE, Anaheim, November, 1998, pp. 497-501. 50. Lee, Y. X., Dornfeld, D. A., and P. K. Wright, Open Architecture Based Framework in Integrated Precision Machining System, ASME IMECE, Anaheim, November, 1998, pp. 487-494. 51. Y. Moon and D. A. Dornfeld, The Investigation on the Performance of Chemical Mechanical Polishing (CMP) Based upon the Wafer-Pad Contact Mode, Advanced Metallization Conference (AMC) in 1998, Edited by G. Sandhu, H. Koerner, M. Murakami, Y. Yasuda, N. Kobayashi, Materials Research Society, pp. 255-260, 1998. Y. Moon and D. A. Dornfeld, The Effect of Slurry Film Thickness Variation in Chemical Mechanical Polishing (CMP), Proceedings of the American Society for Precision Engineering (ASPE), ASPE Annual Conference, St. Louis, Missouri, Oct. 1998. Y. Moon and D. A. Dornfeld, Mechanical Properties and Relationship to Process Performance of the Polishing Pad in Chemical Mechanical Polishing (CMP) of Silicon, Proceedings of the American Society for Precision Engineering (ASPE), ASPE Spring Topical Conference on Silicon, Monterey, April 1998. Y. Moon, I. W. Park, and D. A. Dornfeld. Investigation of the Relationship between Prestons Coefficient and Friction Coefficient in Chemical Mechanical Polishing (CMP) of Silicon, Proceedings of the American Society for Precision Engineering (ASPE), ASPE Spring Topical Conference on Silicon, Monterey, April 1998.

50.

51.

52.

53.

54.

55.

56.

57.

Research Reports 2001-2002

157

58.

Hassamontr, J. and D. A. Dornfeld, On designing and efficient deburring tool path, Proc. Symposium on Decisionmaking in Design and Manufacturing, 1999ASME IMECE, Nashville TN, November, 1999, to appear. Hassamontr, J. and D. A. Dornfeld, Strategies to minimize deburring costs, Proc. ASME Design Engineering Technical Conference- DETC99, Las Vegas NV, 1999, DETC99-DFM8927. Kim, J., Dornfeld, D. and Furness, R., Experimental Study of Burr Formation in Drilling of Intersecting Holes with Gun and Twist Drills, Tech. Papers of NAMRI, SME, 1999, pp. 39-44. Y. Moon, K. Bevans, and D. A. Dornfeld, Identification of the Mechanical Aspects of Material Removal Mechanisms in Chemical Mechanical Polishing (CMP), Finishing of Advanced Ceramics and Glasses, Edited by R. Sabia, V. A. Greenhut, and C. G. Pantano, Ceramic Transactions, American Ceramic Society, Vol. 102, pp. 269-279, 1999. Y. Moon, Y. Lee, and D. A. Dornfeld, Study of Slurry Chemical Influence in Ductile/Brittle Transition Depth in Chemical Mechanical Polishing (CMP) using Acoustic Emission Sensor, 1st International Conference of the European Society for Precision Engineering and Nanotechnology (EUSPEN), May 31st-June 4th, 1999, Bremen, Germany. Y. Moon, A. Chang and D. A. Dornfeld, The Effect of Slurry Film Thickness Variation in Chemical Mechanical Polishing (CMP), Proc. 102nd Annual Meeting and Exposition, American Ceramic Society, St. Louis MO, April 30-May 3, 2000. Y. Lee, A. Chang and D.A. Dornfeld, Acoustic Emission Monitoring for Diamond Machining of OFHC Copper, International Conference on Precision Engineering (ICOPE), Singapore, March 2000,pp. 323-332. Y. Lee and D. A. Dornfeld, Macro-planning of Ductile Regime Machining using Probabilistic Modeling, Proc. 2000 Japan-USA Flexible Automation Conference, Ann Arbor MI, July, 2000, paper 2000JUSFA-13174. Min, S., Kim, J. and Dornfeld, D. A., Development of a Drilling Burr Control Chart for Low Alloy Steel, AISI 4118, Journal of Materials Processing Technology, Vol. 113 No. 1-3 Jun 15 2001, pp. 4-9. Lee, K. H., Stirn, B., and D. A. Dornfeld, Burr Formation in Micro-machining Aluminum 6061-T6, 10th ICOPE Intl Conference on Precision Engineering, Japan 2001, to appear.

59.

60.

61.

62.

63.

64.

65.

66.

67.

158 68.

Laboratory for Manufacturing Automation

Lee, K. H., Ahn, S. H., Dornfeld, D. A. and P. K. Wright, The Effect of Run-out on Design for Manufacturing in Micro-machining Process, Proc. ASME IMECE 2001, ASME, New York, submitted for publication. Min, S., Kim, J. and Dornfeld, D. A., Thrust Force Analysis of Drilling Burr Formation using Finite Element Method, 10th ICOPE Intl Conference on Precision Engineering, Japan 2001, to appear.

69.

Non-refereed Publications-Invited and Conference Papers 1. D. A. Dornfeld, "Tool Wear Sensing via Acoustic Emission Analysis," 8th NSF Grantee's Conference on Production Research and Technology, Stanford University, January 1981. D. A. Dornfeld, "Investigation of Machining and Tool Wear via Acoustic Emission Analysis," Proc. Ninth Conference on Production Research and Technology, University of Michigan, Ann Arbor, MI, November 3-5, 1981. D. A. Dornfeld and R. L. Lemaster, "Sensing of Tool Wear by Acoustic Emission," Proc. Seventh Wood Machining Seminar, University of California, Forest Products Laboratory, Richmond, CA, October 1982, pp. 312-323. R. L. Lemaster and D. A. Dornfeld, "Measurement of Surface Quality of Sawn and Planed Surfaces with a Laser," Proc. Seventh Wood Machining Seminar, University of California, Forest Products Laboratory, Richmond, CA, October 1982, pp. 54-63. D. A. Dornfeld, "Investigation of Metal Cutting and Forming Process Fundamentals and Control Using Acoustic Emission," Proc. Tenth NSF Conference on Production Research and Technology, Detroit, MI, March 1983. D. A. Dornfeld and M. Tomizuka, "Development of a Comprehensive Control Strategy for Gas Metal Arc Welding," Proc. Tenth NSF Conference on Production Research and Technology, Detroit, MI, March 1983. D. A. Dornfeld, "Role of Acoustic Emission in Manufacturing Process Monitoring," Proc. Seminar on Sensor Technology for Untended Manufacturing, SME, Chicago, Illinois, April 5-6, 1984; also appears in SME Manufacturing Engineering Transactions, 1985, pp. 69-74. D. A. Dornfeld and M. Tomizuka, "Development of a Comprehensive Control Strategy for Gas Metal Arc Welding," Proc. 11th NSF Conference on Production Research and Technology, SME, Carnegie-Mellon University, Pittsburgh, May 1984, pp. 271-275.

2.

3.

4.

5.

6.

7.

8.

Research Reports 2001-2002

159

9.

D. A. Dornfeld, M. Tomizuka and S. Kobayashi, "Investigation of Metal Cutting and Forming Process Fundamentals and Control Using Acoustic Emission," Proc. 11th NSF Conference on Production Research and Technology, Carnegie-Mellon University, Pittsburgh, May 1984, pp. 377-380. M. Tomizuka, R. Horowitz, D. M. Auslander and D. A. Dornfeld, "Recent Research in Motion Control," Power Conversion International, Vol. 10, No. 4, April 1984, pp. 28-32. D. A. Dornfeld, "Acoustic Emission Monitoring and Analysis of Manufacturing Processes," Proc. 12th NSF Conference on Production Research and Technology, SME, University of Wisconsin, Madison, May 1985, pp. 329-334. D. A. Dornfeld, "Manufacturing Process Monitoring and Analysis using Acoustic Emission," Proc. 2nd Int'l Conference on Acoustic Emission, April-September 1985, pp. S123-S126. R. L. Lemaster and D. A. Dornfeld, "Monitoring the Wood Cutting Process with Acoustic Emission," Proc. 2nd Int'l Conference on Acoustic Emission, Lake Tahoe, Nevada, November 1985, and J. Acoustic Emission, Vol. 4, No. 2/3, AprilSeptember 1985, pp. S228-S231. D. Dornfeld and M. Tomizuka, "Advanced Control and Signal Processing for Manufacturing," Manufacturing Processes, Machines and Systems, Proc. 13th NSF Conference on Production Research and Technology, SME, University of Florida, Gainesville, November 1986, pp. 113-118. D. Dornfeld, "Monitoring for Untended Manufacturing Using Acoustic Emission," Proc. SCTE Conference in Advances in Tool Materials for Use in High Speed Machining, ASM, Scottsdale, AZ, February 1987, pp. 117-126. M. Jouaneh, R. L. Lemaster and D. A. Dornfeld, "Measuring Workpiece Dimensions Using a Non- Contact Laser Detection System," Int. J. Advanced Manufacturing Technology, Vol. 2, No. 1, pp. 59-74, 1987. D. A. Dornfeld, "Intelligent Sensors for Monitoring Untended Manufacturing Processes," Proc. 1987 International Machine Tool Research Forum, NMTBA, Chicago, September 1-2, 1987, pp. 12-1- 12-19. D. A. Dornfeld and M. Tomizuka, "Sensors and Control for Untended Manufacturing," Proc. 1987 NSF Manufacturing Systems Research Conference, University of Michigan, Ann Arbor, MI, October 6-9, 1987. D. A. Dornfeld, "Monitoring of the Cutting Process by Means of Acoustic Emission Sensor," Proc. 3rd International Machine Tool Engineer's Conference, Japan Machine Tool Builders' Association, Tokyo, JAPAN, November 1988, pp. 145-161.

10.

11.

12.

13.

14.

15.

16.

17.

18.

19.

160

Laboratory for Manufacturing Automation

20.

D. A. Dornfeld, "Intelligent Acoustic Emission Sensors for Manufacturing Process Monitoring," Proc. Process Monitoring and Control, 1988 ASNT Fall Conference, ASNT, September 1988, Anaheim, CA. D. Dornfeld and M. Tomizuka, "Sensors for Manufacturing Process AutomationWelding," Proc. 1989 15th NSF Manufacturing Systems Research Conference, SME, University of California, Berkeley, CA, January 1989, pp. 431-435. D. A. Dornfeld, M. Tomizuka, R. Langari and M. Jouaneh, "Sensing for Process Automation- Welding," Proc. 1990 16th NSF Manufacturing Systems Research Conference, SME, Arizona State University, January 1990,445-452. D. A. Dornfeld, "Cultural Differences in Manufacturing Technologies in the US, Japan and Europe,"Proc. 1st CIM Japan Seminar, Vol. 1, CIM Japan '90, Cahners Exposition Group, Tokyo, July 18, 1990, pp. 223-243. D. Dornfeld, "Unconventional Sensors and Signal Conditioning for Automatic Supervision," Proc. III International Conference on Automatic Supervision, Monitoring and Adaptive Control in Manufacturing, CIRP, Rydzyna, Poland, 3-5 September 1990, pp. 197-233. T. Blum and D. A. Dornfeld, "Grinding Process Feedback using Acoustic Emission," Proc. 4th International Grinding Conference, SME, Dearborn, MI, October 1990, 525-1 - 525-20. G. H. Choi and D. A. Dornfeld, "A Study on Rotary Tool Machining," Proc. 1991 17th NSF Manufacturing Systems Research Conference, SME, Univ. of TexasAustin, January 1991, pp. 791-798. T. Blum and D. A. Dornfeld, "Milling Process Monitoring via Acoustic Emission using a Ferro-fluid Coupled Sensor Device," Proc. 4th World Meeting on Acoustic Emission, ASNT, Boston, MA, 1991, pp.335-340. G. S. Choi, Z. X. Wang and D. A. Dornfeld, "Detection of Tool Wear using Neural Networks," Proc. 4th World Meeting on Acoustic Emission, ASNT, Boston, MA, 1991, pp. 342-349. R. L. Lemaster and D. A. Dornfeld, "The Use of Air Coupled AE Sensors to Measure Density Profiles in Wood-based Products," Proc. 4th World Meeting on Acoustic Emission, ASNT, Boston, MA, 1991, pp. 439-446. A. E. Diniz, J. J. Liu and D. A. Dornfeld, "Monitoring the Surface Roughness through AE in Finish Turning," Proc. 4th World Meeting on Acoustic Emission, ASNT, Boston, MA, 1991, pp. 487-493.

21.

22.

23.

24.

25.

26.

27.

28.

29.

30.

Research Reports 2001-2002

161

31.

R. Langari, D. A. Dornfeld and Z. X. Wang, "Intelligent Sensing and Control in Metal Cutting," Robotics and Manufacturing, Vol. 4, M. Jamshidi et al, eds., ASME, 1992, pp. 749-755. D. Dornfeld, "Intelligent Deburring of Precision Components," Proc. 1992 International Conference on Industrial Electronics, Control, Instrumentation and Automation, IEEE, 1992, pp. 953-960. D. Dornfeld, "Application of Acoustic Emission Techniques in Manufacturing," Proc. 11th International Acoustic Emission Symposium, JSNDI, Fukuoka, Japan, 1992, pp. 1-15. D. Dornfeld, "Intelligent Sensors for Manufacturing Process Monitoring," Proc. US-Taiwan Joint Symposium, NSF, Georgia Tech, Atlanta, GA, 1993, pp. 309-318. J. Stein, D. Dornfeld, et al., "Intelligent Deburring of Precision Components," Proc. 1993 Deburring and Surface Conditioning Symposium, SME, October 1993. D. Dornfeld, W. Koenig and G. Kettler, "Present State of Tool and Process Monitoring in Cutting," Proc. New Developments in Cutting, International CIRP/VDI Conference, Dusseldorf, September 1993, pp. 363-376. A. Sokolowski and D. A. Dornfeld, "Intelligent System for Cutting Parameter Optimization and Design of Cutting Process Monitoring System," Proc. First S.M. Wu Symposium on Manufacturing Science, SME, 1994, pp. 19-26. A. Sokolowski and D. A. Dornfeld, "On Designing Tool Wear Monitoring Systems using Supervised and Unsupervised Neural Networks," Proc. Joint HungarianBritish Mechatronics Conference, MechE, Budapest, 1994. D. A. Dornfeld, "Intelligent Deburring of Precision Components," Proc. 3rd Int'l Conf. on Precision Surface Finishing and Burr Technology, BEST-K, Seoul, November 1994. J. F. Gomes de Oliveira, D. A. Dornfeld and C. Schneider, "A otimizacao da dressagem e a reducao do custo de rebelos," Maquinas e Metais, No. 340, Maio, 1994, pp. 32-44. M. Hashimura and D. A. Dornfeld, "Analysis of Burr Formation Mechanism in Machining Process,"1st Int'l. Machining and Grinding Conference, SME, September 1995, MR95-178-1-14. S. H. Lee, D. S. Park and D. A. Dornfeld, "Burr Size Measurement using a Capacitance Sensor," Proc. Second S.M. Wu Symposium on Manufacturing Science, SME, 1996, pp. 31-36.

32.

33.

34. 35. 36.

37.

38. 39.

40.

41.

42.

162 43.

Laboratory for Manufacturing Automation

J. H. Ahn, H. S. Lim and D. A. Dornfeld, "Burr and Shape Distortion in Microgrooving of Optical Components, Proc. 1996 Annual Meeting of the ASPE, November 1996, Monterey, pp. 496-499. J. Daniel and D. A. Dornfeld, "Design and Application of In-process Acoustic Sensors for Maximum Sensitivity- Methods and an Example on Diamond Tooling," Proc. 1996 Annual Meeting of the ASPE, November 1996, Monterey, pp. 510-514. J. Tang, X. Chen, and D. A. Dornfeld, "Ultraprecision Metal Cutting Process Monitoring using Acoustic Emission," Proc. 1996 Annual Meeting of the ASPE, November 1996, Monterey, pp. 520-523. P. S. Sheng and D. A. Dornfeld, "California Perspective on Designing and Manufacturing for a Clean Environment," CIRP Annals, Vol. 44, No. 2, pp. 534535, 1995. Tang, J. S., Unger, C., Moon, Y. S. and D. A. Dornfeld, "Low-k Dielectric Material Chemical Mechanical Polishing Process Monitoring using Acoustic Emission," Low-Dielectric Constant Materials III, C. Case, et al, eds., Materials Research Society, 1998, Vol. 476, pp. 155-160. Dornfeld, D., Monitoring of Ultraprecision Machining Processes, Proc. 8th International Machine Tools Engineer Conference, Osaka, Japan, November, 1998. Dornfeld, D., Process Monitoring for Precision Manufacturing, Proc. CIRP/VDI Conference on High Performance Tools- the Key to Innovative Machining Technologies, Dsseldorf, Germany, November 1998. Dornfeld, D. A. and Lee, Y. (1999), Micro-planning of ductile-regime using probabilistic modeling, CIRP Technical Meeting, Paris, France. Dornfeld, D. A., Kim, J. S., and Chu., C. H. (1999), Modeling, optimization and control of burr formation, CIRP Technical Meeting, Paris, France. Hassamontr, J. and Dornfeld, D. (1999), Review of Burr Minimization Approaches, Proc. 2nd World Manufacturing Congress, Univ. of Durham, UK, Sept., pp. 446-452. Dornfeld, D. A. (1999), Process Monitoring and Control for Precision Manufacturing, Production Engineering, vol. 6, no. 2, pp. 29-34. Guo, Y. B., Tang, J., and Dornfeld, D. A. (1998), A finite element model for wafer material removal rate and non-uniformity in chemical mechanical polishing process, Proc. 3rd CMP-MIC Conference, Santa Clara, CA.

44.

45.

46.

47.

48. 49.

50.

51. 52.

53. 54.

Research Reports 2001-2002

163

55.

J. Tang, C. Unger, Y. Moon, and D. A. Dornfeld (1997), Low-k dielectric material chemical mechanical polishing (CMP) process monitoring using acoustic emission, Proceedings of Low Dielectric Constant Materials and Application in Microelectronics, Material Research Society, March 31- April 4. Y. Moon, K. Bevans, and D. A. Dornfeld, The Mechanical and Chemical Contributions to Material Removal in Chemical Mechanical Polishing (CMP), 2000 NSF Design and Manufacturing Research Conference, January 3-6, 2000, Vancouver, British Columbia, Canada. C. H. Chu, D. Dornfeld, and C. Brennum, Prediction and Simulation of Milling Burr Formation for Edge-Precision Process Planning, Proc. 3rd Intl Conf. On Integrated Design and Manufacturing in Mechanical Engineering, Montreal Canada, May 16-19, 2000, Paper No. JA27.4 (CD). N. Krishnan, D. Bauer, T. Francis, D. Dornfeld, From Decision Analysis to System Selection for Copper CMP Wastewater Treatment Systems: Using the Environmental Value Systems (ENV-S) Analysis, SEMICON Japan, Tokyo, October 2000. Luo, J. F., Dornfeld, D. A., Mao, Z., and Hwang, E., Integrated Model for Chemical-Mechanical Polishing Based on a Comprehensive Material Removal Model, 6th Intl Conf. on CMP for ULSI Multilevel Interconnection (CMP-MIC), Santa Clara, CA, March, 2001. Luo , J., Aksu, S. and Dornfeld, D. A., Material removal regions in chemical mechanical planarization (CMP): coupling effects of slurry chemicals, abrasive size distribution and wafer-pad contact area, 7th International Conference on Chemical-Mechanical Polish (CMP) Planarization for ULSI Multilevel Interconnection (CMP-MIC), Santa Clara, CA, Feb. 25- March 1, 2002. Luo, J. and Dornfeld, D. A., "Optimization of chemical mechanical planarization from the viewpoint of consumable effects, Eighteenth International IEEE VLSI Multilevel Interconnection Conference (VMIC), Santa Clara, CA, Sept. 25-26, 2001.

56.

57.

58.

59.

60.

61.

Reports/Edited Volumes/Chapters in Books 1. Energy Conservation: Policy Issues and End Use Scenarios of Savings Potential," LBL Report #7896, Lawrence Berkeley Laboratory, Berkeley, CA, September 1978, with Benenson, P., et al. Automation in Manufacturing: Systems, Processes and Computer Aids," Proceedings of Sessions at 1981 ASME Winter Annual Meeting, ASME PED-Vol. 4, Washington, D.C., November 1981 (Editor).

2.

164 3.

Laboratory for Manufacturing Automation

"Productivity: Technology and Economics," Report 81-3, College of Engineering Interdisciplinary Studies Program, University of California, Berkeley, January 1981. "Inspection and Quality Control in Manufacturing Systems," Proceedings of Sessions at 1982 ASME Winter Annual Meeting, ASME PED-Vol. 6, Phoenix, AZ, November, 1982, with DeVries, W.R. "Acoustic Emission Monitoring and Analysis in Manufacturing," Proceedings of Symposium at 1984 ASME Winter Annual Meeting, ASME-PED, Vol. 14, New York, 1984. (Editor) "Proceedings of the Fourth Water Jet Conference," ASME, Berkeley, CA, August, 1987. (Co-Editor with M. Hood). "Sensors for Manufacturing," Proceedings of Symposium at 1987 ASME Winter Annual Meeting, ASME-PED, Vol. 26, New York, 1987, with M. K. Tse (Editor) "Acoustic Emission Process Monitoring," contributed chapter to ASNT Nondestructive Testing Handbook, Vol. 5-Acoustic Emission Testing, ASNT, Columbus, OH, 1988, pp. 485-503.9. Manufacturing Processes, Systems and Machines, Proc. 15th NSF Conference on Production Research and Technology, SME, University of California at Berkeley, January, 1989. (Editor) D. A. Dornfeld, "Monitoring the Machining Process by Means of Acoustic Emission Sensors,"Acoustic Emission: Current Practice and Future Directions, ASTM, STP 1077, W. Sachse, J. Roget and K. Yamaguchi, Eds., American Society of Testing and Materials, Philadelphia, 1991. D. A. Dornfeld, "Sensor Fusion," contributed chapter in Handbook of Intelligent Sensors for Automation, N. Zuech, Ed., Addison-Wesley, 1991, pp. 419-508. D. A. Dornfeld, "Signal Processing for Automatic Supervision," chapter in Automatic Supervision in Manufacturing, M. Szafarczyk, ed., Springer-Verlag, London, 1994, pp. 209-250. Issues and Opportunities in Unit Manufacturing Process Research, contributing author, Unit Manufacturing Process Research Committee, National Research Council, 1994. Dornfeld, D. A., Design and Implementation of In-Process Sensors for the Control of Precision Manufacturing Processes, chapter in Sensing for Materials Characterization, Processing and Manufacturing, ASNT, 1998, pp. 125-150 .

4.

5.

6. 7. 8.

9.

10.

11. 12.

13.

14.

Research Reports 2001-2002

165

15. 16. 17.

D. A. Dornfeld et al, eds., Proc. Symposium on Research Issues in Precision Manufacturing, Univ. of California, Berkeley, September, 1995. P. Chedmail, J. C. Bouquet, and D. A. Dornfeld, eds.,Integrated Design and Manufacturing, Kluwer, 1998. Dornfeld, D. A., ed., Networked Manufacturing: Integrated Design, Prototyping and Rapid Fabrication, Proc. 31st CIRP Intl. Seminar on Manufacturing Systems, May, 1998, Berkeley. Dornfeld, D. A. and Bevins, K., Proc. Symposium on Challenges in Chemical Polishing, Dec. 1998, University of California-Berkeley. Dornfeld, D. A., Sensing for High Speed Machining, In Werkzeuge fr die Hochgeschwindigkeitsbearbeitung, J. Leopold, ed., Hanser, Munich, 1999, pp. 119137. Dornfeld, D. A., Publications of CODEF, LMA Report, March 2000. Dornfeld, D. A., Publications of CODEF, 2nd edition, LMA Report, March 2001. Dornfeld, D. A., Principles of sensors for manufacturing, in Sensors in Manufacturing, Tnshoff, H. K. and Inasaki, I, eds., Wiley-VCH, Weinheim Germany, 2001.

18. 19.

20. 21. 22.

April 8, 2002.

166

Laboratory for Manufacturing Automation

Further Information For further details on project areas and/or to discuss specific projects of interest to you, please contact: Professor David A. Dornfeld 5100A Etcheverry Hall University of California Berkeley, CA 94720 Tel: (510) 642-0906 Email: dornfeld@me.berkeley.edu FAX: (510) 643-7463 or (510) 643-8982 This work is supported by the federal and state government as well as a consortium of private industries. There are a variety of ways that you could participate in this research program. Pleas contact Professor Dornfeld or: Industrial/Liaison Program/ME Affiliate Program Mechanical Engineering Department University of California Berkeley, CA 94720 Tel: (510) 643-8710 Email: kate@me.berkeley.edu FAX: (510) 643-9786

Research Sponsors The following sponsors have provided support or donated experimental equipment and materials to the laboratory: Applied Materials Boeing Caterpillar, Inc. DaimlerChrysler GmbH Ford Motor Company Hibbit, Karlsson, & Sorensen, Inc. IBM Lawrence Livermore National Laboratory National Science Foundation Robbjack Corporation Airturbines University of California-Semiconductor Manufacturing Alliance for Research and Training (UC-SMART)

Das könnte Ihnen auch gefallen