Sie sind auf Seite 1von 66

Universidad Nacional Autnoma de Mxico

Facultad de Estudios Superiores Cuautitln




Departamento: Ingeniera

Seccin: Electrnica



Laboratorio de Microprocesadores


Asignatura: Microprocesadores

Clave Carrera: 116 Clave Asignatura: 0586












Autor: M. en TI. Jorge Buenda Gmez
Fecha de Elaboracin: 2000
Fecha de modificacin: Diciembre 2013
ndice I


Laboratorio de Microprocesadores
ndice








ndice I

Prlogo II

Reglamento VII

Prctica 1 Herramientas de prueba para el sistema mnimo 1
1.1 Elementos de un microcomputador

Prctica 2 Generador de reloj para microprocesador 6
1.1 Elementos de un microcomputador

Prctica 3 Circuito de auto reset para microprocesador 11
2.4 El funcionamiento interno: los diagramas de estado

Prctica 4 Memoria EEPROM o memoria de programa 15
3.2 Estructura de los sistemas de memoria

Prctica 5 Puertos de entrada / salida (input / output) 22
8.3. Interfaces integrados: PIA, PIO, PPI y similares

Prctica 6 Memoria SRAM o memoria de datos 31
3.2 Estructura de los sistemas de memoria

Prctica 7 Conexin de dispositivo perifrico de entrada (Teclado) 37
6.1.1. Control de dispositivos con tcnicas de programacin

Prctica 8 Conexin de dispositivo perifrico de salida (Display LCD) 44
6.1.1. Control de dispositivos con tcnicas de programacin

Prctica 9 Control de velocidad de motor de CD con PWM 51
6.1.1. Control de dispositivos con tcnicas de programacin
Prlogo II


Laboratorio de Microprocesadores
Prlogo




Objetivos generales de la asignatura

Comprender la estructura y funcionamiento de los microprocesadores para aplicarlos en la solucin de problemas
de ingeniera

Objetivos del curso experimental

Implementar un sistema digital que incluya un microprocesador como elemento central.
Identificar la funcin que realiza cada uno de los elementos que conforman el esquema de Von Neumann: reloj,
microprocesador, memoria ROM, memoria RAM, puertos de entrada, puertos de salida y dispositivos perifricos.
Conocer la sealizacin caracterstica que se presenta en los sistemas digitales con microprocesador e identificar
de forma adecuada la forma en que interactan los circuitos de apoyo que se emplean para la creacin de una
computadora.
Disear, programar, simular e implementar algoritmos que le permitan al sistema digital con microprocesador
interactuar con los dispositivos perifricos y probar la funcionalidad de todas y cada una de las partes que
conforman al esquema de Von Neumann.

Introduccin

Actualmente, los sistemas digitales que integran microprocesadores, se han expandido a la mayora de los sistemas
inteligentes que se emplean para controlar maquinaria industrial, sistemas de cmputo, telfonos celulares, televisiones,
electrodomsticos y en general todo aquel sistema que requiera capacidad de procesamiento automatizada.

Este laboratorio proporciona al alumno la teora y los mtodos para disear e implementar sistemas electrnicos
avanzados que incluyan microprocesadores y adems todos los dispositivos perifricos necesarios para realizar las
interfaces hombre mquina que permitan controlar a este tipo de sistemas, los cuales estn orientados a mejorar la forma
de vida de las personas y liberarlas de actividades repetitivas.

Uno de los objetivos primordiales del Laboratorio de Microprocesadores es la implementacin de un sistema de
cmputo que emplee un microprocesador Z80 como elemento central, a este sistema digital se le conoce como sistema
mnimo, el cual consta de los elementos ms significativos para la construccin de una computadora.

La implementacin se llevar a cabo, armando y probando las diferentes etapas que forman al sistema en cada una de
las sesiones del laboratorio avanzando de forma paulatina hasta construir el proyecto en su totalidad.

Este circuito denominado sistema mnimo es en realidad una computadora completa que puede realizar todas las
funciones asociadas con un sistema de esta naturaleza.

El sistema mnimo a implementar se basa en el esquema de Von Neumann, el cual contiene una serie de elementos que
permiten la ejecucin de programas en lenguaje ensamblador y la interaccin con dispositivos perifricos y con el
usuario, la figura P.1 nos muestra el esquema general de la arquitectura Von Neumann, el cual consta de un sistema de
reloj, un microprocesador, la memoria ROM de programa, la memoria RWM (RAM) de datos, los puertos de entrada, los
puertos de salida y los dispositivos perifricos.

En cada una de las prcticas de este laboratorio se implementar una de las partes que conforman al esquema de Von
Neumann para que al final del curso se tenga un sistema de cmputo completo y funcional para la ejecucin de
programas en lenguaje ensamblador y para la conexin de diferentes dispositivos perifricos.



Prlogo III


Laboratorio de Microprocesadores
Reloj
Memoria
ROM
(Programa)
Bus de Datos
Puertos de
Entrada
Puertos de
Salida
Bus de Direcciones
Bus de Control
Memoria
RAM
(Datos)
Dispositivos Perifricos
Microprocesador


Figura P.1

El sistema armado completo se muestra en la figura P.2.



Figura P.2

En el cual se pueden identificar las siguientes partes:

1

2
3
5
6
7
4
Prlogo IV


Laboratorio de Microprocesadores
# Elemento del esquema de Von Neumann
1 Circuito de reloj
2 Circuito de reset
3 Microprocesador Z80
4 Lgica de control y decodificacin
5 Memoria de programa (EEPROM)
6 Memoria de datos (SRAM)
7 Puertos de entrada / salida

Tabla P.1

Cada uno de estos elementos ser armado y probado para asegurar que el sistema funcione de forma adecuada.

Debido a que el objetivo es implementar el sistema completo, entonces ser necesario conservar la parte del circuito
que se haya armado en prcticas anteriores para que poco a poco se complete el sistema mnimo y por lo tanto el
alumno deber contar con al menos 2 tabletas de prueba (protoboard) para armar el sistema como se muestra en la
figura P.2.

En la implementacin de este sistema deben realizarse una serie de consideraciones que de llevarse a cabo traen como
consecuencia un circuito sin errores de conexin y falsos contactos facilitando las pruebas y garantizando un
funcionamiento correcto.

Una de las consideraciones tiene que ver con la forma del alambrado, como se puede observar en la figura P.2, los
alambres de conexin deben ser lo ms corto posible entre 2 puntos del sistema y no deben elevarse sobre la tableta ni
sobre los circuitos integrados puesto que esta accin provoca la interferencia por ruido.

Los circuitos deben quedar libres en su parte superior para que puedan ser extrados en caso de un desperfecto en su
funcionamiento o para poder programar a la EEPROM o a la GAL22V10D con los diferentes programas que se disearn
para probar el sistema.

El grosor de los cables debe ser de calibre 24 estaados para que se realice un buen contacto, el cable empleado en las
redes de computadoras STP categora 5 no es recomendable puesto que es muy delgado y no produce un buen
contacto en la protoboard.

Para realizar la conexin, los cables deben insertarse en los orificios de la tableta de conexiones considerando que la
punta descubierta del cable debe ser igual al alto de la tableta y no demasiado corta ni excesivamente larga, tal y como
se muestra en la figura P.3.




Figura P.3

Adems, para la elaboracin del sistema se deber considerar que algunos de los circuitos empleados son de tecnologa
CMOS y NMOS, por lo tanto son sensibles a descargas de voltaje por lo que hay que tener las precauciones necesarias
con respecto a las descargas electrostticas para no causarles dao.

Para realizar la manipulacin de los circuitos el alumno deber descargarse sobre algn objeto metlico y tomar los
circuitos por los extremos y no por las terminales. En ambientes industriales esta operacin se realiza con pulseras y
tapetes de descarga antiesttica.


Conexin correcta
Conexin Incorrecta
Tableta de
Conexiones
Cable
Prlogo V


Laboratorio de Microprocesadores
Para la realizacin de este laboratorio se utilizarn herramientas adicionales a las consideradas en los laboratorios
tradicionales de electrnica, tales como computadoras, software de ensamblado y ligado de programas en lenguaje
ensamblador, simuladores de microprocesadores y simuladores de circuitos digitales, software para programar memorias
EEPROM y dispositivos lgicos programables GALs, adems de la creacin de una punta lgica de prueba para la
comprobacin del tercer estado presentado por los circuitos del proyecto.

El alumno deber estar familiarizado con estas herramientas para poder realizar los procesos necesarios para las actividades
previas y pueda presentar las simulaciones de hardware o software y tambin los archivos ensamblados que se
programarn en la memoria del sistema.

Los criterios de evaluacin para el laboratorio son los siguientes:

C1 (Criterio de evaluacin 1): Reporte entregado con todos los puntos indicados (50%)
C2 (Criterio de evaluacin 2): Participacin (20%)
C3 (Criterio de evaluacin 3): Habilidades en la toma de mediciones (20%)
C4 (Criterio de evaluacin 4): Armado de la prctica (10 %)

Tambin ser necesario incluir en cada prctica, una portada (obligatoria) como la mostrada a continuacin.












Instrucciones para la elaboracin del reporte

Para la presentacin del reporte se deber cumplir con los requisitos indicados en cada una de las prcticas, incluyendo:

Portada
Introduccin.
Procedimiento experimental
Circuito
Tablas de datos
Grficas
Comentarios
Observaciones
Esquemas
Diagramas
Cuestionario

y en general todos los elementos solicitados dentro del desarrollo de la prctica.









U. N. A. M.
F. E. S. C.

Laboratorio de : ________________________________________ Grupo: ___________
Profesor: ________________________________________________________________
Alumno: _________________________________________________________________
Nombre de Prctica: _________________________________ No. de Prctica:________
Fecha de realizacin: _______________________ Fecha de entrega:________________

Semestre: ____________

Prlogo VI


Laboratorio de Microprocesadores
Bibliografa

1. Brey, Barry B (2001), Los microprocesadores Intel : arquitectura, programacin e interfaz de los procesadores
8086/8088, 80186/80188, 80286, 80386, 80486 Peintium, Peintium Pro y Pentuim II, Mxico, D.F. : Pearson
Educacin.

2. E. Mandado, E. Tassis (1996). Diseo de sistemas digitales con microprocesadores. Mxico, D.F. : Alfaomega.

3. Medina E. A. , Marrero M.M. (2008) Diseo de sistemas electrnicos digitales con microprocesadores. Espaa
: Universidad de las Palmas de Gran Canaria.

4. Tokheim, Roger L. (1991). Fundamentos de los microprocesadores. Madrid; Mxico : McGraw-Hill.

5. Jos Ma. Angulo Usategui. (1990). Microprocesadores 8086, 80286 y 80386. Madrid : Paraninfo.

6. Khambata, A.J. (1987). Microprocesadores-microcomputadores : Arquitectura, software y sistemas. Barcelona ;
Mxico : Gustavo Gili.

7. Ciarcia Steve. (1984). Construya una microcomputadora basada en el Z80 : Gua de diseo y funcionamiento.
Madrid ; Mxico : Byte/Mcgraw-Hill.

8. Crisp, John.(2004). Introduction to microprocessors and microcontrollers. (2nd). Amsterdam ; Boston :
Elsevier/Newnes.

Reglamento Interno del Laboratorio de Comunicaciones, Control y Electrnica VII


Laboratorio de Microprocesadores
Reglamento Interno del Laboratorio de
Comunicaciones, Control y Electrnica






1. Dentro del laboratorio queda estrictamente prohibido.

a. Correr, jugar, gritar o hacer cualquier otra clase de desorden.
b. Dejar basura en las mesas de trabajo y/o pisos.
c. Sentarse sobre las mesas
d. Fumar
e. Introducir alimentos y/o bebidas.
f. Introducir cualquier objeto ajeno a las prcticas de laboratorio, tales como: televisiones, equipos de sonido
(aun con audfonos) excepto algn equipo para realizar las prcticas
g. La presencia de personas ajenas en los horarios de laboratorio.
h. Dejar los bancos en desorden.
i. Mover equipos o quitar accesorios de una mesa de trabajo a otra sin el consentimiento previo del profesor de
laboratorio en turno.
j. Usar o manipular el equipo sin el conocimiento previo del profesor.
k. Rayar las mesas del laboratorio.
l. Energizar algn circuito sin antes verificar que las conexiones sean las correctas (polaridad de las fuentes de
voltaje, multmetros, etc.).
m. Hacer cambios en las conexiones o desconectar equipo estando est energizado.
n. Hacer trabajos pesados (taladrar, martillar, etc.) en las mesas de las prcticas, para ello se cuenta con mesas
especiales para este tipo de trabajos.

2. Verifique las caractersticas de los dispositivos electrnicos con el manual o pregunte a su profesor de laboratorio.

3. Es responsabilidad del usuario revisar las condiciones del equipo del laboratorio al inicio de cada prctica y reportar
cualquier anomala que pudiera existir (prendido, daado, sin funcionar, maltratado, etc.) al profesor del
laboratorio correspondiente.

4. Es requisito indispensable para la realizacin de las prcticas, que el alumno cuente con su manual completo y
actualizado al semestre en curso, las cuales podrn obtener en:

http://olimpia.cuautitlan2.unam.mx/pagina_ingenieria.

5. El alumno deber traer su circuito armado para poder realizar la prctica, de no ser as no podr realizar dicha
prctica (donde aplique) y tendr una evaluacin de cero.

6. Quien requiera hacer uso de las instalaciones de laboratorio para desarrollar trabajos, prcticas o proyectos, es
requisito indispensable que est presente el profesor responsable atendiendo a los alumnos, en caso contrario no
podrn hacer uso de dichas instalaciones.

7. Correo electrnico del buzn para quejas y sugerencias para cualquier asunto relacionado con los Laboratorios de
Electrnica. (electronica@fesc.cuautitlan2.unam.mx)

8. La evaluacin del laboratorio, ser en base a lo siguiente:

A - (Acreditado); Cuando el promedio total de todas las prcticas de laboratorio sea mayor o igual a 6 siempre
y cuando tengan el 90% de prcticas acreditadas en base a los criterios de evaluacin.
NA - (No Acreditado); No se cumpli con los requisitos mnimos establecidos en el punto anterior.
NP - (Nunca se present); con o sin asistencia pero que no haya entregado reporte alguno.

9. Los casos no previstos en el presente reglamento sern resueltos por el Jefe de la Seccin.
Reglamento Interno del Laboratorio de Comunicaciones, Control y Electrnica VIII


Laboratorio de Microprocesadores
NOTA: En caso de incurrir en faltas a las disposiciones anteriores, el alumno ser acreedor a las siguientes sanciones por
parte del profesor de laboratorio segn sea el caso y la gravedad.

Baja temporal del grupo de laboratorio al que est inscrito.
Baja definitiva del grupo de laboratorio al que est inscrito.
Facultad de Estudios Superiores Cuautitln UNAM


Prctica 1 Herramientas de prueba para el sistema mnimo 1





Tema

1.1 Elementos de un microcomputador

Objetivo

Implementar un mdulo de visualizacin con leds para probar el estado de las salidas de los buses del
microprocesador.
Implementar un dispositivo de prueba denominado punta lgica para probar los estados digitales de 0
lgico, 1 lgico y tercer estado Z.
Comprobar las mediciones de tercer estado sobre un circuito 74LS125.

Introduccin

Para realizar pruebas al sistema en una forma ms eficiente es necesario utilizar herramientas de apoyo que permiten
visualizar o insertar las seales de los buses del microprocesador.

En este tipo de sistemas se hace necesario verificar el estado de varias seales digitales de forma simultnea y debido a
que los osciloscopios solo tienen 2 canales es preferible utilizar puntas de prueba con LEDs o barras de LEDs, tal y como
se muestra en las figuras 1.1 y 1.2.











Figura 1.1 Figura 1.2

En los sistemas de microprocesadores varios de los circuitos que intervienen en su implementacin son capaces de
proporcionar salidas de tercer estado necesarias para dar la capacidad de conexin de 2 o ms salidas en un mismo
punto sin provocar un corto circuito, siempre y cuando exista un control de activacin de cada una de las salidas
conectadas a dicha unin.

El circuito de salida tpico empleado en las compuertas TTL es el circuito de Totem Pole mostrado en la figura 1.3 para
una compuerta AND, en el cual se logran los estados de 0 lgico y 1 lgico al saturar y cortar a los transistores Q3 y
Q4 como se muestra en la tabla 1.1.

X Y Q3 Q4 Salida
0V 0V Saturacin Corte 0V
0V 5V Saturacin Corte 0V
5V 0V Saturacin Corte 0V
5V 5V Corte Saturacin 5V

Tabla 1.1 Tabla de estados de salidas Totem Pole
Laboratorio de Microprocesadores
Prctica 1
Herramientas de prueba para el sistema mnimo
Facultad de Estudios Superiores Cuautitln UNAM


Prctica 1 Herramientas de prueba para el sistema mnimo 2


En este circuito los transistores Q3 y Q4 siempre estn en estados contrarios, nunca se establecen los 2 en corte o los 2
en saturacin, lo cual produce solo 2 posibles estados 0 y 1.

En la condicin de tercer estado mostrada en la figura 1.4, los 2 transistores de la salida Totem Pole Q3 y Q4 de una
compuerta NAND TTL se ponen en el estado de corte y por lo tanto presentan una impedancia alta entre la salida y
tierra y entre la salida y Vcc, en otras palabras al estar los 2 transistores en corte la terminal de salida es una terminal
abierta o flotante que no tiene valor de 0 o de 1.



Figura 1.3 Figura 1.4

En esta prctica se implementar adems un circuito denominado punta lgica el cual es capaz de identificar a travs
del encendido de 3 leds independientes, alguno de los 3 estados posibles de un circuito que presente alta impedancia
adems de los dos estados lgicos 0 y 1.

Debido a que el estado de alta impedancia es muy similar al estado de desconexin, entonces la punta de prueba al aire
mostrar un estado similar al tercer estado.

Este circuito debe ser implementado de forma permanente para emplearlo como instrumento de prueba en todas las
partes que forman al sistema de microprocesador, una posible forma de implementacin es la siguiente.



Figura 1.5 Circuito de punta lgica



Figura 1.6 Punta lgica con puntas de alimentacin y prueba

Facultad de Estudios Superiores Cuautitln UNAM


Prctica 1 Herramientas de prueba para el sistema mnimo 3


Actividades Previas a la Prctica

1. EI alumno deber realizar la lectura de la prctica de laboratorio.
2. Realizar la simulacin del circuito de la figura 1.7 utilizando el software Proteus.
3. Realizar la simulacin del circuito de la figura 1.9 considerando que en el simulador solo se pueden probar los
estados 0 y 1 lgico de entrada y el estado de alta impedancia no se puede simular.
4. Traer los circuitos armados.
5. Investigar el concepto de tercer estado.

Material

10 Resistencias de 0.47 k a W.
8 Diodo LED Rojo barra de 10 leds (debern adicionarse 2 resistencias de 0.47 k a W.)
1 Diodo LED Rojo
1 Diodo LED Verde
1 Diodo LED Amarillo
2 Resistencias de 1k a W.
1 Circuito Integrado 74LS00
1 Circuito Integrado 74LS125
1 Transistor BC547
Cables de conexin
Cable plano (30 cm.)
2 Bananas

Equipo

1 Multmetro
1 Proto Board
Alambres de conexin

Procedimiento Experimental

1. Implemente solo uno de los circuitos de las figuras 1.7 1.8.
2. Compruebe el comportamiento correcto del circuito, observando el encendido y apagado de cada uno de los leds,
conectando las terminales de entrada al nivel de 5V tierra respectivamente.


Figura 1.7 Figura 1.8

3. Este tipo de herramientas ayudan mucho en la determinacin rpida de las fallas en los circuitos que contienen
procesadores y por ello es necesario implementar alguna de ellas en forma permanente como se indica en las
figuras 1.1 y 1.2.
4. Implemente el circuito de la figura 1.9 considerando las terminales indicadas en cada una de las compuertas.
5. Alimente el circuito con 5V, as como la compuerta 74LS00 (terminal 14 = 5V. y terminal 7 = 0V.)
D9
D1 - D8
LED
D7
D6
D5
D4
D3
D2
D1
D0
BAR-10UR
Barra de led's
1 2 3 4 5 6 7 8 9
20 19 18 17 16 15 14 13 12
10
11
D7
D4
D5
D6
D2
D3
D0
D1
R9 - R18
0.47k
D8
R1 - R8
0.47k
Facultad de Estudios Superiores Cuautitln UNAM


Prctica 1 Herramientas de prueba para el sistema mnimo 4


6. Compruebe el comportamiento del circuito llevando la punta lgica a los 3 posibles estados de un circuito lgico,
0 lgico igual a 0V., 1 lgico igual a 5V. y Z alta impedancia o tercer estado igual a punta al aire o
desconectada y proceda al llenando de la tabla 1.2.



Figura 1.9 Esquemtico de punta lgica

Estado punta
lgica
Voltaje de
Entrada
Estado
Led Rojo
Estado
Led Verde
Estado
Led Amarillo
0
1
Z

Tabla 1.2 Tabla de valores del circuito de punta lgica

7. Implemente el circuito de la figura 1.10 manteniendo el circuito anterior.



Figura 1.10 Circuito de prueba

8. Alimente el circuito 74LS125 con 5V. en terminal 14 y 0V. en la terminal 7.
9. Compruebe la tabla de verdad del buffer empleando para ello el circuito de punta lgica armado en el punto 1.9.



Figura 1.11 Hoja tcnica de circuito 74LS125
5 V
U1B
74LS00
4
5
6
U1A
74LS00
1
2
3
U1C
74LS00
9
10
8
U1D
74LS00
12
13
11
D1 Led Rojo
"1" Logico
D2 Led Verde
"0" Logico
D3 Led Amarillo
"Z" Tercer Estado
R1
1k
R2
1k
R3
0.47K
R4
0.47K
Q1
BC547A
Punta de Prueba
U1A
74LS125A
2 3
1
A1
C1
Y1
Facultad de Estudios Superiores Cuautitln UNAM


Prctica 1 Herramientas de prueba para el sistema mnimo 5


Cuestionario

1. Explique el comportamiento de la compuerta lgica de tercer estado mostrada en la figura 1.4 considerando la
entrada DIS.
2. Explique el concepto de compuertas con salida de colector abierto incluyendo el diagrama de una compuerta
comercial de este tipo.
3. Explique el concepto de OR alambrada e indique a travs de un diagrama de conexiones la forma en que funciona.
4. Explique porque se requiere que los circuitos de memoria y microprocesadores tengan salidas de tercer estado.

Facultad de Estudios Superiores Cuautitln UNAM


Prctica 2 Generador de reloj para microprocesador 6




Tema

2.1 Las seales

Objetivos

El alumno implementar diferentes circuitos de temporizacin empleados en la generacin de seales digitales de reloj
para sistemas basados en microprocesador.

Introduccin

Uno de los elementos que conforman el esquema de Von Neumann de un sistema digital que incluye un microprocesador,
es el circuito de reloj. Este sistema es el encargado de proporcionar una seal digital con una frecuencia caracterstica
definida por el microprocesador en cuestin.

Las seales de reloj de los microprocesadores tienen otras caractersticas importantes, tales como el ciclo de trabajo,
definido como la relacin entre el tiempo que la seal de reloj se mantiene en estado alto (th) y el periodo de la seal (T ),
tal y como se muestra en la figura 2.1.








[%] =

100 =

+
100

Figura 2.1

La seal digital generada por el sistema de reloj, acta como la seal de sincrona del microprocesador, el cual ejecuta las
instrucciones del programa e interacta con los elementos que conforman al sistema tales como las memorias RAM y ROM
y los puertos de entrada (I) y salida (O) en funcin de los cambios que realiza la seal de reloj, en la figura 2.2 se muestra el
sistema de reloj dentro del esquema de Von Neumann.

Todas las operaciones que realiza un microprocesador para ejecutar una instruccin o para activar un circuito, se ejecutan
en sincrona con los pulsos de reloj proporcionados por el oscilador digital. Es por eso que todos los sistemas de
microprocesador requieren de una base de tiempo sobre la cual trabajar.

El conocimiento de las seales de reloj requeridas permite elaborar diagramas de tiempo bajo los cuales opera el
microprocesador, haciendo posible sincronizar las seales del microprocesador con los tiempos de acceso, retardo o de
control de sus perifricos. El parmetro ms simple en la temporizacin de la CPU es conocido como ciclo T, y es igual a un
ciclo completo del sistema de reloj como el que se muestra en la figura 2.3.

Laboratorio de Microprocesadores
Prctica 2
Generador de reloj para microprocesador
T
th tl
Facultad de Estudios Superiores Cuautitln UNAM


Prctica 2 Generador de reloj para microprocesador 7
Reloj
Microprocesador
Memoria
ROM
(Programa)
Bus de Datos
Memoria
RAM
(Datos)
Puertos de
Entrada
Puertos de
Salida
Bus de Direcciones
Bus de Control
Dispositivos Perifricos


Figura 2.2 Reloj dentro del esquema de Von Neumann.



Figura 2.3 Ciclo de reloj T

En la figura 2.4 se muestra uno de los diagramas de tiempo empleados por el microprocesador Z80 para la realizacin del
proceso de decodificar una instruccin almacenada en la memoria, como se puede observar, los cambios en todas las
seales asociadas con el funcionamiento del microprocesador se llevan a cabo en sincrona con la seal de reloj (CLK).



Figura 2.4 Ciclo fetch del microprocesador Z80.

Facultad de Estudios Superiores Cuautitln UNAM


Prctica 2 Generador de reloj para microprocesador 8
Circuito VCC GND
74LS04 U1 14 7
Circuito VCC GND
74LS04 U1 14 7
Una forma de generar la seal de reloj es emplear compuertas lgicas retroalimentadas, usando resistencias y capacitores.
La figura 2.5 muestra un circuito que emplea compuertas lgicas inversoras.



Figura 2.5 Oscilador con compuertas

Para asegurar ms estabilidad en la frecuencia y para acceder a frecuencias ms elevadas, es comn emplear cristales de
cuarzo como elementos de control de la frecuencia de oscilacin. La figura 2.6 A muestra el smbolo de un cristal de cuarzo
piezoelctrico y la figura 2.6 B muestra el circuito equivalente.
(A) (B)

Figura 2.6

En la figura 2.7 se observa un circuito que utiliza un cristal de cuarzo de 4 MHz para fijar la frecuencia de la oscilacin, este
tipo de circuito garantiza oscilaciones estables asociadas con la frecuencia del cristal.



Figura 2.7 Oscilador con cristal de cuarzo

Dadas las elevadas frecuencias a las que trabajan algunos microprocesadores, se requieren circuitos que generen seales
confiables y adecuadas a ciertas caractersticas especficas. Esto ha llevado a que algunos microprocesadores tengan, entre
su familia de circuitos complementarios un C.I. temporizador construido especficamente para l. Tal es el caso del
microprocesador 8080 de Intel, el cual usa como circuito temporizador al 8224, un C.I. que proporciona dos fases de reloj,
una seal de READY en combinacin con el segundo reloj y la propia seal de READY.

Otro circuito es el 8284, tambin de Intel, el cual proporciona la temporizacin y dos seales de control para los
microprocesadores 8086 y 8088. Un circuito que lo emplea se muestra en la figura 2.8.
74LS04
U1A
1 2
74LS04
U1B
3 4
10K
R2
10K
R1
0.1uF
C1
74LS04
U1C
5 6
Reloj
74LS04
U1A
1 2
74LS04
U1B
3 4
1K
R2
1K
R1
74LS04
U1C
5 6
Reloj
4 MHz
XTAL
Facultad de Estudios Superiores Cuautitln UNAM


Prctica 2 Generador de reloj para microprocesador 9

Figura 2.8

Actividades Previas a la Prctica

1. EI alumno deber realizar la lectura de la prctica de laboratorio.
2. Realizar la simulacin del circuito de la figura 2.5. Considere que la oscilacin del circuito se basa en el ruido y retrasos
en las seales del circuito y por lo tanto puede requerirse de un tiempo de espera para que la seal aparezca en el
osciloscopio del simulador.
3. Traer el circuito armado.

Material

2 Resistencias de 10 K, W.
1 Capacitor de 0.01 uF.
2 Capacitor de 0.1 uF
1 Capacitor de 470 uF
1 Circuito integrado 74LS04 o 7404
1 Cristal de cuarzo de 4 MHz.

Equipo

1 Fuente de alimentacin de C.D.
1 Osciloscopio.
1 Tableta de conexiones
1 Multmetro

Procedimiento Experimental

1. Arme cada uno de los 2 circuitos de las figuras 2.5 y 2.7 polarizando los circuitos integrados a un voltaje de 5 V.
2. El circuito de la figura 2.5 se utilizar como el primer elemento del sistema con microprocesador y por lo tanto deber
mantenerse armado para las pruebas posteriores, en la figura 2.9 se muestra la conexin sobre la protoboard.
3. Con el osciloscopio observe la seal de salida de cada uno de los circuitos (terminal 6) y mida los valores indicados en la
tabla 2.1 y dibuje las formas de onda obtenidas para cada uno de los dos circuitos.

Circuito Frecuencia (f) Periodo (T) Tiempo en alto (th) Tiempo en bajo (tl)
Fig 3.5
Fig 3.7

Tabla 2.1


Facultad de Estudios Superiores Cuautitln UNAM


Prctica 2 Generador de reloj para microprocesador 10

















Figura 2.9 Oscilador armado en protoboard

4. Calcule el ciclo de trabajo (duty cycle) de las seales de reloj obtenidas (que porcentaje del ciclo completo se encuentra
la seal activa en alto).
5. Cambie el capacitor de 0.1 F a los valores de 0.01 F y 470 F e identifique las variaciones que se presentan en la seal
de reloj del circuito de la figura 2.5.

Cuestionario

1. Qu funcin realiza la compuerta inversora en la salida del circuito.
2. Investigue el circuito 8284 dando la configuracin de pines y dando una breve anotacin funcional de sus terminales.
3. Mencione otros circuitos temporizadores (integrados o discretos) de uso general no cubiertos en la presente prctica.
4. Complemente la informacin dada en la introduccin sobre cristales, acerca de la determinacin de la frecuencia de
oscilacin.
5. Que condiciones de funcionamiento tiene el reloj de salida proporcionado por el circuito 8284.
Facultad de Estudios Superiores Cuautitln UNAM


Prctica 3 Circuito de auto reset para microprocesador 11




Tema

2.4 El funcionamiento interno: los diagramas de estado

Objetivos

Implementar un circuito de auto reset para inicializar el funcionamiento del microprocesador Z80.
Comprobar las condiciones que presenta el P Z80 al insertar la seal de reset.

Introduccin

En los microprocesadores la seal de reset se utiliza para llevar al microprocesador a un estado conocido. La seal de
reset inicializa al P Z80 de forma interna del siguiente modo:

Limpia el Flip Flop asociado con las interrupciones mascarables
Limpia el registro contador de programa (PC) y los registros de interrupcin (I) y de refresco de memoria (R)
Selecciona el modo 0 de interrupcin mascarable.
Deshabilita las interrupciones mascarables.

De forma externa la seal de reset se refleja en el estado de algunas de sus seales como se indica:

El bus de direcciones y de datos se pone en alta impedancia mientras la seal de reset est activa.
Todas las seales de salida del bus de control se mantienen inactivas.

Adems para que la inicializacin sea exitosa, la seal de reset debe mantenerse activa en bajo por lo menos 3 ciclos
completos de reloj.

Para la realizacin de un circuito de reset, se emplea una malla RC que proporciona un 0 lgico al alimentar al sistema
puesto que el capacitor est originalmente descargado y despus de 5 (constantes de tiempo), el capacitor es cargado
al 99.3% del voltaje de alimentacin de 5V, lo que produce que la seal de reset pase a inactiva. Para lograr la
especificacin de 3 ciclos completos de reloj para una aplicacin correcta del reset, se requiere que el voltaje de carga
del capacitor se mantenga por debajo del voltaje V
IL
considerado como 0 lgico por un tiempo lo suficientemente
largo para que transcurran 3 ciclos de reloj del microprocesador.

5V


V
IL
= 0.8V




5
Reloj

t=0.174
Figura 3.1 Diagrama de tiempo para el reset del microprocesador.
Laboratorio de Microprocesadores
Prctica 3
Circuito de auto reset para microprocesador
Facultad de Estudios Superiores Cuautitln UNAM


Prctica 3 Circuito de auto reset para microprocesador 12
La ecuacin que describe comportamiento de carga del capacitor esta definida por:

() = 5 (1

)

y el tiempo necesario para alcanzar el valor de V
IL
= 0.8 V queda definido por:

= 1
0.8
5


Si se cumplen estas condiciones entonces el microprocesador se auto inicializar al alimentar al circuito completo. Sin
embargo al estar el microprocesador en su funcionamiento normal se requiere ocasionalmente resetear al
microprocesador y eso se puede lograr introduciendo un switch en paralelo a las terminales del capacitor descargndolo
de forma directa y produciendo un estado bajo durante todo el tiempo que el switch permanezca cerrado y la seal de
reset regresar al estado de inactividad (5V) al cargarse nuevamente al capacitor despus de desconectar el switch.

En esta prctica se integrarn el circuito de reloj, reset y microprocesador del esquema de Von Neumann como se
muestra en la figura 3.2.

Reloj
Microprocesador
Memoria
ROM
(Programa)
Bus de Datos
Memoria
RAM
(Datos)
Puertos de
Entrada
Puertos de
Salida
Bus de Direcciones
Bus de Control
Dispositivos Perifricos


Figura 3.2 Reloj, microprocesador y reset en el esquema de Von Neumann.

Actividades Previas a la Prctica

1. EI alumno deber realizar la lectura de la prctica de laboratorio.
2. Obtenga el valor de tiempo terico necesario para alcanzar el valor V
IL
utilizando la frmula que describe la carga
del capacitor.
3. Traer el circuito armado

Material

1 Capacitor de 0.1 uF
1 Resistencia de 1K a W.
1 Switch Push Button normalmente abierto
1 Diodo 1N4001
1 Microprocesador Z80
Facultad de Estudios Superiores Cuautitln UNAM


Prctica 3 Circuito de auto reset para microprocesador 13
1N4001
D1
1k
R3
0.1uF
C2
+5V
74LS04
U1A
1 2
+5V
74LS04
U1B
3 4
10K
R2
10K
R1
0.1uF
C1 U2 Z80 CPU
A0
30
A1
31
A2
32
A3
33
A4
34
A5
35
A6
36
A7
37
A8
38
A9
39
A10
40
A11
1
A12
2
A13
3
A14
4
A15
5
WAIT
24
INT
16
NMI
17
RST
26
BUSRQ
25
BUSAK
23
CLK
6
IORQ
20
HALT
18
D0
14
D1
15
D2
12
D3
8
D4
7
D5
9
D6
10
D7
13
M1
27
MREQ
19
RD
21
REFSH
28
WR
22
74LS04
U1C
5 6
SW1
RESET
Circuito VCC GND
74LS04 U1 14 7
Z80 CPU U2 11 29
Circuito de reloj implementado en la prctica anterior

Equipo

1 Fuente de C.D.
1 Osciloscopio
1 Multmetro
1 Punta lgica para prueba de tercer estado
1 Circuito de leds de prueba

Procedimiento Experimental

1. Implemente el circuito de la figura 3.3 considerando que ya se tiene armado el circuito de reloj y adicione
solamente el circuito de reset y el microprocesador Z80.


















Figura 3.3

2. Realiza la conexin en la protoboard utilizando como referencia la fotografa de la figura 3.4

















Figura 3.4

3. Observe la seal de reset en la salida del circuito y compruebe su comportamiento al presionar y liberar el botn de
reset (SW1).
Facultad de Estudios Superiores Cuautitln UNAM


Prctica 3 Circuito de auto reset para microprocesador 14
4. Grafique la seal de reset indicando los parmetros de voltaje y tiempo, para realizar esta operacin puede utilizar
la funcin de Stop o captura de imagen que poseen los osciloscopios digitales.
5. Empleando el circuito de punta lgica compruebe que mientras est presionado el botn de reset, ambos buses de
direcciones y de datos permanecen en tercer estado.
6. Compruebe el estado de las seales: BUSAK

, HALT

, M1

, REFRESH

, MREQ

, IORQ

, RD

y WR

mientras la seal
de reset est activa (0V) empleando el circuito de leds.
7. Debido a que este sistema an no contiene una memoria ROM de programa, una vez que se libera el botn de
reset, el microprocesador intenta leer la direccin 0000H, pero al estar las terminales del bus de datos al aire,
entonces la lectura es tericamente FFH o basura de forma real, por lo tanto el microprocesador no puede ejecutar
ningn programa lgico.
8. Aun bajo estas condiciones, es posible probar algunas de las seales de salida del bus de control, tales como:
M1

, REFRESH

, MREQ

,
IORQ

,
RD

y WR

empleando el circuito de leds y el osciloscopio.


9. Observe el comportamiento de las seales anteriores, con el circuito de reset en su estado inactivo (5V).

Cuestionario

1. Indique el estado de los registros del microprocesador Z80 al insertar la seal de reset activa en 0 V.
2. Investigue las condiciones que se producen al insertar la seal de reset en el microprocesador 8086 de Intel y en el
microprocesador Intel Pentium 4. (Checar en internet Manual Pentium 4).
3. Describa el funcionamiento de las seales M1

, REFRESH

, MREQ

,
IORQ

,
RD

y WR

del microprocesador Z80.


4. Determine cual sera la frecuencia de reloj mnima para poder realizar correctamente el proceso de auto reset,
calclelo en funcin de la ecuacin de carga del capacitor.

Facultad de Estudios Superiores Cuautitln UNAM


Prctica 4 Memoria EEPROM o memoria de programa 15




Tema

3.2 Estructura de los sistemas de memoria

Objetivos

El alumno realizar la conexin de una memoria EEPROM a un microprocesador Z80.
El alumno programar una GAL para generar el circuito decodificador de direcciones.
El alumno crear y probar un programa de prueba en lenguaje ensamblador que ser ejecutado en el
microprocesador Z80.

Introduccin

Los microprocesadores son circuitos de muy alta escala de integracin que son capaces de ejecutar una serie de cdigos
binarios proporcionados en secuencia sobre sus terminales de datos y controlados por las terminales de direcciones y
por las seales de control, pero dichos cdigos que conforman un programa, no pueden almacenarse dentro del
microprocesador y por lo tanto en los sistemas de microprocesadores es necesario aadir un sistema de memoria no
voltil que contenga al programa.

Esta memoria de programa es tpicamente una memoria de solo lectura o memoria ROM (Read Only Memory) que es
programada previamente en forma independiente del sistema de microprocesador, dentro de ella y en cada una de sus
localidades se almacena un dato binario que representa el cdigo de mquina de una instruccin en lenguaje
ensamblador o parte del cdigo de mquina de una instruccin mayor.

A esta memoria se le denomina la memoria de programa.

Reloj
Microprocesador
Memoria
ROM
(Programa)
Bus de Datos
Memoria
RAM
(Datos)
Puertos de
Entrada
Puertos de
Salida
Bus de Direcciones
Bus de Control
Dispositivos Perifricos


Figura 4.1 Memoria de programa ROM en el esquema de Von Neumann

Laboratorio de Microprocesadores
Prctica 4
Memoria EEPROM o memoria de programa
Facultad de Estudios Superiores Cuautitln UNAM


Prctica 4 Memoria EEPROM o memoria de programa 16
Utilizando las seales de sus buses, los microprocesadores leen, trasladan, decodifican y ejecutan cada uno de los
cdigos contenidos en la memoria ROM y con estas acciones el sistema de microprocesador es capaz de realizar la
ejecucin lgica de un programa en lenguaje ensamblador.

En esta prctica se realizar la conexin de una memoria EEPROM AT28C16, figura 4.2, con una capacidad de (2K x 8)
que se utilizar para el almacenamiento de los programas de prueba del sistema de microprocesador.



Figura 4.2 Memoria EEPROM AT28C16

Adems se comprobar el funcionamiento de un programa de prueba muy simple que nos permita asegurar que el
sistema de microprocesador est decodificando correctamente los cdigos de mquina de las instrucciones
almacenadas en la EEPROM.

El programa de prueba realizar la carga del acumulador (A) del microprocesador Z80 con el valor de 00H en 8 bits y lo
trasladar hacia el puerto 05H (el cul no existe fsicamente), posteriormente se incrementar el valor del acumulador y
se realizar un ciclo infinito de envi al puerto 05H, este programa producir un contador binario natural ascendente en
el bus de datos del microprocesador, pero debido a que el sistema no cuenta an con puertos, el dato solo ser visible
en el bus de datos pero en combinacin con los cdigos de mquina que son trasladados desde la memoria EPROM
hacia el microprocesador.





















Figura 4.3 Mapa de memoria y asignacin de bits de direccionamiento
16 bits
5 bits 11 bits
Posicin Localidad
EEPROM
AT28C16
2K x 8
64K
65536 localidades
de memoria
8 bits
0000H
07FFH
SIN USAR
Facultad de Estudios Superiores Cuautitln UNAM


Prctica 4 Memoria EEPROM o memoria de programa 17
A11
A12
/RD
/MREQ
/CE_EEPROM
1
2
3
4
5
6
7
8
A15
A13
A14
En la figura 4.3 se muestra el mapa de memoria del microprocesador Z80 con un tamao de 64K x 8 y la posicin que
ocupar la EEPROM dentro del mapa, desde la direccin 0000H hasta la 07FFH y la asignacin de los bits de
direccionamiento.

La memoria se conectar a partir de la direccin 0000H ya que al insertar el pulso de RESET, este microprocesador
inicializa el valor del contador de programa (PC) a cero y es en ese lugar donde debe estar el cdigo de mquina de la
primera instruccin. Este microprocesador emplea 16 bits para el direccionamiento de la memoria, de los cuales se
emplearn los 11 bits menos significativos para seleccin de la localidad interna de la memoria y los 5 bits ms
significativos se usarn para la decodificacin de la posicin de la memoria EEPROM dentro del mapa de memoria.

Para la activacin de la memoria en el instante correcto se debern considerar adems de los cinco bits de direcciones
(A15 A11) = 00000, las seales MREQ = 0 y RD = 0, las que en conjunto generarn la seal de activacin CE = 0 de la
memoria, esto se realizar a travs de una compuerta OR de 7 entradas como se muestra en la figura 4.4 e
implementada dentro de un dispositivo lgico programable GAL22V10D .










Figura 4.4 Circuito de activacin de la memoria EEPROM

El sistema consta de un dispositivo GAL22V10D como el mostrado en la figura 4.5 que se emplear para implementar el
sistema de decodificacin de direcciones y permitir as realizar la activacin de la memoria EEPROM en la posicin y
localidad correcta.












Figura 4.5 Circuito para la implementacin del decodificador de direcciones.

Actividades Previas

1. EI alumno deber realizar la lectura de la prctica de laboratorio.
2. El alumno programar la memoria AT28C16 con el cdigo de mquina mostrado en la figura 4.11, considerando
que en cada localidad debe grabarse un byte.
3. El alumno programar el dispositivo lgico programable (PLD) GAL22V10D con la funcin de la compuerta OR de 7
entradas mostrada en la figura 4.12.
4. Traer el circuito armado.

Material

1 Sistema mnimo con microprocesador, circuito de reloj y circuito de reset
Facultad de Estudios Superiores Cuautitln UNAM


Prctica 4 Memoria EEPROM o memoria de programa 18
1
N
4
0
0
1
D
1
1
k
R
3
0
.
1
u
F
C
2
U
n
i
v
e
r
s
i
d
a
d

N
a
c
i
o
n
a
l

A
u
t

n
o
m
a

d
e

M

x
i
c
o
F
a
c
u
l
t
a
d

d
e

E
s
t
u
d
i
o
s

S
u
p
e
r
i
o
r
e
s

C
u
a
u
t
i
t
l

n
S
i
s
t
e
m
a

d
e

M
i
c
r
o
p
r
o
c
e
s
a
d
o
r

Z
8
0
M
.

e
n

T
I
.

J
o
r
g
e

B
u
e
n
d

a

G

m
e
z
+
5
V
U
4
A
T
2
8
C
1
6
A
0
8
A
1
7
A
2
6
A
3
5
A
4
4
A
5
3
A
6
2
A
7
1
A
8
2
3
A
9
2
2
A
1
0
1
9
D
0
9
D
1
1
0
D
2
1
1
D
3
1
3
D
4
1
4
D
5
1
5
D
6
1
6
D
7
1
7
C
E
1
8
O
E
2
0
W
E
2
1
+
5
V
U
3
G
A
L
2
2
V
1
0
I
/
C
L
K
1
I
2
I
3
I
4
I
5
I
6
I
/
O
1
4
I
/
O
1
5
I
/
O
1
6
I
/
O
1
7
I
/
O
1
9
I
/
O
2
0
I
/
O
2
1
I
/
O
2
2
I
/
O
1
8
I
/
O
2
3
I
7
I
8
I
9
I
1
0
I
1
1
I
1
3
7
4
L
S
0
4
U
1
A
1
2
+
5
V
















C
i
r
c
u
i
t
o















V
C
C








G
N
D
7
4
L
S
0
4

















U
1











1
4












7
Z
8
0

C
P
U















U
2












1
1










2
9
G
A
L
2
2
V
1
0
D









U
3












2
4










1
2
A
T
2
8
C
1
6














U
4












2
4










1
2
7
4
L
S
0
4
U
1
B
3
4
1
0
K
R
2
1
0
K
R
1
0
.
1
u
F
C
1
U
2
Z
8
0

C
P
U
A
0
3
0
A
1
3
1
A
2
3
2
A
3
3
3
A
4
3
4
A
5
3
5
A
6
3
6
A
7
3
7
A
8
3
8
A
9
3
9
A
1
0
4
0
A
1
1
1
A
1
2
2
A
1
3
3
A
1
4
4
A
1
5
5
W
A
I
T
2
4
I
N
T
1
6
N
M
I
1
7
R
S
T
2
6
B
U
S
R
Q
2
5
B
U
S
A
K
2
3
C
L
K
6
I
O
R
Q
2
0
H
A
L
T
1
8
D
0
1
4
D
1
1
5
D
2
1
2
D
3
8
D
4
7
D
5
9
D
6
1
0
D
7
1
3
M
1
2
7
M
R
E
Q
1
9
R
D
2
1
R
E
F
S
H
2
8
W
R
2
2
7
4
L
S
0
4
U
1
C
5
6
S
W
1
R
E
S
E
T
1 Memoria AT28C16 EEPROM de 2K x 8
1 Circuito integrado GAL22V10D
1 Capacitor de 470 uF.

Procedimiento experimental

1. Implemente el circuito mostrado en la figura 4.6 considerando que ya se tienen armados los circuitos de reloj y
reset y solo debern adicionarse las memorias EEPROM AT28C16 y el dispositivo lgico programable GAL22V10D.























Figura 4.6
Facultad de Estudios Superiores Cuautitln UNAM


Prctica 4 Memoria EEPROM o memoria de programa 19
2. La figura 4.7 muestra el circuito armado en 2 protoboard.




















Figura 4.7 Circuito implementado en 2 Protoboard

3. Utilizando el software Z80 Simulator IDE, cuyo icono se muestra a continuacin, seleccione la pestaa
Tools/Assembler para abrir la ventana de edicin segn se muestra en la figura 4.8.














Figura 4.8 Icono y pantallas del software Z80 Simulator IDE.

4. Edite el programa en lenguaje ensamblador mostrado en la figura 4.9 y slvelo con el nombre prac04.asm



Figura 4.9 Programa de conteo empleando el acumulador y el puerto 05H

5. Active la opcin para generar el cdigo hexadecimal del programa y proceda a ensamblarlo para obtener el cdigo
de mquina, tal y como se muestra en la figura 4.10, con esta opcin se generarn 3 archivos adicionales: prac04.lst
Facultad de Estudios Superiores Cuautitln UNAM


Prctica 4 Memoria EEPROM o memoria de programa 20
que contiene un listado de cdigos e instrucciones, prac04.obj que sirve para hacer la simulacin y prac04.hex que
se utiliza para hacer la programacin de la memoria EEPROM.















Figura 4.10 Ensamblado del programa

6. El cdigo de mquina generado se muestra en la figura 4.11, indicndose a travs del recuadro en rojo la localidad
de memoria, el cdigo de mquina y la instruccin correspondiente.



Figura 4.11 Localidad de memoria y cdigo de mquina del programa

7. Programe la memoria EEPROM en el programador universal empleando el archivo prac04.hex que se gener en el
paso anterior y se almacen en el mismo subdirectorio.
8. Escriba la funcin decodificadora de direccin para la habilitacin de la memoria EEPROM en un proyecto de
ISPLEVER tal y como se muestra en la figura 4.12.
9. Programe la GAL con el archivo P04_Contador.jed generado con ISPLEVER y pruebe el sistema completo para
comprobar la presencia de los datos y las instrucciones en el bus de datos del microprocesador, para ello deber
conectar el circuito de leds y cambiar el capacitor del reloj por un capacitor de 470 uF, lo cual reducir la velocidad
del reloj y permitir observar los cambios del bus de datos.
10. Genere una tabla con los valores que se presentan en el bus de datos y compruebe que son los cdigos de las
instrucciones del programa. Deber notar que despus del cdigo de la instruccin OUT (05H),A con cdigo de
mquina D3, 05, aparecer el valor enviado al puerto intercalado con los cdigos de las instrucciones, el cul se ir
incrementando cada vez que se haga un ciclo.
11. Observe la seal de activacin de la memoria EEPROM y dibjela.

Facultad de Estudios Superiores Cuautitln UNAM


Prctica 4 Memoria EEPROM o memoria de programa 21


Figura 4.12 Archivo vhd para el proyecto del decodificador de direccin

Cuestionario

1. Calcule el tiempo de ejecucin de un ciclo completo del programa de la figura 4.9 considerando un reloj de 4MHz. y
la duracin de cada una de las instrucciones que intervienen, especificada en las tablas de instrucciones del
microprocesador Z80.
2. Disee un programa en lenguaje ensamblador que genere un conteo ascendente - descendente en 8 bits y
comprubelo empleando el simulador, entregue los resultados de la simulacin a su profesor de laboratorio.



Facultad de Estudios Superiores Cuautitln UNAM


Prctica 5 Puertos de entrada / salida (Input / Output) 22




Tema

8.3. Interfaces integrados: PIA, PIO, PPI y similares

Objetivos

El alumno realizar la conexin de un circuito de interfaz perifrica programable (PPI 8255) a un
microprocesador Z80.
El alumno programar una GAL22V10D para generar el decodificador de direcciones para el circuito de puertos
8255 y para generar la seal de RESET.
El alumno ensamblar y probar un programa que genera dos patrones de corrimiento de 8 leds conectados
en el puerto A del circuito PPI 8255, seleccionando que patrn se emplea en funcin de un bit de entrada del
puerto B.

Introduccin

Otro elemento en el esquema de Von Neumann son los puertos de entrada /salida o (input / output) los cuales le
permiten al microprocesador conectarse con los dispositivos perifricos y de esta manera trasladar informacin hacia el
microprocesador o del microprocesador hacia los dispositivos perifricos. La figura 5.1 nos muestra estos elementos.
Reloj
Microprocesador
Memoria
ROM
(Programa)
Bus de Datos
Memoria
RAM
(Datos)
Puertos de
Entrada
Puertos de
Salida
Bus de Direcciones
Bus de Control
Dispositivos Perifricos


Figura 5.1 Puertos de entrada /salida (I / O) en el esquema de Von Neumann

Laboratorio de Microprocesadores
Prctica 5
Puertos de entrada / salida (Input / Output)

Facultad de Estudios Superiores Cuautitln UNAM


Prctica 5 Puertos de entrada / salida (Input / Output) 23
Los puertos pueden ser implementados con registros de flip- flops o con circuitos integrados programables que
contienen todos los elementos necesarios para interfazar de forma correcta a los dispositivos perifricos, ahorrando
espacio y complejidad a las conexiones.

En esta prctica emplearemos el circuito integrado 8255 que contiene 3 puertos programables de 8 bits cada uno,
denominados puerto A, puerto B y puerto C y un puerto de control de 8 bits con el cual se configura el funcionamiento
del circuito. Este circuito es un integrado PPI 8255 como el mostrado en la figura 5.2

8
8
8
A
B
CH
Puerto de
Control
4
D0-7
A1
A0
RESET
Port A
PA0-7
Port B
PB0-7
Port C
PC4-7
PC0-3
/RD
/WR
/CS
Vcc (+5V)
GND (0V)
CL
4

Figura 5.2 Circuito PPI 8255

Este circuito pertenece a la familia de circuitos desarrollados por INTEL para el soporte de sus microprocesadores 80XXX
y por lo tanto ya incluye las seales para el control de lectura y escritura, as como para realizar una inicializacin
externa y solo requieren la activacin correcta para las direcciones de los 3 puertos de usuario y el puerto de control. La
figura 5.3 muestra la asignacin de terminales del PPI 8255.
















Figura 5.3 Asignacin de terminales del PPI 8255

Este dispositivo contiene 4 puertos; 3 de usuario y uno de control y por lo tanto solo necesita de 2 lneas de direcciones
(A1 y A0) para definir el puerto al que deber tener acceso segn la tabla 5.1.





Facultad de Estudios Superiores Cuautitln UNAM


Prctica 5 Puertos de entrada / salida (Input / Output) 24

A1 A0 Puerto Direccin
0 0 Puerto A 00H
0 1 Puerto B 01H
1 0 Puerto C 02H
1 1 Puerto de Control 03H

Tabla 5.1 Asignacin de puertos

En la figura 5.4 se muestra el mapa de puertos correspondiente al sistema de microprocesador, donde se observa que la
posicin del circuito PPI 8255 ser en las primeras cuatro posiciones del mapa (puertos 00H, 01H, 02H y 03H) puesto que
no hay ningn otro puerto conectado y no se producirn conflictos.













Figura 5.4 Mapa de Puertos

Para realizar la conexin se deber implementar una funcin que active la seal de CE del PPI y adems genere la seal
de RESET del circuito de puertos como se muestra en la figura 5.5.


Figura 5.5 Circuito decodificador e inversor.

Para realizar la prueba de funcionamiento de todo el sistema se utilizar un programa que generar dos patrones de
corrimiento de 8 bits en el puerto A como se muestra en la figura 5.6.









Figura 5.6 Patrones de corrimiento en 8 leds del puerto A
WR
RD
IORQ
/CE_PPI
A4
A3
A2
U?
OR5
1
2
3
4
5
6
RST
U?A
74LS04
1 2
RESET
U?
XNOR2
1
2
3




4 x 8
PPI 8255
256 puertos
8 bits
00H
03H
SIN USAR

Facultad de Estudios Superiores Cuautitln UNAM


Prctica 5 Puertos de entrada / salida (Input / Output) 25
La seleccin de que patrn se visualizar se determinar a travs del bit PB0 del PPI, insertndole 0V 5V.

Para reducir la velocidad de encendido de los leds, se deber incrementar el valor del capacitor C1 del oscilador de
seal cuadrada a 1uF o a 10 uF.

Este programa no permite la utilizacin de subrutinas debido a que no se cuenta an con memoria SRAM y no se puede
establecer la localidad donde se localizar la pila y por lo tanto el programa repite 8 veces una serie de instrucciones de
consumo de tiempo debido a que el programa debe ser solo secuencial.

Para configurar el PPI 8255 con el puerto A de salida en modo 0, el puerto B de entrada en modo 0 y el puerto C de
salida en modo 0, se deber escribir la palabra de control (82H) de 8 bits en el puerto 03H de acuerdo a la siguiente
asignacin mostrada en la figura 5.7.

















Figura 5.7 Asignacin de bits para la configuracin del PPI 8255

Actividades Previas

1. EI alumno deber realizar la lectura de la prctica de laboratorio.
2. El alumno programar la memoria AT28C16 con el cdigo de mquina generado por el programa mostrado en la
figura 5.9, considerando que en cada localidad debe grabarse un byte.
3. El alumno programar el dispositivo lgico programable (PLD) GAL22V10D con la funcin mostrada en la figura
5.10.
4. Traer el circuito armado

Material

1 Sistema mnimo con Microprocesador Z80, circuito de reloj, circuito de reset, memoria EEPROM AT28C16 y
decodificador de direcciones con la GAL22V10D.
1 Circuito Integrado PPI 8255.
1 Capacitor de 1uF.
1 Capacitor de 10 uF.

Equipo

1 Fuente de C.D.
1 Osciloscopio
1 Multmetro
1 Punta lgica para prueba de tercer estado
1 Circuito de Leds de prueba

Facultad de Estudios Superiores Cuautitln UNAM


Prctica 5 Puertos de entrada / salida (Input / Output) 26
Procedimiento Experimental

1. Implemente el circuito mostrado en la figura 5.11 considerando que ya se tiene armado el sistema mnimo de
microprocesador con la memoria EEPROM y solo deber adicionarse el circuito integrado PPI 8255.
2. Utilice la figura 5.8 para guiarse en la implementacin fsica.



Figura 5.8 Sistema mnimo con puertos de entrada salida

3. Edite el programa en lenguaje ensamblador que se muestra en la figura 5.9 y obtenga el cdigo de mquina.



Figura 5.9 Programa para los corrimientos en el puerto A. (Parte 1).



Facultad de Estudios Superiores Cuautitln UNAM


Prctica 5 Puertos de entrada / salida (Input / Output) 27






Figura 5.9 Programa para los corrimientos en el puerto A. (Parte 2).



Facultad de Estudios Superiores Cuautitln UNAM


Prctica 5 Puertos de entrada / salida (Input / Output) 28



Figura 5.9 Programa para los corrimientos en el puerto A. (Parte 3).

4. Programe la memoria EEPROM en el programador universal con el archivo P05 Registro de Luces.hex.
5. Escriba la funcin habilitadora de la seal CE para el circuito PPI 8255 y un inversor para la seal de RESET en un
proyecto de ISPLEVER tal y como se muestra en la figura 5.10.




Figura 5.10 Programa de decodificacin del sistema mnimo


Facultad de Estudios Superiores Cuautitln UNAM


Prctica 5 Puertos de entrada / salida (Input / Output) 29
6. Programe la GAL con el archivo con terminacin .JED generado en el programa ISPLEVER y pruebe el sistema
completo.
7. Conecte el circuito probador de 8 leds en el puerto A del PPI 8255 y la seal que controla que secuencia de leds que
encender en el bit PB0 y compruebe el funcionamiento de ambos corrimientos.

Cuestionario

1. Calcule el tiempo requerido por el programa para ejecutarse, tomando en cuenta el nmero de ciclos de reloj
necesarios para cada una de las instrucciones.
2. Determine la palabra de control necesaria para configurar el PPI con puerto A bidireccional en modo 2 , puerto B de
salida en modo 0 y los bits restantes del puerto C como salida.
3. Explique el funcionamiento del programa empleado en este sistema.
4. Justifique la utilizacin de las ecuaciones de decodificacin del sistema empleadas en la GAL.


























Facultad de Estudios Superiores Cuautitln UNAM


Prctica 5 Puertos de entrada / salida (Input / Output) 30
U
2
Z
8
0

C
P
U
A
0
3
0
A
1
3
1
A
2
3
2
A
3
3
3
A
4
3
4
A
5
3
5
A
6
3
6
A
7
3
7
A
8
3
8
A
9
3
9
A
1
0
4
0
A
1
1
1
A
1
2
2
A
1
3
3
A
1
4
4
A
1
5
5
W
A
I
T
2
4
I
N
T
1
6
N
M
I
1
7
R
S
T
2
6
B
U
S
R
Q
2
5
B
U
S
A
K
2
3
C
L
K
6
I
O
R
Q
2
0
H
A
L
T
1
8
D
0
1
4
D
1
1
5
D
2
1
2
D
3
8
D
4
7
D
5
9
D
6
1
0
D
7
1
3
M
1
2
7
M
R
E
Q
1
9
R
D
2
1
R
E
F
S
H
2
8
W
R
2
2
7
4
L
S
0
4
U
1
C
5
6
S
W
1
R
E
S
E
T
















C
i
r
c
u
i
t
o















V
C
C








G
N
D
7
4
L
S
0
4

















U
1











1
4












7
Z
8
0

C
P
U















U
2











1
1










2
9
G
A
L
2
2
V
1
0
D









U
3












2
4










1
2
A
T
2
8
C
1
6














U
4











2
4











1
2
6
1
1
6

S
R
A
M










U
5











2
4










1
2
P
P
I

8
2
5
5















U
6











2
6











7
1
N
4
0
0
1
D
1
1
k
R
3
0
.
1
u
F
C
2
U
n
i
v
e
r
s
i
d
a
d

N
a
c
i
o
n
a
l

A
u
t

n
o
m
a

d
e

M

x
i
c
o
F
a
c
u
l
t
a
d

d
e

E
s
t
u
d
i
o
s

S
u
p
e
r
i
o
r
e
s

C
u
a
u
t
i
t
l

n
S
i
s
t
e
m
a

d
e

M
i
c
r
o
p
r
o
c
e
s
a
d
o
r

Z
8
0
M
.

e
n

T
I
.

J
o
r
g
e

B
u
e
n
d

a

G

m
e
z
+
5
v
U
4
A
T
2
8
C
1
6
A
0
8
A
1
7
A
2
6
A
3
5
A
4
4
A
5
3
A
6
2
A
7
1
A
8
2
3
A
9
2
2
A
1
0
1
9
D
0
9
D
1
1
0
D
2
1
1
D
3
1
3
D
4
1
4
D
5
1
5
D
6
1
6
D
7
1
7
C
E
1
8
O
E
2
0
W
E
2
1
+
5
V
U
3
G
A
L
2
2
V
1
0
I
/
C
L
K
1
I
2
I
3
I
4
I
5
I
6
I
/
O
1
4
I
/
O
1
5
I
/
O
1
6
I
/
O
1
7
I
/
O
1
9
I
/
O
2
0
I
/
O
2
1
I
/
O
2
2
I
/
O
1
8
I
/
O
2
3
I
7
I
8
I
9
I
1
0
I
1
1
I
1
3
7
4
L
S
0
4
U
1
A
1
2
+
5
v
U
6
8
2
5
5
D
0
3
4
D
1
3
3
D
2
3
2
D
3
3
1
D
4
3
0
D
5
2
9
D
6
2
8
D
7
2
7
R
D
5
W
R
3
6
A
0
9
A
1
8
R
E
S
E
T
3
5
C
S
6
P
A
0
4
P
A
1
3
P
A
2
2
P
A
3
1
P
A
4
4
0
P
A
5
3
9
P
A
6
3
8
P
A
7
3
7
P
B
0
1
8
P
B
1
1
9
P
B
2
2
0
P
B
3
2
1
P
B
4
2
2
P
B
5
2
3
P
B
6
2
4
P
B
7
2
5
P
C
0
1
4
P
C
1
1
5
P
C
2
1
6
P
C
3
1
7
P
C
4
1
3
P
C
5
1
2
P
C
6
1
1
P
C
7
1
0
7
4
L
S
0
4
U
1
B
3
4
1
0
k
R
2
1
0
k
R
1
0
.
1
u
F
C
1


























Figura 5.11 Sistema mnimo con puertos de entrada
Facultad de Estudios Superiores Cuautitln UNAM


Prctica 6 Memoria SRAM o memoria de datos 31




Tema

3.2 Estructura de los sistemas de memoria

Objetivos

El alumno realizar la conexin de un circuito de memoria SRAM 6116 al sistema de microprocesador Z80
El alumno programar una GAL22V10D para generar el decodificador de direcciones para la memoria SRAM.
El alumno crear un programa que utilice subrutinas y el almacenamiento de datos en la memoria SRAM.

Introduccin

El siguiente elemento en el esquema de Von Neumann es la memoria SRAM o memoria de datos, la cual se emplea
dentro del sistema mnimo para poder almacenar datos binarios que pueden ser empleados por el procesador para
realizar sus operaciones o simplemente como almacenamiento de informacin en forma de tablas. Esta memoria debe
realizar las funciones de lectura y escritura, es decir que debe ser memoria RWM (Read Write Memory), aunque
tpicamente se le conoce como memoria RAM, aun cuando el concepto RAM se refiere al mtodo de acceso y no al tipo
de operaciones posibles, la figura 6.1 nos muestra este elemento.

Reloj
Microprocesador
Memoria
ROM
(Programa)
Bus de Datos
Memoria
RAM
(Datos)
Puertos de
Entrada
Puertos de
Salida
Bus de Direcciones
Bus de Control
Dispositivos Perifricos


Figura 6.1 Memoria RAM en el esquema de Von Neumann

Laboratorio de Microprocesadores
Prctica 6
Memoria SRAM o memoria de datos
Facultad de Estudios Superiores Cuautitln UNAM


Prctica 6 Memoria SRAM o memoria de datos 32
La memoria de datos se emplear para el almacenamiento de la informacin del usuario (variables, tablas, caracteres,
etc.) y para el establecimiento de la pila o Stack necesaria para la ejecucin de las subrutinas que se puedan incluir en los
programas en lenguaje ensamblador.

La SRAM tiene la caracterstica de ser una memoria voltil y esttica y por lo tanto mantiene su informacin mientras el
circuito est alimentado elctricamente sin requerir de refresco de memoria. La DRAM es voltil y dinmica, por lo tanto
si requiere de refresco de memoria peridico. Para la implementacin de este sistema emplearemos memoria SRAM
debido a que no requiere del circuito de refresco y reduce el tamao del sistema.

Para la implementacin de este elemento utilizaremos una memoria SRAM 6116 con capacidad de 2k x 8 como la que se
muestra en la figura 6.2.










Figura 6.2 Memoria SRAM 6116 de 2k x 8

Esta conexin requiere la modificacin de las funciones de Boole establecidas dentro de la GAL para incluir la
caracterstica de activacin de la memoria SRAM.





























Figura 6.3 Posicin de la memoria SRAM dentro del mapa de memoria

EEPROM
AT28C16
2K x 8
64K
65536 localidades
de memoria
8 bits
0000H
07FFH
SRAM
6116
2K x 8
3000H
37FFH
SIN USAR
SIN USAR
Facultad de Estudios Superiores Cuautitln UNAM


Prctica 6 Memoria SRAM o memoria de datos 33
La direccin de memoria donde se localizar la SRAM debe seleccionarse por encima del espacio ocupado por la
memoria EEPROM que fue conectada a partir de la localidad 0000H y hasta la direccin 07FFH (2K x 8), la ubicacin de la
memoria SRAM se establecer en el valor 3000H, el cual, como podemos observar no es consecutivo a la memoria
EEPROM, dejando un espacio libre desde la posicin 0800H hasta la 2FFFH, esto es una prctica comn en sistemas de
microprocesadores ya que previene el espacio suficiente para futuras ampliaciones de la memoria. En la figura 6.3 se
muestra el mapa de memoria.

La seal de activacin de la memoria SRAM se har a travs de una funcin OR con las seales mostradas en la figura 6.4
y la cul se implementar dentro del circuito GAL22V10D.



Figura 6.4 Activacin de la memoria SRAM

Para realizar la prueba de funcionamiento de todo el sistema se utilizar un programa que generar un registro de
corrimiento de 8 bits en el puerto A con espaciamiento de 1 seg entre cambio y cambio y una duracin de encendido de
cada led de 100 ms. Para poder ajustar la duracin de los cambios ser necesario cambiar el capacitor del circuito de
reloj, por un cristal de cuarzo de 4 MHz.

Este programa permite la utilizacin de subrutinas debido a la conexin de la memoria SRAM y sobre este circuito ya se
puede implementar la pila (stack), la pila se establecer a partir de la localidad 37FFH que es la ltima posicin de la
memoria SRAM.

Para configurar el PPI 8255 con todos sus puertos de salida en modo 0 se deber escribir la palabra de control (80H) de 8
bits en el puerto 03H.

Actividades Previas

1. EI alumno deber realizar la lectura de la prctica de laboratorio.
2. El alumno programar la memoria AT28C16 con el cdigo de mquina generado por el programa en lenguaje
ensamblador mostrado en la figura 6.6, considerando que en cada localidad debe grabarse un byte.
3. El alumno programar el dispositivo lgico programable (PLD) GAL22V10D con la funcin mostrada en la figura 6.7.
4. Traer el circuito armado

Material

1 Sistema mnimo con Microprocesador, circuito de reloj, circuito de reset, memoria EEPROM, PPI 8255 y decodificador
de direcciones con GAL22V10D.
1 Circuito Integrado SRAM 6116.

Equipo

1 Fuente de C.D.
1 Osciloscopio
1 Multmetro
1 Punta lgica para prueba de tercer estado
1 Circuito de Leds de prueba

A12
/RD
/MREQ
A11
/CE_SRAM
A15
1
2
3
4
5
6
7
8
A13
A14
1 2
1 2
/WR
U?
XNOR2
1
2
3
Facultad de Estudios Superiores Cuautitln UNAM


Prctica 6 Memoria SRAM o memoria de datos 34
Procedimiento Experimental

1. Implemente el circuito mostrado en la figura 6.8 considerando que ya se tiene armado el sistema mnimo de
microprocesador incluyendo la memoria EEPROM y el PPI 8255 y solo deber adicionarse el circuito integrado 6116
que integra la memoria SRAM.
2. Utilice la figura 6.5 para guiarse en la implementacin fsica.



Figura 6.5 Implementacin de memoria SRAM.

3. Edite el siguiente programa en lenguaje ensamblador, tal y como se muestra en la figura 6.6 y obtenga el cdigo de
mquina.




Figura 6.6 Programa de registro de corrimiento.
Facultad de Estudios Superiores Cuautitln UNAM


Prctica 6 Memoria SRAM o memoria de datos 35
4. Programe la memoria EEPROM en el programador universal con el archivo P06 Registro de corrimiento.hex.
5. Escriba la funcin decodificadora de direccin en un proyecto de ISPLEVER tal y como se muestra en la figura 6.7.
6. Programe la GAL con el archivo con terminacin .jed y pruebe el sistema completo para comprobar el
funcionamiento del sistema.
7. Mida el tiempo de encendido del led y el tiempo entre cambios producido por el sistema, comprobando que se
cumplan los tiempos de diseo.




Figura 6.7 Habilitacin de la memoria SRAM con la GAL22V10D.

Cuestionario

1. Es posible llamar una y otra vez en forma recursiva a las subrutinas con la estructura de la pila de este
microprocesador ?
2. Porque se establece la direccin inicial de la pila en la direccin final de la SRAM y no en la posicin inicial.
3. Justifique la expresin de Boole empleada para la activacin de la SRAM.
4. Explique el concepto LIFO empleado en la pila del microprocesador Z80.
5. Como identifica el microprocesador a cul de las 2 memorias del circuito se est accediendo.






Facultad de Estudios Superiores Cuautitln UNAM


Prctica 6 Memoria SRAM o memoria de datos 36
U
2
Z
8
0

C
P
U
A
0
3
0
A
1
3
1
A
2
3
2
A
3
3
3
A
4
3
4
A
5
3
5
A
6
3
6
A
7
3
7
A
8
3
8
A
9
3
9
A
1
0
4
0
A
1
1
1
A
1
2
2
A
1
3
3
A
1
4
4
A
1
5
5
W
A
I
T
2
4
I
N
T
1
6
N
M
I
1
7
R
S
T
2
6
B
U
S
R
Q
2
5
B
U
S
A
K
2
3
C
L
K
6
I
O
R
Q
2
0
H
A
L
T
1
8
D
0
1
4
D
1
1
5
D
2
1
2
D
3
8
D
4
7
D
5
9
D
6
1
0
D
7
1
3
M
1
2
7
M
R
E
Q
1
9
R
D
2
1
R
E
F
S
H
2
8
W
R
2
2
7
4
L
S
0
4
U
1
C
5
6
















C
i
r
c
u
i
t
o















V
C
C








G
N
D
7
4
L
S
0
4

















U
1











1
4












7
Z
8
0

C
P
U















U
2











1
1










2
9
G
A
L
2
2
V
1
0
D









U
3












2
4










1
2
A
T
2
8
C
1
6














U
4











2
4











1
2
P
P
I

8
2
5
5















U
5











2
6











7
6
1
1
6

S
R
A
M










U
6











2
4










1
2
M
M
C
7
4
C
9
2
2









U
7











1
8











9
S
W
1
R
E
S
E
T
1
N
4
0
0
1
D
1
1
k
R
3
0
.
1
u
F
C
2
U
n
i
v
e
r
s
i
d
a
d

N
a
c
i
o
n
a
l

A
u
t

n
o
m
a

d
e

M

x
i
c
o
F
a
c
u
l
t
a
d

d
e

E
s
t
u
d
i
o
s

S
u
p
e
r
i
o
r
e
s

C
u
a
u
t
i
t
l

n
S
i
s
t
e
m
a

d
e

M
i
c
r
o
p
r
o
c
e
s
a
d
o
r

Z
8
0
M
.

e
n

T
I
.

J
o
r
g
e

B
u
e
n
d

a

G

m
e
z
+
5
V
U
4
A
T
2
8
C
1
6
A
0
8
A
1
7
A
2
6
A
3
5
A
4
4
A
5
3
A
6
2
A
7
1
A
8
2
3
A
9
2
2
A
1
0
1
9
D
0
9
D
1
1
0
D
2
1
1
D
3
1
3
D
4
1
4
D
5
1
5
D
6
1
6
D
7
1
7
C
E
1
8
O
E
2
0
W
E
2
1
+
5
V
U
3
G
A
L
2
2
V
1
0
I
/
C
L
K
1
I
2
I
3
I
4
I
5
I
6
I
/
O
1
4
I
/
O
1
5
I
/
O
1
6
I
/
O
1
7
I
/
O
1
9
I
/
O
2
0
I
/
O
2
1
I
/
O
2
2
I
/
O
1
8
I
/
O
2
3
I
7
I
8
I
9
I
1
0
I
1
1
I
1
3
7
4
L
S
0
4
U
1
A
1
2
+
5
V
U
5
8
2
5
5
D
0
3
4
D
1
3
3
D
2
3
2
D
3
3
1
D
4
3
0
D
5
2
9
D
6
2
8
D
7
2
7
R
D
5
W
R
3
6
A
0
9
A
1
8
R
E
S
E
T
3
5
C
S
6
P
A
0
4
P
A
1
3
P
A
2
2
P
A
3
1
P
A
4
4
0
P
A
5
3
9
P
A
6
3
8
P
A
7
3
7
P
B
0
1
8
P
B
1
1
9
P
B
2
2
0
P
B
3
2
1
P
B
4
2
2
P
B
5
2
3
P
B
6
2
4
P
B
7
2
5
P
C
0
1
4
P
C
1
1
5
P
C
2
1
6
P
C
3
1
7
P
C
4
1
3
P
C
5
1
2
P
C
6
1
1
P
C
7
1
0
U
6
6
1
1
6
A
0
8
A
1
7
A
2
6
A
3
5
A
4
4
A
5
3
A
6
2
A
7
1
A
8
2
3
A
9
2
2
A
1
0
1
9
C
E
1
8
O
E
2
0
W
E
2
1
D
0
9
D
1
1
0
D
2
1
1
D
3
1
3
D
4
1
4
D
5
1
5
D
6
1
6
D
7
1
7
7
4
L
S
0
4
U
1
B
3
4
1
0
k
R
2
1
0
k
R
1
0
.
1
u
F
C
1



































Figura 6.8 Diagrama de conexiones del sistema mnimo
Facultad de Estudios Superiores Cuautitln UNAM


Practica 7 Conexin de dispositivo perifrico de entrada (Teclado) 37




Tema

6.1.1. Control de dispositivos con tcnicas de programacin

Objetivos

El alumno realizar la conexin de un teclado matricial telefnico de 12 teclas al sistema de microprocesador.
El alumno integrar un decodificador de teclado matricial MM74C922.
El alumno programar una GAL para apoyar el funcionamiento del decodificador MM74C922.
El alumno crear y probar un programa en lenguaje ensamblador para obtener el cdigo binario de la tecla
presionada.

Introduccin

El circuito desarrollado hasta la prctica 6 ya conforma el esquema de Von Neumann con todos los elementos necesarios
para la creacin de una computadora, pero an no proporciona interaccin adecuada con el usuario externo. Para que el
sistema tenga mayor utilidad es necesario conectarle dispositivos perifricos de entrada y salida para facilitar la
interaccin con la electrnica del microprocesador tal como se muestra en la figura 7.1.

Reloj
Microprocesador
Memoria
ROM
(Programa)
Bus de Datos
Memoria
RAM
(Datos)
Puertos de
Entrada
Puertos de
Salida
Bus de Direcciones
Bus de Control
Dispositivos Perifricos


Figura 7.1 Dispositivo perifrico de entrada en Esquema de Von Neumann

Los dispositivos perifricos de entrada se emplean para que el usuario externo pueda interactuar con el sistema de
microprocesador y facilitar la insercin de datos externos, entre estos dispositivos se encuentran los teclados, los
Laboratorio de Microprocesadores
Prctica 7
Conexin de dispositivo perifrico de entrada (Teclado)
Facultad de Estudios Superiores Cuautitln UNAM


Practica 7 Conexin de dispositivo perifrico de entrada (Teclado) 38
dispositivos apuntadores como Mouse, Track Ball, Touch Screen, tarjetas de red, audio o video, discos duros, CD, o DVD
y en general cualquier dispositivo que permita enviar datos hacia el microprocesador.

Es por eso que en esta prctica se propone adicionar un teclado matricial de 12 teclas como el mostrado en la figura 7.2,
que nos permitir insertar datos numricos o an alfanumricos si seleccionamos otro tipo de teclado.


Figura 7.2 Teclado telefnico matricial de 12 teclas

Para conectar este teclado se emplear un decodificador de teclado integrado MM74C922 que puede decodificar
teclados de 12 o 16 teclas como se muestra en la figura 7.3.


Figura 7.3 Circuito decodificador MM74C922

El proceso lo realiza a travs del envo de 4 seales de un registro de corrimiento a las terminales de los renglones, las
cuales los activan en forma secuencial uno a uno, tal y como se muestra en la tabla 7.1.







Tabla 7.1 Seales del registro de corrimiento de los renglones

Posteriormente el decodificador reconoce cual de las columnas ha sido presionada y en conjunto con los cdigos de los
renglones, determina cual de las teclas ha sido presionada, de acuerdo a la tabla 7.2.

Y1,X1 Y1,X2 Y1,X3 Y1,X4 Y2,X1 Y2,X2 Y2,X3 Y2,X4 Y3,X1 Y3,X2 Y3,X3 Y3,X4 Y4,X1 Y4,X2 Y4,X3 Y4,X4
A 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1
B 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1
C 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1
D 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1
Tecla 0 1 2 3 4 5 6 7 8 9 A B C D E F

Tabla 7.2 Decodificacin rengln columna de teclado de 16 teclas

ROW Y1 ROW Y2 ROW Y3 ROW Y4
1 0 0 0
0 1 0 0
0 0 1 0
0 0 0 1
Facultad de Estudios Superiores Cuautitln UNAM


Practica 7 Conexin de dispositivo perifrico de entrada (Teclado) 39
Adicionalmente, cada que una tecla es presionada, se genera una seal de dato disponible (DATA AVAILABLE), activa en
alto, que se emplear para producir una interrupcin al microprocesador Z80 en su terminal /INT y as poder leer el dato
en las terminales del puerto C (02H).

Debido a que el decodificador reconoce 16 teclas y nuestro teclado solo tiene 12, se omitir la conexin de la cuarta
columna (X4), lo cual eliminar a los cdigos correspondientes a las teclas 3, 7, B Y F y esto hace que los cdigos binarios
para cada tecla no correspondan con las posiciones del teclado telefnico, en la figura 7.4 se muestra la equivalencia.









Figura 7.4 Cdigos para teclados de 16 teclas y su correspondencia con teclado telefnico de 12 teclas

Este problema deber ser resuelto va software a travs de una tabla de asignacin del cdigo correcto; 0 a 1, 1 a 2, 2 a
3, 3 sin asignacin y as consecutivamente de acuerdo a la tabla 7.3

Cdigo
74C922
0 1 2 3 4 5 6 7 8 9 A B C D E F
Tecla 1 2 3 X 4 5 6 X 7 8 9 X A 0 B X

Tabla 7.3 Cdigos asignados por software

El decodificador posee la caracterstica de salidas en tercer estado controladas por la seal de habilitacin de salida
(OUTPUT ENABLE) activa en nivel bajo, la cual ser proporcionada por la inversin de la seal dato disponible (DATA
AVAILABLE) y generada a travs de la GAL.

El decodificador MM74C922 tambin tiene la funcionalidad de generacin de la frecuencia de oscilacin para
proporcionar la velocidad de escaneo de las teclas y tambin tiene implementado un sistema anti rebotes para evitar la
deteccin de teclas repetidas debidas a los rebotes mecnicos. Estas funcionalidades se obtienen a travs de 2
capacitores que se seleccionan de acuerdo a las grficas de la figura 7.5. Se recomienda que el capacitor de anti rebotes
sea 10 veces mayor que el capacitor de oscilacin.

La captura del dato de 4 bits se realizar a travs de los 4 bits menos significativos del puerto C (02H) y solo se
capturarn a travs de una subrutina de interrupcin activada al momento de presionar una de las teclas. El programa
solo considera la activacin de una tecla y no de 2 juntas pues se tomara la primera en detectarse.


Figura 7.5 Grficas para seleccin de los capacitores de oscilacin y anti rebotes
0 1 2 3
4 5 6 7
8 9 A B
C D E F
Facultad de Estudios Superiores Cuautitln UNAM


Practica 7 Conexin de dispositivo perifrico de entrada (Teclado) 40
Actividades Previas

1. EI alumno deber realizar la lectura de la prctica de laboratorio.
2. El alumno programar la memoria AT28C16 con el cdigo de mquina generado por el programa en lenguaje
ensamblador mostrado en la figura 7.8, considerando que en cada localidad debe grabarse un byte.
3. El alumno programar el dispositivo lgico programable (PLD) GAL22V10D con la funcin mostrada en el proyecto
de ISPLEVER de la figura 7.9.
4. Traer el circuito armado

Material

1 Sistema mnimo con microprocesador Z80, circuito de reloj, circuito de reset, memoria EEPROM AT28C16, memoria
SRAM 6116, decodificador de direcciones con GAL y circuito de puertos PPI 8255.
1 Teclado telefnico matricial de 12 teclas.
1 Circuito MM74C922 decodificador de teclado
1 Capacitor de 0.1F
1 Capacitor de 0.01F

Equipo

1 Fuente de C.D.
1 Osciloscopio
1 Multmetro
1 Punta lgica para prueba de tercer estado
1 Circuito de Leds de prueba

Procedimiento Experimental

1. Implemente el circuito mostrado en la figura 7.7 considerando que ya se tiene armado el sistema mnimo de Von
Neumann y solo deber adicionarse el circuito de decodificacin de teclado MM74C922 y el teclado telefnico de
12 teclas, adems de las conexiones adicionales hacia el microprocesador Z80 que habilitarn el empleo de las
interrupciones y hacia la GAL para realizar la inversin de la seal de control.
2. Utilice la figura 7.6 para establecer la posicin de los circuitos y sus conexiones.



Figura 7.6 Sistema mnimo con decodificador de teclado
Facultad de Estudios Superiores Cuautitln UNAM


Practica 7 Conexin de dispositivo perifrico de entrada (Teclado) 41
C
?
0
.
0
1
u
F
0
C
?0
.
1
u
F
0
2
1
5
4
3
7
6
*
9
8
G
r
i
s
#
0
A
z
u
l
V
i
o
l
e
t
a
N
a
r
a
n
j
a
A
m
a
r
i
l
l
o
R
o
j
o
V
e
r
d
e
U
7
M
M
7
4
C
9
2
2
R
O
W
Y
1
1
R
O
W
Y
2
2
R
O
W
Y
3
3
R
O
W
Y
4
4
O
S
C
5
K
B
O
N
S
M
S
K
6
C
L
M
X
2
1
0
C
L
M
X
1
1
1
D
A
V
B
L
1
2
O
E
1
3
O
D
1
4
O
C
1
5
O
B
1
6
O
A
1
7
C
L
M
X
3
8
C
L
M
X
4
7
U
2
Z
8
0

C
P
U
A
0
3
0
A
1
3
1
A
2
3
2
A
3
3
3
A
4
3
4
A
5
3
5
A
6
3
6
A
7
3
7
A
8
3
8
A
9
3
9
A
1
0
4
0
A
1
1
1
A
1
2
2
A
1
3
3
A
1
4
4
A
1
5
5
W
A
I
T
2
4
I
N
T
1
6
N
M
I
1
7
R
S
T
2
6
B
U
S
R
Q
2
5
B
U
S
A
K
2
3
C
L
K
6
I
O
R
Q
2
0
H
A
L
T
1
8
D
0
1
4
D
1
1
5
D
2
1
2
D
3
8
D
4
7
D
5
9
D
6
1
0
D
7
1
3
M
1
2
7
M
R
E
Q
1
9
R
D
2
1
R
E
F
S
H
2
8
W
R
2
2
7
4
L
S
0
4
U
1
C
5
6
S
W
1
R
E
S
E
T
















C
i
r
c
u
i
t
o















V
C
C








G
N
D
7
4
L
S
0
4

















U
1











1
4












7
Z
8
0

C
P
U















U
2











1
1










2
9
G
A
L
2
2
V
1
0
D









U
3












2
4










1
2
A
T
2
8
C
1
6














U
4











2
4











1
2
P
P
I

8
2
5
5















U
5











2
6











7
6
1
1
6

S
R
A
M










U
6











2
4










1
2
M
M
C
7
4
C
9
2
2









U
7











1
8











9
1
N
4
0
0
1
D
1
1
k
R
3
0
.
1
u
F
C
2
U
n
i
v
e
r
s
i
d
a
d

N
a
c
i
o
n
a
l

A
u
t

n
o
m
a

d
e

M

x
i
c
o
F
a
c
u
l
t
a
d

d
e

E
s
t
u
d
i
o
s

S
u
p
e
r
i
o
r
e
s

C
u
a
u
t
i
t
l

n
S
i
s
t
e
m
a

d
e

M
i
c
r
o
p
r
o
c
e
s
a
d
o
r

Z
8
0
M
.

e
n

T
I
.

J
o
r
g
e

B
u
e
n
d

a

G

m
e
z
+
5
V
U
4
A
T
2
8
C
1
6
A
0
8
A
1
7
A
2
6
A
3
5
A
4
4
A
5
3
A
6
2
A
7
1
A
8
2
3
A
9
2
2
A
1
0
1
9
D
0
9
D
1
1
0
D
2
1
1
D
3
1
3
D
4
1
4
D
5
1
5
D
6
1
6
D
7
1
7
C
E
1
8
O
E
2
0
W
E
2
1
+
5
V
U
3
G
A
L
2
2
V
1
0
I
/
C
L
K
1
I
2
I
3
I
4
I
5
I
6
I
/
O
1
4
I
/
O
1
5
I
/
O
1
6
I
/
O
1
7
I
/
O
1
9
I
/
O
2
0
I
/
O
2
1
I
/
O
2
2
I
/
O
1
8
I
/
O
2
3
I
7
I
8
I
9
I
1
0
I
1
1
I
1
3
7
4
L
S
0
4
U
1
A
1
2
+
5
V
U
5
8
2
5
5
D
0
3
4
D
1
3
3
D
2
3
2
D
3
3
1
D
4
3
0
D
5
2
9
D
6
2
8
D
7
2
7
R
D
5
W
R
3
6
A
0
9
A
1
8
R
E
S
E
T
3
5
C
S
6
P
A
0
4
P
A
1
3
P
A
2
2
P
A
3
1
P
A
4
4
0
P
A
5
3
9
P
A
6
3
8
P
A
7
3
7
P
B
0
1
8
P
B
1
1
9
P
B
2
2
0
P
B
3
2
1
P
B
4
2
2
P
B
5
2
3
P
B
6
2
4
P
B
7
2
5
P
C
0
1
4
P
C
1
1
5
P
C
2
1
6
P
C
3
1
7
P
C
4
1
3
P
C
5
1
2
P
C
6
1
1
P
C
7
1
0
U
6
6
1
1
6
A
0
8
A
1
7
A
2
6
A
3
5
A
4
4
A
5
3
A
6
2
A
7
1
A
8
2
3
A
9
2
2
A
1
0
1
9
C
E
1
8
O
E
2
0
W
E
2
1
D
0
9
D
1
1
0
D
2
1
1
D
3
1
3
D
4
1
4
D
5
1
5
D
6
1
6
D
7
1
7
7
4
L
S
0
4
U
1
B
3
4
1
0
k
R
2
1
0
k
R
1
0
.
1
u
F
C
1
D
1

-

D
8
L
E
D
R
1

-

R
8
0
.
4
7
k























































Figura 7.7 Sistema mnimo con teclado
Facultad de Estudios Superiores Cuautitln UNAM


Practica 7 Conexin de dispositivo perifrico de entrada (Teclado) 42
3. Edite el programa en lenguaje ensamblador de la figura 7.8 y obtenga el cdigo de mquina. Este programa
decodificar el nmero de tecla presionado y lo mostrar en los 4 bits menos significativos del puerto A (00H).




Figura 7.8 Programa para control de teclado

4. Programe la memoria EEPROM en el programador universal con el archivo P07 Teclado.hex.
5. Escriba la funcin decodificadora para la EEPROM, la SRAM, el PPI y la inversin de la seal DAV del teclado en un
proyecto ISPLEVER tal y como se muestra en la figura 7.9


Figura 7.9 Archivo vhd para control del teclado (parte 1)
Facultad de Estudios Superiores Cuautitln UNAM


Practica 7 Conexin de dispositivo perifrico de entrada (Teclado) 43



Figura 7.9 Archivo vhd para control del teclado (parte 2)

6. Programe la GAL con el archivo .jed y pruebe el sistema completo para comprobar el funcionamiento del teclado.
7. Compruebe que el cdigo binario mostrado en las terminales de los 4 bits menos significativos del puerto A son los
correspondientes a cada una de las teclas presionadas.

Cuestionario

1. Disee un sistema de decodificacin de 32 teclas si se emplea para esa funcin a circuitos MM74C922.
2. Justifique el valor del byte de configuracin enviado al PPI en su puerto 03H.
3. Porque es necesario deshabilitar las interrupciones cuando se ejecuta la subrutina de interrupcin.
4. Describa cual es el proceso de interrupcin empleado en este programa, justificando la configuracin y la direccin
de la subrutina.

Facultad de Estudios Superiores Cuautitln UNAM


Prctica 8 Conexin de dispositivo perifrico de salida (Display LCD) 44




Tema

6.1.1. Control de dispositivos con tcnicas de programacin

Objetivos

El alumno realizar la conexin de una pantalla LCD de 16 caracteres por 2 lneas al sistema de
microprocesador.
El alumno crear y probar un programa en lenguaje ensamblador para desplegar y controlar un mensaje
sobre la pantalla.

Introduccin

A travs de esta prctica el alumno le proporcionar al sistema mnimo la capacidad de desplegar mensajes hacia el
usuario e incrementar la interaccin entre el microprocesador y el mundo exterior.

Reloj
Microprocesador
Memoria
ROM
(Programa)
Bus de Datos
Memoria
RAM
(Datos)
Puertos de
Entrada
Puertos de
Salida
Bus de Direcciones
Bus de Control
Dispositivos Perifricos


Figura 8.1 Dispositivo perifrico de salida en esquema de Von Neumann

Los dispositivos perifricos de salida se emplean para que el microprocesador pueda enviar informacin hacia el usuario
externo. Entre los dispositivos que se emplean como salidas estn: los monitores, las impresoras, los discos duros, los
CD, los DVD, las tarjetas de audio, video o redes y en general cualquier dispositivo que pueda ser utilizado para visualizar
o manipular la informacin del sistema mnimo.

Laboratorio de Microprocesadores
Prctica 8
Conexin de dispositivo perifrico de salida (Display LCD)
Facultad de Estudios Superiores Cuautitln UNAM


Prctica 8 Conexin de dispositivo perifrico de salida (Display LCD) 45
Es por eso que en esta prctica se propone adicionar una pantalla inteligente de cristal lquido (LCD) de 16 caracteres x 2
lneas que recibe cdigos en caracteres ASCII y que convierte el cdigo a un mapa de pixeles que se puede desplegar
sobre cada uno de los 16 caracteres de la pantalla o almacenarlo en la memoria interna del display (DDRAM).



Figura 8.2 Pantalla LCD de 16 x 2

Esta pantalla puede recibir ya sea comandos de configuracin para controlar el funcionamiento de la pantalla o datos de
8 bits que representan los caracteres ASCII a desplegar. Estos comandos se muestran en la tabla 8.1.

Instruccin RS R/W DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0 Descripcin
Tiempo de
Ejecucin
Limpia Display 0 0 0 0 0 0 0 0 0 1
Limpia el display completamente y pone la
direccin 0 en el contador de direccin
1.28 ms
Regreso al Origen 0 0 0 0 0 0 0 0 1 -
Pone a 0 el contador de direccin de la
DDRAM, tambin regresa al principio
corrindolo a su posicin original.
La DDRAM mantiene su contenido sin cambio
1.28 ms
Modo de insercin de datos 0 0 0 0 0 0 0 1 I/D S
Establece la direccin de movimiento del
cursor y especifica el corrimiento del display.
Esta operacin se realiza durante la lectura o
escritura de datos.
31us
Control de Encendido /
Apagado del Display
0 0 0 0 0 0 1 D C B
Enciende o apagaga el display (D), el cursor (C)
o el parpadeo.
31us
Corrimiento del display o del
cursor
0 0 0 0 0 1 S/C R/L - -
Mueve el cursor o corre el display sin cambios
en el contenido de la DDRAM
31us
Formato de datos 0 0 0 0 1 DL N F - -
Establece la longitud de los datos (DL), el
nmero de lneas a desplegar (L) y el formato
del carcter (F)
31us
Direccin de la CGRAM 0 0 0 1 ACG ACG ACG ACG ACG ACG
Establece la direccin de CGRAM, los datos
son enviados y recibidos despus de este
comando
31us
Direccin de la DDRAM 0 0 1 ADD ADD ADD ADD ADD ADD ADD
Establece la direccin de CGRAM, los datos
son enviados y recibidos despus de este
comando
31us
Bandera de ocupado en
lectura y direccin
0 1 BF AC AC AC AC AC AC AC
Lee la bandera de ocupado (BF) que indica que
una operacin interna se est realizando y
tambin lee la direccin del contador
0us
Escribir un dato a la DDRAM o
a la CGRAM
1 0 Dato a cargar en la memoria
Escribe un dato dentro de la DDRAM o de la
CGRAM
31us

Leer un dato de la DDRAM o
de la CGRAM
1 1 Dato ledo de la memoria Leer un dato de la DDRAM o de la CGRAM 31us

Tabla 8.1 Comandos del display LCD 16 x 2


Facultad de Estudios Superiores Cuautitln UNAM


Prctica 8 Conexin de dispositivo perifrico de salida (Display LCD) 46
Para la conexin de esta pantalla LCD se utilizarn 2 puertos del circuito PPI 8255:

El puerto A de 8 bits para enviar al display el cdigo ASCII a mostrar en la pantalla o el comando de
configuracin del display.
2 bits del puerto B para enviar las seales de control; habilitacin del display (E) y seleccin de comando o
dato (RS).

Se requieren estas seales de datos y control debido a que el display a emplear tiene 16 terminales como se muestra en
la figura 8.3.

Vcc Vss Vee RS R/W E D0 D1 D2 D3 D4 D5 D6 D7 LD+ LD-



Figura 8.3 Puertos para el control de la pantalla

La figura 8.3 muestra la asignacin de seales para el display LCD 16 x 2.

Terminal Seal Descripcin
1 Vcc + 5 V.c.d.
2 Vss Tierra
3 Vee
Voltaje de control de contraste
0 V. = Contraste mnimo
4 RS Seal de Comando RS =0 Dato RS = 1
5 R/W Seal de Lectura R/W = 1 Escritura R/W =0
6 E Seal de habilitacin del display E = 1
7 - 14 D0 D7 Bus de datos
15 LD+ Positivo del led de iluminacin trasera
16 LD- Negativo del led de iluminacin trasera

Tabla 8.2 Asignacin de terminales del display

Debido a que el display se emplear siempre en modo de escritura, el bit de R/W se conectar directamente a tierra. La
asignacin de los puertos de los bits se har como se muestra en la tabla 8.3.

Terminal Seal Puerto - bit
4 RS PB1
5 R/W Tierra
6 E PB0
7 D0 PA0
8 D1 PA1
9 D2 PA2
10 D3 PA3
11 D4 PA4
12 D5 PA5
13 D6 PA6
14 D7 PA7

Tabla 8.3 Asignacin de terminales del display a los puertos del PPI





Seales de control Seales de datos
Facultad de Estudios Superiores Cuautitln UNAM


Prctica 8 Conexin de dispositivo perifrico de salida (Display LCD) 47
Actividades Previas

1. EI alumno deber realizar la lectura de la prctica de laboratorio.
2. El alumno programar la memoria AT28C16 con el cdigo de mquina generado a partir del programa en lenguaje
ensamblador mostrado en la figura 8.4, considerando que en cada localidad debe grabarse un byte.
3. Traer el circuito armado

Material

1 Sistema mnimo con microprocesador Z80, circuito de reloj, circuito de reset, memoria EEPROM AT28C16,
decodificador de direcciones con GAL22V10, circuito de puertos PPI 8255, decodificador de teclado MM74C922 y
teclado telefnico de 12 teclas.
1 Display LCD 16 x 2

Equipo

1 Fuente de C.D.
1 Osciloscopio
1 Multmetro
1 Punta lgica para prueba de tercer estado
1 Circuito de Leds de prueba

Procedimiento Experimental

1. Implemente el circuito mostrado en la figura 8.7 considerando que ya se tiene armado el sistema mnimo de Von
Neumann y el teclado y solo deber adicionarse la pantalla LCD con sus conexiones de alimentaciones, bus de datos
y seales de control.
2. Edite el programa en lenguaje ensamblador de la figura 8.4, obtenga el cdigo de mquina.
3. Programe la memoria EEPROM.




Figura 8.4 Programa para control y despliegue de mensaje ( Parte 1)



Facultad de Estudios Superiores Cuautitln UNAM


Prctica 8 Conexin de dispositivo perifrico de salida (Display LCD) 48




Figura 8.4 Programa para control y despliegue de mensaje ( Parte 2)

4. Para esta prctica no es necesario modificar la programacin de la GAL22V10D.
5. Este programa escribir el mensaje Laboratorio de en la primera lnea y Microprocesadores 2014-2 en la
segunda lnea, considere que el mensaje de la segunda lnea es ms grande que el tamao del display que es de 16
caracteres y por lo tanto eso indica que los caracteres restantes se escriben en la memoria del display y no se
pueden ver de forma directa tal y como se muestra en las figuras 8.5 y 8.6.










Figura 8.5 Mensaje Parte 1 Figura 8.6 Mensaje Parte 2

Facultad de Estudios Superiores Cuautitln UNAM


Prctica 8 Conexin de dispositivo perifrico de salida (Display LCD) 49
6. Compruebe que se despliega correctamente el mensaje en el display de acuerdo a la figura 8.5 y que despus se
desplaza el mensaje sobre el display como en la figura 8.6. La fecha del semestre debe ser la correcta del semestre
actual.
7. Describa las modificaciones que deben hacerse al programa para realizar un desplazamiento a la derecha del
mensaje.

Cuestionario

1. Describa el procedimiento para programar el display usando datos de 4 bits en lugar de datos de 8 bits.
2. Porque es necesario correr una subrutina de consumo de tiempo despus del envo de cada comando o dato.
3. Existe alguna otra forma de checar que el display est libre para ejecutar el siguiente comando ?

Facultad de Estudios Superiores Cuautitln UNAM


Prctica 8 Conexin de dispositivo perifrico de salida (Display LCD) 50
C
?
0
.
0
1
u
F
0
C
?0
.
1
u
F
0
2
1
5
4
3
8
7
6
*
9
G
r
i
s
#
0
A
z
u
l
V
i
o
l
e
t
a
N
a
r
a
n
j
a
A
m
a
r
i
l
l
o
R
o
j
o
V
e
r
d
e
U
7
M
M
7
4
C
9
2
2
R
O
W
Y
1
1
R
O
W
Y
2
2
R
O
W
Y
3
3
R
O
W
Y
4
4
O
S
C
5
K
B
O
N
S
M
S
K
6
C
L
M
X
2
1
0
C
L
M
X
1
1
1
D
A
V
B
L
1
2
O
E
1
3
O
D
1
4
O
C
1
5
O
B
1
6
O
A
1
7
C
L
M
X
3
8
C
L
M
X
4
7
U
2
Z
8
0

C
P
U
A
0
3
0
A
1
3
1
A
2
3
2
A
3
3
3
A
4
3
4
A
5
3
5
A
6
3
6
A
7
3
7
A
8
3
8
A
9
3
9
A
1
0
4
0
A
1
1
1
A
1
2
2
A
1
3
3
A
1
4
4
A
1
5
5
W
A
I
T
2
4
I
N
T
1
6
N
M
I
1
7
R
S
T
2
6
B
U
S
R
Q
2
5
B
U
S
A
K
2
3
C
L
K
6
I
O
R
Q
2
0
H
A
L
T
1
8
D
0
1
4
D
1
1
5
D
2
1
2
D
3
8
D
4
7
D
5
9
D
6
1
0
D
7
1
3
M
1
2
7
M
R
E
Q
1
9
R
D
2
1
R
E
F
S
H
2
8
W
R
2
2
7
4
L
S
0
4
U
1
C
5
6
















C
i
r
c
u
i
t
o















V
C
C








G
N
D
7
4
L
S
0
4

















U
1











1
4












7
Z
8
0

C
P
U















U
2











1
1










2
9
G
A
L
2
2
V
1
0
D









U
3












2
4










1
2
A
T
2
8
C
1
6














U
4











2
4











1
2
P
P
I

8
2
5
5















U
5











2
6











7
6
1
1
6

S
R
A
M










U
6











2
4










1
2
M
M
C
7
4
C
9
2
2









U
7











1
8











9
S
W
1
R
E
S
E
T
1
N
4
0
0
1
D
1
1
k
R
3
0
.
1
u
F
C
2
U
n
i
v
e
r
s
i
d
a
d

N
a
c
i
o
n
a
l

A
u
t

n
o
m
a

d
e

M

x
i
c
o
F
a
c
u
l
t
a
d

d
e

E
s
t
u
d
i
o
s

S
u
p
e
r
i
o
r
e
s

C
u
a
u
t
i
t
l

n
S
i
s
t
e
m
a

d
e

M
i
c
r
o
p
r
o
c
e
s
a
d
o
r

Z
8
0
M
.

e
n

T
I
.

J
o
r
g
e

B
u
e
n
d

a

G

m
e
z
+
5
V
U
4
A
T
2
8
C
1
6
A
0
8
A
1
7
A
2
6
A
3
5
A
4
4
A
5
3
A
6
2
A
7
1
A
8
2
3
A
9
2
2
A
1
0
1
9
D
0
9
D
1
1
0
D
2
1
1
D
3
1
3
D
4
1
4
D
5
1
5
D
6
1
6
D
7
1
7
C
E
1
8
O
E
2
0
W
E
2
1
+
5
V
U
3
G
A
L
2
2
V
1
0
I
/
C
L
K
1
I
2
I
3
I
4
I
5
I
6
I
/
O
1
4
I
/
O
1
5
I
/
O
1
6
I
/
O
1
7
I
/
O
1
9
I
/
O
2
0
I
/
O
2
1
I
/
O
2
2
I
/
O
1
8
I
/
O
2
3
I
7
I
8
I
9
I
1
0
I
1
1
I
1
3
7
4
L
S
0
4
U
1
A
1
2
+
5
V
U
5
8
2
5
5
D
0
3
4
D
1
3
3
D
2
3
2
D
3
3
1
D
4
3
0
D
5
2
9
D
6
2
8
D
7
2
7
R
D
5
W
R
3
6
A
0
9
A
1
8
R
E
S
E
T
3
5
C
S
6
P
A
0
4
P
A
1
3
P
A
2
2
P
A
3
1
P
A
4
4
0
P
A
5
3
9
P
A
6
3
8
P
A
7
3
7
P
B
0
1
8
P
B
1
1
9
P
B
2
2
0
P
B
3
2
1
P
B
4
2
2
P
B
5
2
3
P
B
6
2
4
P
B
7
2
5
P
C
0
1
4
P
C
1
1
5
P
C
2
1
6
P
C
3
1
7
P
C
4
1
3
P
C
5
1
2
P
C
6
1
1
P
C
7
1
0
U
6
6
1
1
6
A
0
8
A
1
7
A
2
6
A
3
5
A
4
4
A
5
3
A
6
2
A
7
1
A
8
2
3
A
9
2
2
A
1
0
1
9
C
E
1
8
O
E
2
0
W
E
2
1
D
0
9
D
1
1
0
D
2
1
1
D
3
1
3
D
4
1
4
D
5
1
5
D
6
1
6
D
7
1
7
7
4
L
S
0
4
U
1
B
3
4
1
0
k
R
2
1
0
k
R
1
0
.
1
u
F
C
1
+
5
V
D
i
s
p
l
a
y

1
6

x

2























































Figura 8.7 Sistema Mnimo con Display LCD
Facultad de Estudios Superiores Cuautitln UNAM


Prctica 9 Control de velocidad de motor de CD con PWM 51






Tema

6.1.1. Control de dispositivos con tcnicas de programacin

Objetivos

El alumno realizar la integracin de todos los elementos del sistema mnimo para realizar un control de
velocidad de un motor de CD empleando el mtodo de modulacin por ancho de pulso (PWM).
El alumno crear y probar un programa en lenguaje ensamblador para controlar a travs del teclado el
incremento o decremento del ciclo de trabajo de la seal PWM.

Introduccin

A travs de esta prctica el alumno implementar un sistema de control de velocidad de un motor de corriente directa
empleando el mtodo de modulacin por ancho de pulso (PWM) y utilizar el teclado para seleccionar a travs de las
teclas * y #, el incremento y decremento de la velocidad respectivamente. El display se utilizar para mostrar el
letrero que identifica al sistema Motor de CD y para mostrar a travs del signo + - si se est incrementando o
decrementando la velocidad.































Laboratorio de Microprocesadores
Prctica 9
Control de velocidad de motor de CD con PWM
Reloj
Microprocesador
Memoria
ROM
(Programa)
Bus de Datos
Memoria
RAM
(Datos)
Puertos de
Entrada
Puertos de
Salida
Bus de Direcciones
Bus de Control
Dispositivos Perifricos
Teclado Display LCD
Motor de CD
Figura 9.1 Sistema mnimo integrado
con dispositivos perifricos y motor
de CD

Facultad de Estudios Superiores Cuautitln UNAM


Prctica 9 Control de velocidad de motor de CD con PWM 52


+5V
Q1
TIP31
Motor CD
1
2
Bit 6 puerto B
El mtodo denominado modulacin por ancho de pulso (PWM) se lleva a cabo generando una seal cuadrada con un
periodo fijo, lo que define la frecuencia de la seal y controlando el tiempo que dura en estado alto lo cual define el ciclo
de trabajo, o sea el porcentaje de tiempo que la seal dura en estado alto con respecto al periodo de tiempo.

La figura 9.2 muestra las relaciones entre los parmetros periodo (T ), el tiempo en estado alto (th) y el tiempo en
estado bajo (tl ), as como la definicin matemtica del ciclo de trabajo (DC ).








Donde: T = Periodo
th = Tiempo en estado alto
tl = Tiempo en estado bajo
DC (duty cycle) = Ciclo de trabajo =

+
=



Figura 9.2 Seal PWM

En este tipo de seal PWM es posible notar que mientras menor sea el ciclo de trabajo, menor ser el voltaje medio de
la seal ( ) y por lo tanto el nivel de corriente directa (CD) proporcionado por la seal cuadrada tal y como se muestra
en la figura 9.3, lo cual se representa por la intensidad luminosa del foco.












Figura 9.3 Seal PWM con ciclos de trabajo y voltajes medios crecientes

Por lo tanto el programa controlar la anchura del tiempo en estado alto pero manteniendo el valor del periodo de
trabajo, dicho cambio se har incrementando o disminuyendo el valor a travs de las teclas * y #.

La seal generada por el microprocesador en el bit 6 del puerto B se aplicar a travs de un transistor de potencia en la
configuracin de seguidor de emisor, tal y como se muestra en la figura 9.4. En este diagrama la alimentacin del motor
es de +5V, pero si el motor es de +12V entonces la alimentacin del colector del transistor deber adecuarse a estas
condiciones.










Figura 9.4 Seguidor de emisor para control del motor de CD con seal PWM.
T
th tl
= 2.3V
= 1.0V
= 4.8V

DC = 20%
DC = 50%
DC = 95%
Facultad de Estudios Superiores Cuautitln UNAM


Prctica 9 Control de velocidad de motor de CD con PWM 53


Actividades Previas

1. EI alumno deber realizar la lectura de la prctica de laboratorio.
2. El alumno programar la memoria AT28C16 con el cdigo de mquina obtenido a partir del programa en lenguaje
ensamblador mostrado en la figura 9.7, considerando que en cada localidad debe grabarse un byte.
3. El alumno realizar la simulacin del circuito de potencia controlado por seal PWM empleando el circuito
mostrado en la figura 9.5. modificando los porcentajes de la seal PWM en el parmetro mostrado en la figura 9.6 y
anotando los voltajes del vltmetro de AC.
4. Realizar la simulacin para los porcentajes de 10% a 90% con incrementos de 10%.
5. Traer el circuito armado.


Figura 9.5 Circuito para simulacin en Proteus



Figura 9.6 Parmetros de la seal de pulso

Material

1 Sistema mnimo con microprocesador Z80, circuito de reloj, circuito de reset, memoria EEPROM AT28C16,
decodificador de direcciones con GAL22V10, circuito de puertos PPI 8255, decodificador de teclado MM74C922,
teclado telefnico de 12 teclas y display LCD.
1 Transistor TIP31
1 Motor de CD. De 5V de 12V

Ancho de
pulso
Facultad de Estudios Superiores Cuautitln UNAM


Prctica 9 Control de velocidad de motor de CD con PWM 54


Equipo

1 Fuente de C.D.
1 Osciloscopio
1 Multmetro
1 Punta lgica para prueba de tercer estado
1 Circuito de Leds de prueba

Procedimiento Experimental

1. Implemente el circuito mostrado en la figura 9.9 considerando que ya se tiene armado el sistema mnimo de Von
Neumann, el teclado, la pantalla LCD con sus conexiones de alimentaciones, bus de datos y seales de control.
2. Considere que deber adecuar la alimentacin del colector del transistor en funcin del voltaje nominal del motor
de CD. que vaya a usar.
3. Edite el programa en lenguaje ensamblador de la figura 9.7, y obtenga el cdigo de mquina.




Figura 9.7 Programa para control de velocidad del motor de CD. (Parte 1)

Facultad de Estudios Superiores Cuautitln UNAM


Prctica 9 Control de velocidad de motor de CD con PWM 55








Figura 9.7 Programa para control de velocidad del motor de CD. (Parte 2)

4. Programe la memoria AT28C16 con el programa P09 Motor CD.hex.
5. La funcin decodificadora de la GAL22V10D permanecer sin cambio y por lo tanto no debe realizarse ninguna
accin sobre dicho circuito.
6. Compruebe que se despliega correctamente el mensaje Motor de CD en el display de acuerdo a la figura 9.8. y
que en la segunda lnea aparece el smbolo + o - de acuerdo a la tecla presionada.
7. Observe en el osciloscopio la seal de salida en el emisor del transistor, midiendo los valores del periodo y los
tiempos en estado bajo y en estado alto, calcule el valor del ciclo de trabajo.

Facultad de Estudios Superiores Cuautitln UNAM


Prctica 9 Control de velocidad de motor de CD con PWM 56





Figura 9.8 Programa de control de velocidad de motor de CD con reduccin de velocidad

8. Reduzca la velocidad al valor mnimo posible presionando y liberando la tecla # y anote el voltaje medio en CD,
medido en la terminal del emisor del transistor, que es el mismo que se aplica al motor de CD.
9. Haga una tabla de 5 valores del periodo, tiempo en estado alto, tiempo en estado bajo y ciclo de trabajo.
10. Aumente la velocidad al valor mximo posible presionando y liberando la tecla * y anote el voltaje medio en CD,
medido en la terminal del emisor del transistor, que es el mismo que se aplica al motor de CD.
11. Haga una tabla de 5 valores del periodo, tiempo en estado alto, tiempo en estado bajo y ciclo de trabajo.

Cuestionario

1. Indique en qu forma se comporta el voltaje medio de la seal de alimentacin del motor en funcin del ciclo de
trabajo de la seal PWM.
2. Qu ventajas tiene controlar sistemas de CD empleando seales PWM.


































Facultad de Estudios Superiores Cuautitln UNAM


Prctica 9 Control de velocidad de motor de CD con PWM 57


0
C
?
0
.
0
1
u
F
C
?0
.
1
u
F
0
1
3
2
6
5
4
9
8
7
0
*
G
r
i
s
#
A
z
u
l
V
i
o
l
e
t
a
N
a
r
a
n
j
a
A
m
a
r
i
l
l
o
R
o
j
o
V
e
r
d
e
U
7
M
M
7
4
C
9
2
2
R
O
W
Y
1
1
R
O
W
Y
2
2
R
O
W
Y
3
3
R
O
W
Y
4
4
O
S
C
5
K
B
O
N
S
M
S
K
6
C
L
M
X
2
1
0
C
L
M
X
1
1
1
D
A
V
B
L
1
2
O
E
1
3
O
D
1
4
O
C
1
5
O
B
1
6
O
A
1
7
C
L
M
X
3
8
C
L
M
X
4
7
U
2
Z
8
0

C
P
U
A
0
3
0
A
1
3
1
A
2
3
2
A
3
3
3
A
4
3
4
A
5
3
5
A
6
3
6
A
7
3
7
A
8
3
8
A
9
3
9
A
1
0
4
0
A
1
1
1
A
1
2
2
A
1
3
3
A
1
4
4
A
1
5
5
W
A
I
T
2
4
I
N
T
1
6
N
M
I
1
7
R
S
T
2
6
B
U
S
R
Q
2
5
B
U
S
A
K
2
3
C
L
K
6
I
O
R
Q
2
0
H
A
L
T
1
8
D
0
1
4
D
1
1
5
D
2
1
2
D
3
8
D
4
7
D
5
9
D
6
1
0
D
7
1
3
M
1
2
7
M
R
E
Q
1
9
R
D
2
1
R
E
F
S
H
2
8
W
R
2
2
















C
i
r
c
u
i
t
o















V
C
C








G
N
D
7
4
L
S
0
4

















U
1











1
4












7
Z
8
0

C
P
U















U
2











1
1










2
9
G
A
L
2
2
V
1
0
D









U
3












2
4










1
2
A
T
2
8
C
1
6














U
4











2
4











1
2
P
P
I

8
2
5
5















U
5











2
6











7
6
1
1
6

S
R
A
M










U
6











2
4










1
2
M
M
C
7
4
C
9
2
2









U
7











1
8











9
7
4
L
S
0
4
U
1
C
5
6
S
W
1
R
E
S
E
T
1
N
4
0
0
1
D
1
1
k
R
3
0
.
1
u
F
C
2
U
n
i
v
e
r
s
i
d
a
d

N
a
c
i
o
n
a
l

A
u
t

n
o
m
a

d
e

M

x
i
c
o
F
a
c
u
l
t
a
d

d
e

E
s
t
u
d
i
o
s

S
u
p
e
r
i
o
r
e
s

C
u
a
u
t
i
t
l

n
S
i
s
t
e
m
a

d
e

M
i
c
r
o
p
r
o
c
e
s
a
d
o
r

Z
8
0
M
.

e
n

T
I
.

J
o
r
g
e

B
u
e
n
d

a

G

m
e
z
+
5
V
U
4
A
T
2
8
C
1
6
A
0
8
A
1
7
A
2
6
A
3
5
A
4
4
A
5
3
A
6
2
A
7
1
A
8
2
3
A
9
2
2
A
1
0
1
9
D
0
9
D
1
1
0
D
2
1
1
D
3
1
3
D
4
1
4
D
5
1
5
D
6
1
6
D
7
1
7
C
E
1
8
O
E
2
0
W
E
2
1
+
5
V
U
3
G
A
L
2
2
V
1
0
I
/
C
L
K
1
I
2
I
3
I
4
I
5
I
6
I
/
O
1
4
I
/
O
1
5
I
/
O
1
6
I
/
O
1
7
I
/
O
1
9
I
/
O
2
0
I
/
O
2
1
I
/
O
2
2
I
/
O
1
8
I
/
O
2
3
I
7
I
8
I
9
I
1
0
I
1
1
I
1
3
7
4
L
S
0
4
U
1
A
1
2
+
5
V
+
5
V
U
5
8
2
5
5
D
0
3
4
D
1
3
3
D
2
3
2
D
3
3
1
D
4
3
0
D
5
2
9
D
6
2
8
D
7
2
7
R
D
5
W
R
3
6
A
0
9
A
1
8
R
E
S
E
T
3
5
C
S
6
P
A
0
4
P
A
1
3
P
A
2
2
P
A
3
1
P
A
4
4
0
P
A
5
3
9
P
A
6
3
8
P
A
7
3
7
P
B
0
1
8
P
B
1
1
9
P
B
2
2
0
P
B
3
2
1
P
B
4
2
2
P
B
5
2
3
P
B
6
2
4
P
B
7
2
5
P
C
0
1
4
P
C
1
1
5
P
C
2
1
6
P
C
3
1
7
P
C
4
1
3
P
C
5
1
2
P
C
6
1
1
P
C
7
1
0
U
6
6
1
1
6
A
0
8
A
1
7
A
2
6
A
3
5
A
4
4
A
5
3
A
6
2
A
7
1
A
8
2
3
A
9
2
2
A
1
0
1
9
C
E
1
8
O
E
2
0
W
E
2
1
D
0
9
D
1
1
0
D
2
1
1
D
3
1
3
D
4
1
4
D
5
1
5
D
6
1
6
D
7
1
7
7
4
L
S
0
4
U
1
B
3
4
1
0
k
R
2
1
0
k
R
1
0
.
1
u
F
C
1
+
5
V
D
i
s
p
l
a
y

1
6

x

2
Q
1
T
I
P
3
1
M
o
t
o
r

C
D
12























































Figura 9.9 Sistema Mnimo con Display LCD

Das könnte Ihnen auch gefallen