Sie sind auf Seite 1von 8

SEGUNDA ACTIVIDAD EVALUABLE

FUNDAMENTOS de SISTEMAS DIGITALES

1: Asignatura:

Diseo, Implementacin y Simulacin de un Circuito en Lgica


Secuencial

2: Ttulo de la Actividad:

3: Datos personales:
- Nombre y Apellidos:
- DNI:
- Centro asociado:
4: Cdigo de la actividad que le ha correspondido realizar: A-E-2-035
5: Enunciado.
Enunciado A-E-2-035
Esta actividad consiste en el diseo e implementacin en el un circuito secuencial que consta de
tres bloque funcionales que realizan funciones claramente diferentes. El primer bloque consiste en
construir un reloj con el circuito de tiempo 555 funcionando como astable. El segundo bloque
consiste en obtener, a partir de este reloj, una onda cuadrada de mayor periodo y, por ultimo, el
tercer bloque consiste en construir un autmata finito controlado por el tren de pulsos anterior y que
acte de una forma u otra en funcin de si este pulso est en alta o en baja. Por tanto, el esquema a
nivel de diagrama de bloques del circuito a disear y simular es el de la siguiente figura:

Reloj
555

Reloj

t 1 t2

Generador
de la seal
de control,
x

Circuito
secuencial
de 2 bits

Q1
Q0

Reloj
X

tm = 4T

tm = 4T

Disee el sistema lgico secuencial de la figura para que el circuito secuencial de 2 bits
realice las siguientes funciones:
- Si x=1 no cambia de estado. Es decir, permanece en el mismo estado con independencia
del estado en el que se encuentre.
- Si x=0 y est en el estado 01 en el estado 10 en el estado 11 pasa al estado 00 y si
est en el estado 00 pasa al estado 10.

Apellidos, nombre:
DNI:

1/8

Fundamentos de Sistemas Digitales

A-E-2-035

6: Realizacin del diseo y explicacin de los pasos seguidos para la realizacin del diseo
de los distintos bloques funcionales.
El circuito secuencial pedido en la prctica lo podemos dividir en 3 bloques, el primero
correspondiente al generador de reloj, el segundo el generador de seal de control y el
ultimo el circuito secuencial de 2 bit. El diseo y la explicacin de cada bloque ha sido el
siguiente:
Generador de Seal de Reloj: Este circuito consta principalmente del integrado 555 en
modo de funcionamiento astable, conectando como se muestra en el siguiente esquema:

Aqu para el diseo del circuito se debe de tener en cuenta los valores de los
componentes, para ser mas exactos los valores de RA, RB y C. Modificando estos
valores podemos variar la frecuencia de oscilacin, as como la duracin de la seal en
alta y en Baja. Se ha optado a utilizar esta opcin con el diodo en paralelo con RB para
que la corriente de carga pase a travs de RA y D, mientras que la corriente de descarga
es a travs de RB, con lo cual si ponemos ambos valores iguales tendremos una seal
casi simtrica (despreciando la resistencia que opone el diodo en conduccin),
quedndonos las formulas de tiempo de carga (t1) y tiempo de descarga (t2)
independiente una de otra:
t1 0,69RAC
t2 0,69RBC
T = t1+t2 = 0,69(RA+RB)C
Al final he optado por tomar un valor de RA de 10K y un valor de RB de 10K y el
valor del condensador he puesto el valor de 10nF, obteniendo los siguientes resultados:
t1 0,69101031010-12 69Seg.
t2 0,69101031010-12 69Seg.
T t1+t2 69+69 138Seg.
Con lo cual usando estos valores podemos decir que tenemos casi un ciclo de trabajo
del 50%, y una frecuencia de 7,24KHz.
En la prctica he obtenido un t1 88Seg. y t2 69Seg.

Apellidos, nombre:
DNI:

2/8

Fundamentos de Sistemas Digitales

A-E-2-035

Generador de la Seal de Control: Para realizar este generador se realiza con un


contador uso el SN74393 como recomiendan en la gua de estudio. Este bloque es
simple de implementar, puesto que para realizar lo que indica el enunciado simplemente
se debe de coger la salida QC del contador, puesto que esta salida estar a 1 cada 4
pulsos de entrada, quedando el esquema de la siguiente manera:

En el contador hay que tener en cuenta que para que funcione correctamente le debemos
de aplicar un pulso de reset para inicializarlo.
Circuito Secuencial de 2 bit: En este bloque se debe de disear el circuito secuencial, y
lo primero que se ha de realizar es el diagrama de transicin con las pautas que se dan
en el enunciado, quedando finalmente el siguiente diagrama de transicin:

X=1

X=1

X=0

S0

S1

Estado

X=0
X=0

X=0

S2

S3

QA QB

S0

S1

S2

S3

X=1

X=1

Como se puede ver en el diagrama de transiciones tenemos dos estado (S1 y S2) al cual
no le llegan ninguna flecha, por lo tanto estos dos estados nunca podremos llegar a
ellos, solamente se podr llegar cuando alguna vez se ponga algunos de estos dos
estados como condicin inicial, se podra eliminar ambos estados e implementar una
seal de reset para iniciar siempre los biestables en estado S0 (QA=QB=0) y por tanto
solamente quedaran dos estados que se implementara utilizando solamente un
biestable D. Pero como en la practica piden un circuito secuencial de 2 bit, realizo todos
los clculos con dos biestables. Obteniendo las siguientes matrices de transicin:
0010
x 1 0 0 0
1000
1000

Apellidos, nombre:
DNI:

1000
x 0 1 0 0
0010
0001

3/8

Fundamentos de Sistemas Digitales

A-E-2-035

Con estas dos matrices de transicin, podemos sacar la matriz funcional que sera la
siguiente:
0010
1000
M(x) = x 1 0 0 0 + x
1000

1000
0100
0010
0001

x 0 x 0
x x 0 0
= x 0 x 0
x 0 0 x

De esta matriz funcional podemos sacar la funcin de excitacin se seran las siguientes:
D1 =QAQB x + QAQB x + QA QB x =QAQB x +QA x (QB + QB) = QA QB x +QA x
D2 = QA QB x + QA QB x = QB x (QA + QA) = QB x
Una vez que tenemos las funciones de excitacin, solamente tendremos que realizar el
circuito que nos quedara de la siguiente forma:

Como se puede observar en el esquema, la funcin de excitacin D1 se realiza antes de


llegar al biestable U1A y la funcin D2 se realiza antes de llegar a U1B. Tambin se
puede observar que he puesto las entradas de preset a 1 y en las de clear le aplico un
pequeo pulso para inicializar los biestables al estado 00, que jugando con estas
entradas he podido poder comprobar todos los estados funcionan correctamente (mas
adelante enseo los diferentes cronogramas obtenidos).

Apellidos, nombre:
DNI:

4/8

Fundamentos de Sistemas Digitales

A-E-2-035

7: Esquemas capturados del simulador, primero presente los esquemas de cada uno de los
bloques funcionales por separado y despus presente el del circuito completo.
Los esquemas de los diferentes bloques ya se ha mostrado en el punto anterior, quedando
solamente la conexin entre los tres bloques, que sera el siguiente esquema:

Como se puede observar he conectado todos los reset a un pequeo pulso de inicializacin
para iniciar los tres bloques simultneamente, teniendo en cuenta que la entrada CLR del
contador no esta negada, con lo cual la he tenido que negar
8: Descripcin de los parmetros de los componentes utilizados.
Los componentes utilizados en los diferentes bloques son los siguientes:
Generador de Seal de Reloj: En este bloque se ha usado el integrado 555 como ya se
ha comentado antes, junto con los valores de RA de 10K, RB de 10K y C de 10nF.
He tomado estos valores de los componentes puesto que son valores reales y bastantes
comunes.
Generador de la Seal de Control: Aqu el nico componente utilizado es el integrado
SN74393, que es un contador de 4 bit. Para la seal del entrada he utilizado el
componente DigClock, estando la seal en alta 69seg. y en baja otros 69seg.
simulando los clculos obtenidos en el generador de seal de reloj. Aparte he usado otro
DigClock para aplicarle un pequeo pulso de reset al contador, para inicializarlo, puesto
que sin aplicar esta seal de reset el contador nos da una salida al azar (el simulador sale
el estado X indefinido).
Circuito Secuencial de 2 bit: En este bloque se utiliza el integrado SN7474 que esta
formado por dos biestables tipo D, tambin se utiliza puertas AND y puertas OR para
realizar las funciones de excitacin D1 y D2. El integrado utilizado para las puertas
AND es el SM7411 que es un integrado que tiene 3 puertas AND que es el numero de
puertas que utilizamos en el diseo, dos puertas que necesitamos son de dos entradas en
vez de 3, y lo que realizo es poner a 1 permanente una de las entradas de la puerta AND
y utilizar las otras dos entradas que tiene la puerta, despus tambin utilizo el SN7432
que es una puerta OR y el SN7404 que es la puerta NOT.
A parte de todos estos circuitos, como seales de excitacin he utilizado dos DigClock
uno con la frecuencia del reloj, es decir, esta 69seg. en alta y otros 69seg. en baja. Y
Apellidos, nombre:
DNI:

5/8

Fundamentos de Sistemas Digitales

A-E-2-035

el otro DigClock con la frecuencia dividida entre 4 (552seg. en alta y 552seg. en


baja)
9: Cronograma de todas las seales (entrada, control y salida).
Los cronogramas de los diferentes bloques son los siguientes:
Generador de Seal de Reloj: El cronograma que se obtiene al simular el circuito de
este bloque es el siguiente:

En este cronograma se puede observar la tensin que hay en el condensador, tanto en el


tiempo de carga, como la descarga. As como la seal que se obtiene en la salida del
555.
Generador de la Seal de Control: El cronograma que se obtiene al simular el circuito
de este bloque es el siguiente:

En el cronograma se puede observar como cada 4 pulsos de la se seal de reloj (la seal
In) obtenemos a la salida (Out) un pulso de duracin de otros 4 ciclos de la seal de
reloj.
Circuito Secuencial de 2 bit: En este bloque he realizado diferentes cronograma,
dependiendo de la situacin inicial, como he comentado antes. En el circuito secuencial
hay dos estados que nunca llegaremos a ellos (los estados S1 y S3) y la nica forma de
ver que en dichos estados funciona correctamente el circuito es poniendo estos estado
como condicin inicial, esto lo realizo jugando con las entradas de reset y preset de los
biestables, obteniendo los siguientes cronogramas para cada una de las opciones.
o Inicializando los biestables a 00 (partimos del estado S0):

Como se puede observar, al inicio del cronograma vemos como el circuito esta
en el estado S0 (00), y el valor de x es 1, con lo cual en los siguientes pulsos de
Apellidos, nombre:
DNI:

6/8

Fundamentos de Sistemas Digitales

A-E-2-035

reloj el circuito no cambia de estado se mantiene en S0 hasta que x = 0. Esto


ocurre en el quinto pulso de reloj, que se pasa al estado S2 (10).
En el siguiente pulso de reloj, estamos en el estado S2 y la x = 0 volvemos a
regresar al estado S0, y se vuelve a repetir este mismo proceso con las dos
siguientes seales de reloj. En la novena seal de reloj es funcionamiento ya es
diferente, puesto que se est en el estado S0 y x = 1, con lo cual no se cambia de
estado, continuamos en el estado S0 hasta que x vuelva a valer 0. Despus se
vuelve a repetir de nuevo todo este proceso.
o Inicializando los biestables a 01 (partimos del estado S1):

En este cronograma empieza con el estado S1 (01) y con un x = 1, con lo cual


mientras x este a 1 no se cambia de estado, esto ocurre hasta llegar el quinto
pulso de reloj. Aqu x ya es 0, por lo tanto pasamos al S0 y se empieza a repetir
lo comentado en el apartado anterior.
o Inicializando los biestables a 10 (partimos del estado S3):

Aqu en este cronograma iniciamos con el estado S2 (10) y se comporta como en


las anteriores ocasiones se mantiene en este estado hasta que x sea 0 donde pasa
al estado S0 y se repite lo comentado anteriormente.
o Inicializando los biestables a 11 (partimos del estado S3):

Aqu en este cronograma iniciamos con el estado S3 (11) y se comporta como en


las anteriores ocasiones se mantiene en este estado hasta que x sea 0 donde pasa
al estado S0 y se repite lo comentado anteriormente.

Apellidos, nombre:
DNI:

7/8

Fundamentos de Sistemas Digitales

A-E-2-035

Todos estos cronogramas son los de los bloques, que simulando el circuito completo obtenemos
el siguiente cronograma:

Como podemos observar en el cronograma tenemos la parte digital en la parte superior y la


analgica del circuito en la parte inferior. Y se ve como concuerda el funcionamiento del
circuito a lo pedido en el enunciado de la prctica, es decir, creamos una seal de reloj (en la
parte inferior del cronograma), despus la seal de control x que es cuatro veces la seal de
reloj, y el funcionamiento del circuito secuencial, que en este caso solamente nos movemos por
los estados S0 y S2.
10: Explicacin del funcionamiento y verificacin de que el circuito funciona de acuerdo con
las especificaciones del diseo.
Como se puede observar en los anteriores cronogramas el bloque correspondiente al
circuito generador de la seal de reloj, funciona correctamente, puesto que si nos fijamos
en la carga y descarga del condensador este se dispara acorde con la teora estudiada, es
decir se carga a 2Vcc/3 a travs de RA y RB (en este caso seria a travs de RB) y se
descarga hasta llegar a Vcc/3.
En el bloque del generador de la seal de control se observa tambin en el cronograma que
al principio a la salida no tenemos ningn estado, hasta que se le aplica una seal de reset
al contador, una vez aplicada esta seal, se puede observar como nos cuenta 4 pulsos de la
seal de reloj y la salida pasa a estar activa, donde si nos fijamos veremos que hay un
pequeo retardo debido a los biestables.
En el bloque del circuito secuencia hemos comprobado con los cronogramas que en todos
los estados el circuito se comporta como lo pedido en la practica aunque algunos estados
sea imposible llegar si no es por condicin inicial.
Al final todos estos bloques conectado funcionan correctamente como se ha mostrado en el
ultimo cronograma.
11: Explicacin de los problemas/dificultades encontrados y explicacin de la forma y el
medio por el que se han resuelto.
Los problemas que he tenido al realizar la practica ha sido mas que problemas dudas a la
hora de realizar los diseos con el circuito secuencial como ya he comentado, y la forma de
mostrar todos los estados en los que puede estar los biestables.

*********
Apellidos, nombre:
DNI:

8/8

Das könnte Ihnen auch gefallen