Beruflich Dokumente
Kultur Dokumente
IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 22, NO. 12, DECEMBER 2014
I. I NTRODUCTION
RADITIONAL planar devices face considerable processing challenges in sustaining further transistor scaling.
These challenges include severe short-channel effects (SCEs),
e.g., high drain-induced barrier lowering (DIBL) and threshold
voltage (Vth ) rolloff. Countering these challenges requires
heavy channel doping, which, unfortunately, makes the transistor susceptible to significant process variations that can
degrade circuit performance and increase leakage power, thus
lowering the die yield. This has led to the advent of multigate
field-effect transistors (FETs) [1]. The presence of multiple
gates surrounding the channel enables much tighter control
of the electrostatic property of the channel. Among such
multigate FETs, FinFETs have been shown to hold the most
promise. They offer higher performance and lower power at
similar fabrication cost [2]. This has led several companies to
announce a switch to FinFETs at the upcoming technology
nodes.
The lightly doped channel of a FinFET improves its
resistance to process variations. For example, the static noise
margin of the FinFET implementation of a static RAM cell
is shown to be much better than its bulk CMOS implementation [3]. However, FinFETs still face intradie and interdie
process variations in a number of parameters, such as gate
length, fin thickness, work function, and oxide thickness, all of
which have an impact on the delay and power characteristics
of FinFET logic gates, and thus the die yield. In addition, the
IR drop, imperfect distribution of the voltage supply
network, and operating temperature also change the circuit
characteristics.
In the last decade, statistical static timing analysis (SSTA)
has been actively researched to address the issue of process
variations, since static timing analysis (STA) targeted at corner
cases has been shown to be inadequate [4] [16]. In addition,
while mixed-mode technology computer-aided design (TCAD)
simulation [17] has been used to study the behavior of
a FinFET, computational complexity prevents TCAD from
simulating large circuits using device simulation. Therefore,
an efficient and accurate SSTA algorithm tailored to FinFET
circuits needs to be developed. Finally, since the impact of
supply voltage and temperature variation on power and delay
of FinFET circuits is significant, they should be considered,
together with process variations.
In this paper, we first describe delay/leakage macromodels
for FinFET logic gates of various sizes based on detailed
device simulation using mixed-mode TCAD. We present
models to analyze both the gate delay dgate and output
slope Sout with reasonable accuracy. We extend an existing
SSTA algorithm [11] to FinFET circuits, considering process,
voltage, and temperature (PVT) variations. We show what
impact power optimization of a FinFET circuit using Synopsys
design compiler [18] can have on its delay and power distributions. Since leakage increases exponentially with increasing
temperature and temperature increases with increasing power
consumption, it is important to analyze circuits where leakage
and temperature are in equilibrium. We analyze FinFET
circuits under such self-consistent temperatures.
1063-8210 2013 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission.
See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
YANG AND JHA: FinPrin: FinFET LOGIC CIRCUIT ANALYSIS AND OPTIMIZATION UNDER PVT VARIATIONS
2463
Fig. 1. FinFET structure and its cross section. (a) 3-D FinFET structure.
(b) 2-D cross section of a FinFET.
2464
IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 22, NO. 12, DECEMBER 2014
Fig. 2. Effect of process/voltage variations on Ileak , Rout , Cin , and Cout (Top = 298 K). (a) Impact of N variation on Ileak . (b) Impact of P variation
on Ileak . (c) Impact of L G variation on Rout . (d) Impact of TSI variation on Rout . (e) Impact of TOX variation on Cin . (f) Impact of Vdd variation on Cout .
(1)
(2)
(3)
YANG AND JHA: FinPrin: FinFET LOGIC CIRCUIT ANALYSIS AND OPTIMIZATION UNDER PVT VARIATIONS
Fig. 3.
2465
F 2
(5)
2
pi nom pi
i
F
F
+2
cov( pi , p j ) (6)
pi nom p j nom
i = j
2466
IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 22, NO. 12, DECEMBER 2014
(7)
(2 d Rtot Ctot
+ A Sin Ctot )
pi
2 dgate
pi
Ctot
2
(2d Rtot
Ctot + A Sin Rtot )
+
pi
Sin
+
(13)
A Rtot Ctot .
pi
B. Modeling of Output Slopes
The output slope Sout of a FinFET logic gate is calculated as
the time elapsed in the transition from the high (low) to low
(high) threshold of the output signal for the falling (rising)
edge. As is common, we choose 90% of the voltage range
for the high threshold and 10% for the low threshold. Since
Rout and Cout are derived under Sin = 0, construction of Sout
should take Sin into consideration.
With our TCAD simulation results, we propose the
following empirical model to calculate the Sout of a FinFET
logic gate:
2
Sin
+s s
Sout = Slope(Sbase , Sin ) = Sbase s + ln
Sbase
(14)
where Sbase = ln9 is the output slope when Sin = 0, and s ,
s , and s are scaling factors derived for each type and size
of gate in the FinFET logic library, similar to the dgate case.
With the closed-form representation of (14), we can derive
the partial differential form of Sout that can be employed in
the SSTA algorithm described later.
Sin
Let X = ln
+ s s
(15)
Sbase
then we have
Sout
Ctot
Rtot
2
= ln9 (s + X ) Rtot
+ Ctot
pi
pi
pi
Sin / pi
+2 Sbase X s
S + S
in s base
2 Sbase X s Sin Rtot Ctot
Ctot Rtot
.
+
Sin + s Sbase
pi
pi
(16)
YANG AND JHA: FinPrin: FinFET LOGIC CIRCUIT ANALYSIS AND OPTIMIZATION UNDER PVT VARIATIONS
2467
(18)
2468
IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 22, NO. 12, DECEMBER 2014
dint = dint,nom +
Dint
L G,i
i g
Dint
i
g
N,i
i
int
nom
N,i +
nom
Dint
Wintl ,i
L G,i +
i g
Wintl ,i +
P,i
Sout,i
i int
)
= Dgate,i (Cw , Cout , Cin , Rw , Rout , Sin,i
)
= Sout,i (Cw , Cout , Cin , Rw , Rout , Sin,i
(20)
(21)
j =i
(23)
nom
Dint
TOX,i
TOX,i nom
i g
P,i +
Dint
i g
Vdd,i
Vdd,i +
nom
z
(19)
l=1
i int
nom
TSI,i +
Dint
Dint
Tintl ,i +
HILDl ,i
Tintl ,i nom
H I L Dl ,i nom
where L G,i is the gate length RV in grid i . The other processvoltage parameters are defined in a similar fashion.
2) Modeling of Gate Delay and Output Edge Delay: We
model dgate and Sout in an analogous manner to how we model
interconnect delay
dgate,i
TSI,i
Dint
i
g
nom
Dint
(26)
c
i,k pindk
(27)
k=1
n
dnomi .
(28)
i=1
n
c
i,2 j .
(29)
j =1 i=1
YANG AND JHA: FinPrin: FinFET LOGIC CIRCUIT ANALYSIS AND OPTIMIZATION UNDER PVT VARIATIONS
TABLE I
F IXED FinFET D EVICE PARAMETERS
2469
TABLE III
F ITTING AND T ESTING E RRORS FOR THE L EAKAGE M ODEL
TABLE II
VARIABLE PVT PARAMETERS
TABLE IV
F ITTING AND T ESTING E RRORS FOR THE T IMING M ODEL
(30)
c
i,k pindk
(31)
k=1
N
Pnomi
i=1
N
c
i,2 j
(32)
(33)
j =1 i=1
2470
Fig. 6.
IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 22, NO. 12, DECEMBER 2014
FinFET synthesis flow. (a) Initialization, optimization, and P and R stages. (b) Analysis stage.
TABLE V
T EMPERATURE I MPACT ON D ELAY-O PTIMIZED s38584
Fig. 7.
Layout of basic FinFET cells. (a) INV(X2). (b) NAND(X2).
(c) NOR(X1).
B. Model Validation
Table II lists all parameters that are subjected to variations
along with their respective nominal values, range of variation, and step size. The range is assumed to correspond to
[3 , 3 ] values. Although the gate length and oxide thickness are defined separately for both the front and back gates,
we assume that the front and back gate values of these
parameters vary in the same fashion under process variations.
Hence, we just use L G and TOX to refer to these parameters
for ease of exposition. We do not assume any nominal values
for Top , Sin , and Cload , but simulate them over their range
assuming a uniform distribution.
Even though the 2-D TCAD simulation model [35], [36]
greatly reduces the simulation time compared with the 3-D
simulation model, performing 2-D TCAD simulation of RVs
in a 9-D space is still not practical. The 2-D simulation of
Rout , Cout , and Cin of the X8 SG NAND gate takes more than
an hour of CPU time on four computing nodes consisting of
2.67-GHz Westmere CPUs and 4-GB RAM, and more than
4.2 billion simulations would need to be conducted in this
fashion. Hence, except for Top that is varied for each change in
variable parameters, process-voltage variations are introduced
one at a time.
Finally, to complete our FinFET logic library, we simulate
SG INV, NOR, and NAND gates in four sizes (X1, X2, X4, and
X8). This library is useful when the circuit needs to be delay
optimized or else power optimized under a delay constraint.
YANG AND JHA: FinPrin: FinFET LOGIC CIRCUIT ANALYSIS AND OPTIMIZATION UNDER PVT VARIATIONS
2471
Fig. 8. Impact of process-voltage variations on Dckt , Pleak , and Pdyn for s38584 (T = 298 K). (a) Variation impact on Dckt . (b) Variation impact on Pleak .
(c) Variation impact on Pdyn .
Fig. 9.
has an average testing error of just 3.4% and 4.4% for Sout
and dgate , respectively.
2472
Fig. 10.
IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 22, NO. 12, DECEMBER 2014
Distribution of Dckt , Pleak , and Pdyn for s38584. (a) Distribution of Dckt . (b) Distribution of Pleak . (c) Distribution of Pdyn .
TABLE VI
C OMPARISON OF R ESULTS O BTAINED FROM MC S IMULATIONS (10 000 I TERATIONS ) AND FinPrin, A SSUMING S PATIAL C ORRELATION
TABLE VII
C OMPARISON OF R ESULTS B ETWEEN X1-O NLY AND D ELAY-O PTIMIZED C IRCUITS U SING FinPrin
YANG AND JHA: FinPrin: FinFET LOGIC CIRCUIT ANALYSIS AND OPTIMIZATION UNDER PVT VARIATIONS
2473
TABLE VIII
C OMPARISON OF R ESULTS B ETWEEN D ELAY- AND P OWER -O PTIMIZED C IRCUITS (W ITH 10% AND 30% S LACK )
U SING FinPrin, A SSUMING S PATIAL C ORRELATION
Fig. 11. PDF and CDF curves for s38584 where X1 represents circuit with all X1 gates, DO represents Dckt optimization, PO-10 represents power optimization
with 10% slack, and PO-30 represents power optimization with 30% slack. (a) PDF of Dckt . (b) PDF of Pleak . (c) PDF of Pdyn . (d) CDF of Dckt . (e) CDF
of Pleak . (f) CDF of Pdyn .
2474
IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 22, NO. 12, DECEMBER 2014
In this paper, we presented delay and power macromodels for logic gates and interconnects under PVT variations.
We used these macromodels to develop statistical timing and
power analysis techniques. We also evaluated the effect of
temperature on circuit delay and power, and showed the
importance of performing circuit analysis and optimization
at self-consistent temperatures. We presented the FinPrin tool
that was shown to have reasonable accuracy compared with
MC simulation, but much higher run-time efficiency. Finally,
we used the tool to analyze delay- and power-optimized
circuits. We showed that even a deterministic optimization
algorithm can improve not just the mean, but often the SD, of
the metric being optimized.
R EFERENCES
[1] (2012). International Technology Roadmap for Semiconductors [Online].
Available: http://www.itrs.net
[2] E. J. Nowak, I. Aller, T. Ludwig, K. Kim, R. V. Joshi, C.-T. Chuang,
et al., Turning silicon on its edge, IEEE Circuits Devices Mag., vol. 20,
no. 1, pp. 2031, Jan./Feb. 2004.
[3] H. Kawasaki et al., Embedded bulk FinFET SRAM cell technology
with planar FET peripheral circuit for hp32 nm node and beyond, in
VLSI Symp. Technol. Dig. Tech. Papers, Oct. 2006, pp. 7071.
[4] A. Agarwal, D. Blaauw, V. Zolotov, S. Sundareswaran, M. Zhao,
K. Gala, et al., Statistical delay computation considering spatial correlations, in Proc. IEEE Asia South Pacific Des. Autom. Conf., Apr. 2003,
pp. 271276.
[5] J. A. G. Jess, K. Kalafala, S. R. Naidu, R. H. J. M. Otten, and
C. Visweswariah, Statistical timing for parametric yield prediction
of integrated circuits, in Proc. IEEE Des. Autom. Conf., Jun. 2003,
pp. 932937.
[6] A. Agarwal, D. Blaauw, and V. Zolotov, Statistical timing analysis for
intra-die process variations with spatial correlations, in Proc. IEEE Int.
Conf. Comput. Aided Des., Nov. 2003, pp. 900907.
[7] A. Agarwal, K. Chopra, and D. Blaauw, Statistical timing based
optimization using gate sizing, in Proc. IEEE Des. Autom. Test Eur.
Conf., Mar. 2005, pp. 400405.
[8] D. Blaauw, K. Chopra, A. Srivastava, and L. Scheffer, Statistical timing
analysis: From basic principles to state of the art, IEEE Trans. Comput.
Aided Des., vol. 27, no. 4, pp. 589607, Mar. 2008.
[9] V. Khandelwal and A. Srivastava, A quadratic modeling-based framework for accurate statistical timing analysis considering correlation, IEEE Trans. Very Large Integr. (VLSI) Syst., vol. 15, no. 2,
pp. 206215, Feb. 2007.
[10] H. Chang and S. S. Sapatnekar, Statistical timing analysis considering
spatial correlations using a single PERT-like traversal, in Proc. IEEE
Int. Conf. Comput. Aided Des., Nov. 2003, pp. 621625.
[11] H. Chang and S. S. Sapatnekar, Statistical timing analysis under
spatial correlations, IEEE Trans. Comput. Aided Des., vol. 24, no. 9,
pp. 14671482, Aug. 2005.
[12] H. Chang and S. S. Sapatnekar, Full-chip analysis of leakage power
under process variations, including spatial correlations, in Proc. IEEE
Des. Autom. Conf., Jun. 2005, pp. 523528.
[13] J. Singh and S. S. Sapatnekar, Statistical timing analysis with correlated
non-Gaussian parameters using independent component analysis, in
Proc. IEEE Des. Autom. Conf., Jun. 2006, pp. 155160.
[14] W. S. Wang and M. Orshansky, Statistical timing based on incomplete
probabilistic descriptions of the parameter uncertainty, in Proc. IEEE
Des. Autom. Conf., Jun. 2006, pp. 161166.
[15] W. S. Wang and M. Orshansky, Path-based statistical timing analysis
handling arbitrary delay correlations: Theory and implementations,
IEEE Trans. Comput. Aided Des., vol. 25, no. 12, pp. 29762988,
Dec. 2006.
[16] M. Orshansky and W. S. Wang, Statistical analysis of circuit timing using majorization, Commun. ACM, vol. 52, no. 8, pp. 95100,
Aug. 2009.
YANG AND JHA: FinPrin: FinFET LOGIC CIRCUIT ANALYSIS AND OPTIMIZATION UNDER PVT VARIATIONS
[17] (2013).
Sentaurus
TCAD
Manuals
[Online].
Available:
http://www.synopsys.com
[18] (2010). Synopsys Design Compiler Manuals [Online]. Available:
http://www.synopsys.com
[19] Y. Yang and N. K. Jha, FinPrin: Analysis and optimization of FinFET
logic circuits under PVT variations, in Proc. IEEE 26th Int. Conf. VLSI
Des., Jan. 2013, pp. 350355.
[20] A. N. Bhoj and N. K. Jha, Design of ultra-low-leakage logic gates and
flips-flops in high-performance FinFET technology, in Proc. IEEE Int.
Symp. Qual. Electron. Des., Mar. 2011, pp. 18.
[21] B. Swahn and S. Hassoun, Gate sizing: FinFET vs. 32 nm bulk
MOSFETs, in Proc. IEEE 43rd Des. Autom. Conf., Jul. 2006,
pp. 528531.
[22] S. Xiong and J. Bokor, Sensitivity of double-gate and FinFET devices
to process variations, IEEE Trans. Electron Devices, vol. 50, no. 11,
pp. 22552261, Nov. 2003.
[23] J. H. Choi, J. Murthy, and K. Roy, The effect of process variations
on device temperature in FinFET circuits, in Proc. IEEE Int. Conf.
Comput. Aided Des., Nov. 2007, pp. 747751.
[24] P. Mishra, A. N. Bhoj, and N. K. Jha, Die-level leakage power analysis
of FinFET circuits considering process variations, in Proc. IEEE Int.
Symp. Qual. Electron. Des., Mar. 2010, pp. 347355.
[25] Y. Liu, S. R. Nassif, L. T. Pileggi, and A. J. Strojwas, Impact of
interconnect variations on the clock skew of a gigahertz microprocessor,
in Proc. IEEE Des. Autom. Conf., Jun. 2000, pp. 168171.
[26] H. Su, T. Liu, A. Devgan, E. Acar, and S. Nassif, Full chip leakage estimation considering power supply and temperature variations,
in Proc. IEEE Int. Symp. Low Power Electron. Des., Aug. 2003,
pp. 7883.
[27] J. G. Fossum, L. Ge, M.-H. Chiang, V. P. Trivedi, M. M. Chowdhury,
L. Mathew, et al., A process/physics-based compact model for nonclassical CMOS device and circuit design, Solid State Electron., vol. 48,
no. 6, pp. 919926, Jun. 2004.
[28] M. V. Dunga, L. Chung-Hsun, D. D. Lu, X. Weize, C. R. Cleavelin,
P. Patruno, et al., BSIM-MG: A versatile multi-gate FET model for
mixed-signal design, in Proc. Int. Symp. VLSI Technol., Jun. 2007,
pp. 6061.
[29] B. Diagne, F. Prgaldiny, C. Lallement, J.-M. Sallese, and
F. Krummenacher, Explicit compact model for symmetric double-gate
MOSFETs including solutions for small-geometry effects, Solid-State
Electron., vol. 52, no. 1, pp. 99106, Jan. 2008.
[30] M. A. Horowitz, Timing models for MOS circuits, Dept. Electrical
Eng., Stanford Univ., Stanford, CA, USA, Tech. Rep. SEL83-003,
1983.
[31] S. S. Sapatnekar, Timing. Boston, MA, USA: Kluwer Academic Publishers, 2004.
[32] T. Kirkpatrick and N. Clark, PERT as an aid to logic design, IBM J.
Res. Develop., vol. 10, no. 2, pp. 135141, Jun. 1966.
[33] C. Clark, The greatest of a finite set of random variables, Oper. Res.,
vol. 9, no. 2, pp. 8591, 1961.
[34] A. A. Abu-Dayya and N. C. Beaulieu, Comparison of methods of
computing correlated lognormal sum distributions and outages for digital
wireless applications, in Proc. IEEE 44th Veh. Technol. Conf., vol. 1.
Jun. 1994, pp. 175179.
[35] S. Chaudhuri and N. K. Jha, 3D vs. 2D analysis of FinFET logic gates
under process variations, in Proc. IEEE Int. Conf. Comput. Des., Oct.
2011, pp. 435436.
[36] S. Chaudhuri and N. K. Jha, 3D vs. 2D device simulation of FinFET
logic gates under PVT variations, accepted for publication in ACM J.
Emerging Technol. Comput. Syst.
[37] (2005). Capo: A Large-Scale Fixed-Die Placer From UCLA [Online].
Available: http://vlsicad.ucsd.edu/GSRC/bookshelf/Slots/Placement
[38] (2009). HotSpot 5.0: Temperature Modeling Tool [Online]. Available:
http://lava.cs.virginia.edu/HotSpot/index.htm
2475