Sie sind auf Seite 1von 9

3/10/2015

EETimesAddressingsignalelectromigration(EM)intoday'scomplexdigitaldesigns

DesignHowTo

Addressingsignalelectromigration(EM)in
todayscomplexdigitaldesigns
GeethaRangarajanSynopsys,James
DengAltera
1/28/201303:53PMEST
1Comment
Tweet

Share

Abstract
Electromigration(EM)isaphenomenonthathasbeenwellresearched
andunderstoodbythedesigncommunity.Atmaturenodes,itsimpact
ondigitalintegratedcircuits,particularlysignalinterconnects,hasbeen
minimal,makingsignalEManalysisandfixinganoptionaldesignstep.
At28nmandbeyond,thisisnolongerthecase.Interconnectsare
gettingthinner,runninglongerandswitchingatgigahertzspeedsallof
whichamplifytheeffectsofEM.SignalEManalysisandfixingisturning
intoadesignrequirementthatmustbemetduringplaceandroute.
ThisarticlediscussestheimportanceofsignalEMandwaystoaddress
itintodayscomplexdesigns.ItalsohighlightstheEMcapabilitiesinIC
CompilerwithresultsfromAlterassuccessfuladoptionofthesolution
forits28nmhighperformanceIPs.
Electromigration101Arefresher
Electromigration(EM)isthegradualdisplacementofmetalatomsina
semiconductor.Itoccurswhenthecurrentdensitythroughtheconductor
ishighenoughtocausethedriftofmetalions(Fig1).

Figure1:[Electromigrationinaconductor],SourceSynopsys
EMdecreasesthereliabilityofintegratedcircuits(ICs).AnEMfailureat
itsworstmanifestsitselfaseitheravoid(open)orahillock(short),
whicheventuallyleadstocircuitmalfunction(Fig2).

http://www.eetimes.com/document.asp?doc_id=1280370&print=yes

1/9

3/10/2015

EETimesAddressingsignalelectromigration(EM)intoday'scomplexdigitaldesigns

Figure2:[EMfailuresVoid(Open)andHillock(short)],SourceW.D.Nixetal.1992

SignalEMWhyitmattersmorethanever
WhileEManditsimpactondesignshavelongbeenunderstood,in
maturetechnologynodestheeffectswereminimalandwerenotabig
concern.Duetowiderinterconnects,loweroperatingspeedsand
smallerdesignsizes,manualmethodsoraconservativeapproachof
oversizingwiresforEMservedasplausiblesolutions.However,at28
nmandbeyond,scalingtrendsinadvancedtechnologynodesalong
withstringentandcomplexEMrulesmakedesignsmoresusceptibleto
EMparticularlyonclockanddatainterconnects.Asaresult,signalEM
anditseffectscannolongerbeignored.
Advancedtechnologytrends
Metalwidthsareshrinkingbecauseofgeometryscaling,resultingin
thinnerinterconnects.Interconnectlengthsarealsoincreasingtomeet
thecomplexdeviceintegrationdemands.Thesethinandlong
interconnectsareswitchingatgigahertzspeedsduetothepushfor
higherperformance.Whencombined,thesefactorsresultinhigher
currentdensities,whichamplifytheeffectsofsignalEMatadvanced
technologynodes.
Aclearindicationofthistrendcanbeseeninthechartbelowthatplots
EMviolationsseenonasampleblockacrossvaryingtechnologynodes
andclockfrequencies(seeFig2).At28nm,therearesignificantlymore
EMviolationsonsignalinterconnectscomparedtothoseat65and40
nm.

Figure3:[SignalEMviolationplotusingsampleblock],SourceSynopsys

GrowingEMrulecomplexity
Inordertoreflecttheeffectsofadvancedtechnologies,EMruleshave
alsobecomemorecomplex.EMrulesarefoundryprovidedlimitsthat
http://www.eetimes.com/document.asp?doc_id=1280370&print=yes

2/9

3/10/2015

EETimesAddressingsignalelectromigration(EM)intoday'scomplexdigitaldesigns

specifyallowablecurrentdensitiesforeverymetallayer.Inthepast,the
limitsprovidedwereprimarilywidthandjunctiontemperaturebased(as
showninFig4).

Figure4:[SampleEMconstrainttable],SourceSynopsys

At28nmandbelow,weareseeingtheadditionofmoredependencies,
suchasinterconnectlength,viadimensionsanddeltatemperatures
(metallinetemperatureincreaseoverjunctiontemperaturewhencurrent
passesthroughit).
EManalysisisacomplextaskthatrequiresasignificantamountofdata
interpretationandiscomputeintensive.Withanincreasingnumberof
interconnectsexposedtoEMeffects,fixingtechniquesmustbe
automatic,accurate,andtiminganddesignrulecheck(DRC)aware.A
standalonepostplaceandroutestepwouldnotbeidealasitwouldbe
iterative,requireuserexpertiseandcanadverselyaffectperformance.
Fortodayscomplexandchallengingdesigns,theonlywaytoeffectively
analyzeandfixsignalEMistoaddressitduringP&R.
AddressingsignalEMduringplace&route

ThethreemaincomponentsofanoptimalEMsolutionareanalysis,
preventionandfixing.
Analysis
AccuratesignalEManalysisreliesonawidespectrumofdata
thatincludesmodeling,extraction,timing,switchingactivity
andfoundryEMconstraints/limits.Therearetwomainsteps
inEManalysiseffectivecurrentcalculationandEMviolation
detection(Fig5).
Effectivecurrent,whichincludescomputingpeak,RMS(Root
MeanSquare)andaveragevalues,helpsevaluatethe
cumulativeEMeffectonaconductor.Theanalysisengine
needstodeterminethesecurrentvaluesforeveryrouting
segmentandviainthedesign.Withdesignstodayoperating
underdifferentmodesandcorners,thesecurrentvalues
(effectivecurrentandEMlimit)willvarybasedonthetiming,
voltageandtemperatureineveryscenario.Itistherefore
criticaltohaveanEManalysisenginethatismulticorner,
multimode(MCMM)aware.

http://www.eetimes.com/document.asp?doc_id=1280370&print=yes

3/9

3/10/2015

EETimesAddressingsignalelectromigration(EM)intoday'scomplexdigitaldesigns

Figure5:[ComponentsofSignalEMAnalysis],Source:Synopsys

Onceeffectivecurrentvaluesaredetermined,anEMviolation
isdetectedbasedonthecurrentlimitsderivedfromthe
foundryEMconstraints(Fig6).

Figure6:[EMViolationDetection],Source:Synopsys

Prevention
Preventioncanbeimplementedforsignalsthathavean
increasedprobabilityforEMviolation.Forinstance,dueto
theirhightoggleratesandlargedrivecells,clocknetsare
moreatriskforEMthandatanets.Clocknetswithspecial
widthandspacingrules(NDRs)derivedfromtheirdrivercells
canhelpminimizetheimpactofEM.Whenappliedduring
clocktreesynthesisandhonoredduringroute,theseNDRs
enableacorrectbyconstructionapproachtominimize
unnecessaryperturbationontheclocknetsduringsignal
routing
Fixing
EMfixingisafunctionofsizingwires,viasandcellsinorder
toeffectivelyreducethecurrentdensitythroughthem.A
comprehensivefixingsolutionmuchlikeanalysiswouldalso
needtobeMCMMaware.TwocommonlyusedEMfixing
approachesarewirewideningandcellsizing.
Wirewidening
http://www.eetimes.com/document.asp?doc_id=1280370&print=yes

4/9

3/10/2015

EETimesAddressingsignalelectromigration(EM)intoday'scomplexdigitaldesigns

Wideningwireshelpincreaseallowablecurrent
limitsandisaneffectivewaytoreduceEMeffect.
ThiscanbeachievedbyeitherapplyingNDRson
netsorjustbysizinguptheviolatingsegments.The
latterwouldbemoresuitabletodesignsthatare
pronetocongestion.
Cellsizing
Usingsmallerdrivercellscanhelpslowdowndata
transition,whichinturnreducescurrentdensityand
theimpactofEM.Thismethodiseffectivewhen
thereissufficienttimingmargin.
InadditiontoprovidingarobustMCMMawareanalysisand
fixingmechanism,anidealsolutionshouldhavecertainkey
featurestofacilitateadoption.Providingseamlessintegration
intoaP&Rflowwouldoffereasysetupandquickturnaround
time.NotallEMviolationsareautomaticallyfixable,hence
usercontrolontechniquesalongwithanintuitiveGUIis
neededforfasteranalysisanddebug.Lastly,anEMsolution
withinplaceandrouteiseffectiveonlywhenitisaccurateand
convergent,makingcorrelationtoindustrystandardSPICE
simulatorsamust.
ICCompilerEMsolutionIdealfortodayscomplexdesigns
ICCompilerplaceandrouteoffersanintegratedsignalEMsolution.It
providescomprehensiveMCMMawareanalysisandanautomatedEM
fixingflow.Useofaconsistentdatabaseprovidesfastturnaround
times,andapowerfulGUIaidsanalysisanddebugofEMviolations.
SignalEMflowoverview

TheICCompilerEMFlow(Fig7below)readsinEMconstraintsfrom
thevendorlibrary(SynopsyspliborIEEE1603ALFformat)alongwith
switchingactivityinformation(SAIF/Tcl).Thereisapreventionflowfor
clocknetswhereuserprovidedcellbasedNDRsareappliedduring
clocktreesynthesisandhonoredduringrouting.ThesignalEM
analysisandfixingflowisenabledviaasinglecommandandshouldbe
performedafterrouteoptimizationonatiming/routeDRCclean
database.
TheautomaticEMfixingflowinICCompilerusesbothrouteandcell
basedtechniquessuchassegmentsizingonwires/vias,netbased
NDRsandtimingawarecellsizing.Additionalflexibilityisprovided
throughusercontroltoselectaspecifictechniquebasedondesign
characteristicstofurtherminimizeimpacttotiming/DRC.

http://www.eetimes.com/document.asp?doc_id=1280370&print=yes

5/9

3/10/2015

EETimesAddressingsignalelectromigration(EM)intoday'scomplexdigitaldesigns

Figure7:[ICCompilerSignalEMFlow],Source:Synopsys

Mostimportantly,ICCompilersEManalysisiswellcorrelatedto
HSPICE,offeringanaccurateandconvergentsolutionfortodays
challengingdesigns.
AlterasexperienceusingICCompilerEMsolution
Groupbackground
LocatedinAlterasheadquartersinSanJose,Calif.,thephysicaldesign
engineeringteammanagedbyJamesDengsupportsmultipleRTLfront
endteamsfornetlisttoGDSIIsupportandhelpsdefineimplementation
methodologyfortheirhighperformancedesigns.Theteamwas
charteredwithimplementingalltheRTLbasedIPandsubsystemin
Alterasfirsthighperformance28nmFPGA(StratixV).Inorderto
ensurethatthereliabilityoftheirdevicewasnotcompromised,theteam
decidedtoperformsignalEManalysisforthefirsttimeeverandchose
touseICCompiler.
Designdetailsandchallenges
ThedesigntargetedforsignalEManalysiswasaPCIIP,whichhada
hierarchicalimplementationwith11subblockspartitionedforIPreuse
(Fig8)operatingat500+Mhz.
http://www.eetimes.com/document.asp?doc_id=1280370&print=yes

6/9

3/10/2015

EETimesAddressingsignalelectromigration(EM)intoday'scomplexdigitaldesigns

Figure8:[Altera's28nmPCIIPFloorplan],Source:Altera

SincetheprogrammableIPhadmanyfunctionalmodesandcomplex
clockstructures,MCMMawareEManalysiswasamust.Thehigh
aspectratioofcertainblocksalsomadethempronetorouting
congestion,whichmeantaconservativeapproachtoEMfixingwasnot
viable.
AlterasEMflow
TopreventexcessiveEMviolationspostroute,Alterachosetouse
NDRsonclocknetsduringCTSandfollowedwithICCompilerssignal
EManalysisandfixingflowafterrouting.DifferentMCMMscenarios
werecreatedforEManalysisinordertoachievethemost
comprehensivecoverage.ThesegmentbasedfixingapproachinIC
Compilerwasselectedduetothehighroutingcongestioninthedesign.
Results

ICCompilersautomaticsignalEMflowwasabletofixthemajorityof
theviolationswithminimalDRCimpact.Shownbelow(Fig9)areresults
fromtwoofthePCIIPblocks.

Figure9:[Altera'sSignalEMResultsusingICCompiler],Source:Altera

Afewviolationswereleftunfixedduetocongestionandwerelater
addressedmanuallybydesigners.
AlteraalsofoundICCompilersGUIfeaturesveryusefulandintuitiveto
http://www.eetimes.com/document.asp?doc_id=1280370&print=yes

7/9

3/10/2015

EETimesAddressingsignalelectromigration(EM)intoday'scomplexdigitaldesigns

viewtheEMcurrentdensitymapsandunderstandthehotspotsinthe
design(Fig10).

Figure10:[ICCompilerEMCurrentDensityMap],Source:Altera

Furthermore,thereportfilesprovidedclearanddetailedinformation,
makingitsimplerforthefirsttimeusertocomprehendthedata(Fig11).

Figure11:[ICCompilerEMSummaryFile],Source:Altera

AlterasuccessfullyranICCompilerssignalEMflowonalltheblocksin
theirfirst28nmFPGAchipandhasnowdeployeditaspartoftheir
productionflow.
AlterasDesignEngineeringManager,JamesDenghadthistosay
basedontheirexperienceWefoundthatICCompilerssignalEMflow
providedaneasysolutionwithclearreportingandautomaticfixing
methodology.TheMCMMfeatureinSignalEMwasveryusefultous.It
reducedtheriskofmergingscenariosadavoidedpessimistic/optimistic
analysis.WerecommendusingICCompilertocheckandcleanall
signalEMviolationsbeforesignoff.
Conclusion
At28nmandbeyond,geometryscalingandhigherfrequencieshave
madeEMfailuresnotjustapossibilitybutarealityforeverydesign.
SignalEManalysishasbecomeanintegralpartofphysicaldesign
methodology.AsexperiencedbyAltera,ICCompilerprovidesaneasy
touse,accurateandcomprehensiveEMsolutionthataddressesthe
needsoftodayscomplexandchallengingdesigns.
Acknowledgements
Theauthorswouldliketoacknowledgetheinvaluableeffortsoftheir
colleagues,KevinHuangatAlteraandRajivDaveatSynopsys,who
havehelpedinprovidingthedataforthisarticle.
Abouttheauthors
http://www.eetimes.com/document.asp?doc_id=1280370&print=yes

8/9

3/10/2015

EETimesAddressingsignalelectromigration(EM)intoday'scomplexdigitaldesigns

GeethaRangarajanisaseniortechnicalmarketing
managerforICCompileratSynopsys.Shehasover15
yearsofexperienceintheASICandsemiconductor
industry.PriortoSynopsys,sheworkedatLSIasan
ASICdesignengineerfocusingonplaceandroute,
designfortestandstatictiminganalysisforseveralkey
networkingandstoragedesigns.Beforethat,she
workedatTexasInstrumentsonthelibrary
characterizationteam.Rangarajanholdsabachelorof
engineeringdegreeinelectronicsandcommunication
engineeringfromCoimbatore,India.
JamesDengisthephysicaldesignengineering
manageratAltera.Heisresponsiblefordeveloping
ASICstylephysicaldesignsolutions,and
implementationofhighperformancedigitalIPsat
cuttingedgetechnologynode.Hehasover16yearsof
experienceinASIC/SoC/FPGAsemiconductor
industry.BeforejoiningAltera,heworkedatBay
Microsystemonnetworkprocessor(NPU)designand
verification.HealsoworkedatLSILogicassenior
ASICdesignengineerdevelopingadvancedASICs
fromRTLtoGDS.JamesholdsMSEEdegreeinVLSI
circuitdesignandcomputerengineerareafromPurdueUniversityanda
BEdegreefromTsinghuaUniversityinChina.
Ifyoufoundthisarticletobeofinterest,visitEDADesignlinewhere
youwillfindthelatestandgreatestdesign,technology,product,and
newsarticleswithregardtoallaspectsofElectronicDesignAutomation
(EDA).
Also,youcanobtainahighlightsupdatedelivereddirectlytoyourinbox
bysigningupfortheEDADesignlineweeklynewsletterjustClick
HeretorequestthisnewsletterusingtheManageNewsletterstab(ifyou
aren'talreadyamemberyou'llbeaskedtoregister,butit'sfreeand
painlesssodon'tletthatstopyou).
EMAILTHIS PRINT COMMENT

MoreRelatedLinks
Intel's1stXeonSoCTwistsARM
ShiftingMindsets:StaticVerificationTransformsSoCDesignatRTLevel
GraphicsAPIGetsMakeover
IntelTabletSoCsPackLTE
AsianShareofGlobalFabCapacityMayTop69%by2019
Copyright2015UBMElectronics,AUBMcompany,Allrightsreserved.PrivacyPolicy|TermsofService

http://www.eetimes.com/document.asp?doc_id=1280370&print=yes

9/9

Das könnte Ihnen auch gefallen