Sie sind auf Seite 1von 13

PRACTICAL-1

AIM: To make comparative study of motherboards; 386, 486, PI, PII, PIII.
MOTHERBOARD:
A motherboard (sometimes alternatively known as the mainboard, system board, planar
board or logic board) is the main printed circuit board (PCB) found in computers and other
expandable systems. It holds many of the crucial electronic components of the system, such
as the central processing unit (CPU) and memory, and provides connectors for
other peripherals. Unlike a backplane, a motherboard contains significant sub-systems such
as the processor and other components.
Motherboard specifically refers to a PCB with expansion capability and as the name
suggests, this board is the "mother" of all components attached to it, which often
include sound cards, video cards, network cards, hard drives, or other forms of persistent
storage; TV tuner cards, cards providing extra USB or FireWire slots and a variety of other
custom components (the term mainboard is applied to devices with a single board and no
additional expansions or capability, such as controlling boards in televisions, washing
machines and other embedded systems).
A motherboard provides the electrical connections by which the other components of the
system communicate (talk with each other). Unlike a backplane, it also contains the central
processing unit and hosts other subsystems and devices.
A typical desktop computer has its microprocessor, main memory, and other essential
components connected to the motherboard. Other components such as external storage,
controllers for video display and sound, and peripheral devices may be attached to the
motherboard as plug-in cards or via cables, in modern computers it is increasingly common
to integrate some of these peripherals into the motherboard itself.
An important component of a motherboard is the microprocessor's supporting chipset, which
provides the supporting interfaces between the CPU and the various buses and external
components. This chipset determines, to an extent, the features and capabilities of the
motherboard.
Modern motherboards include:

Sockets (or slots) in which one or more microprocessors may be installed. In the case
of CPUs in BGA packages, such as the VIA C3, the CPU is directly soldered to the
motherboard.[citation needed]
Slots into which the system's main memory is to be installed (typically in the form
of DIMM modules containing DRAM chips)

A chipset which forms an interface between the CPU's front-side bus, main memory,
and peripheral buses

Non-volatile memory chips (usually Flash ROM in modern motherboards) containing


the system's firmware or BIOS

A clock generator which produces the system clock signal to synchronize the various
components

Slots for expansion cards (the interface to the system via the buses supported by the
chipset)

Power connectors, which receive electrical power from the computer power
supply and distribute it to the CPU, chipset, main memory, and expansion cards. As of
2007, some graphics cards (e.g. GeForce 8 and Radeon R600) require more power than
the motherboard can provide, and thus dedicated connectors have been introduced to
attach them directly to the power supply. Most disk drives also connect to the power
supply via dedicated connectors.

Additionally, nearly all motherboards include logic and connectors to support commonly used
input devices, such as PS/2 connectors for amouse and keyboard

Intel 80386
The Intel 80386 ("eighty-three-eighty-six"),

also

known

as i386 or

just 386,

is

32

bit microprocessor introduced in 1985. The first versions had 275,000 transistors and were the CPU of
many workstations and high-end personal computers of the time. As the original implementation of the 32bit extension of the 80286 architecture, the 80386 instruction set, programming model, and binary
encodings are still the common denominator for all 32-bit x86 processors, which is termed the i386architecture, x86, or IA-32, depending on context.
The 32-bit 80386 can correctly execute most code intended for the earlier 16-bit processors such
as 8088 and 80286 that were ubiquitous in early PCs. (Following the same tradition, modern 64-bit x86
processors are able to run most programs written for older x86 CPUs, all the way back to the original 16bit 8086 of 1978.) Over the years, successively newer implementations of the same architecture have
become several hundreds of times faster than the original 80386 (and thousands of times faster than the
8086). A 33 MHz 80386 was reportedly measured to operate at about 11.4 MIPS.
The 80386 was launched in October 1985, but full-function chips were first delivered in the third quarter
of 1986. Mainboards for 80386-based computer systems were cumbersome and expensive at first, but
manufacturing was rationalized upon the 80386's mainstream adoption. The first personal computer to

make use of the 80386 was designed and manufactured by Compaq and marked the first time a
fundamental component in the IBM PC compatible de facto-standard was updated by a company other
thanIBM.
In May 2006, Intel announced that 80386 production would stop at the end of September 2007. Although it
had long been obsolete as a personal computer CPU, Intel and others had continued making the chip
for embedded systems. Such systems using an 80386 or one of many derivatives are common
in aerospace technology and electronic musical instruments, among others. Some mobile phones also used
(later fully static CMOS variants of) the 80386 processor, such as BlackBerry 950 and Nokia 9000
Communicator.

ARCHITECTURE:
The processor was a significant evolution in the x86 architecture, and extended a long line of processors
that stretched back to the Intel 8008. The predecessor of the 80386 was the Intel 80286, a 16-bit processor
with a segment-based memory management and protection system. The 80386 added a 32-bit architecture
and a paging translation unit, which made it much easier to implement operating systems that used virtual
memory. It also offered support for register debugging.

FIG: Block diagram of the i386 microarchitecture.


The 80386 featured three operating modes: real mode, protected mode and virtual mode. The protected
mode which debuted in the 286 was extended to allow the 386 to address up to 4 GB of memory. The all
new virtual 8086 mode (or VM86) made it possible to run one or more real mode programs in a protected
environment, although some programs were not compatible.
The ability for a 386 to be set up to act like it had a flat memory model in protected mode despite the fact
that it uses a segmented memory model in all modes would arguably be the most important feature change
for the x86 processor family until AMD released x86-64 in 2003.
Chief architect in the development of the 80386 was John H. Crawford. He was responsible for extending
the 80286 architecture and instruction set to 32-bit, and then led the microprogram development for the
80386 chip.
The 80486 and P5 Pentium line of processors were descendants of the 80386 design.

Intel 80486
The Intel 486 ("four-eighty-six"), also known as the i486 or 80486 was a higher performance follow-up to
the Intel

80386microprocessor.

The

486

was

introduced

in

1989

and

was

the

first

tightly pipelined x86 design as well as the first x86 chip to use more than a million transistors, due to a
large on-chip cache and an integrated floating-point unit. It represents a fourth generation of binary
compatible CPUs since the original 8086 of 1978.
A 50 MHz 486 executes around 40 million instructions per second on average and is able to reach 50 MIPS
peak performance.
The i486 does not have the usual 80-prefix because of a court ruling that prohibits trade marking numbers
(such as 80486). Later, with the introduction of the Pentium brand, Intel began branding its chips with
words rather than numbers.

ARCHITECTURE:
The instruction set of the i486 is very similar to its predecessor, the Intel 80386, with the addition of only a
few extra instructions, such as CMPXCHG which implements an compare-and-swap atomic operation and
XADD, a fetch-and-add atomic operation returning the original value (unlike a standard ADD which
"returns" flags only).
From a performance point of view, the architecture of the i486 is a vast improvement over the 80386. It has
an on-chip unified instruction and data cache, an on-chip floating-point unit (FPU) and an
enhanced bus interface unit. Due to the tight pipelining, sequences of simple instructions (such as ALU
reg, reg and ALU reg, im ) could sustain a single clock cycle throughput (one instruction completed every
clock). These improvements yielded a rough doubling in integer ALU performance over the 386 at the

same clock rate. A 16-MHz 486 therefore had a performance similar to a 33-MHz 386, and the older
design had to reach 50 MHz to be comparable with a 25-MHz 486 part

FIG: The 486DX2 architecture

Differences between i386 and i486

An 8 KB on-chip (level 1) SRAM cache stores the most recently used instructions and data
(16 KB and/or write-back on some later models). The 386 had no such internal cache but supported a
slower off-chip cache (which was not a level 2 cache, as there was no internal level 1 cache on the
80386).

Tightly coupled pipelining allows the 486 to complete a simple instruction like ALU reg, reg or
ALU reg,im every clock cycle (even though the latency was several cycles). The 386 needed two
clock cycles for this.

Integrated FPU (disabled or absent in SX models) with a dedicated local bus; together with faster
algorithms on more extensive hardware than in the i387, this gives faster floating point calculations
compared to the i386+i387combination.

Improved MMU performance.

Just as in the 80386, the 32-bit offset registers (x86-terminology for normal CPU registers) allowed a
simple flat 4 GB memory model, by setting all segment registers to zero. This was possible in the
simple real mode as well as in the advanced protected mode. The registers were thereby directly
interpreted as 32-bit linear (virtual) addresses, bypassing the segmentation logic. Linear addresses were
then normally mapped onto physical addresses by the paging system, however (unless in "real" mode). Just
as with the 80386, this ability to circumvent memory segmentation could further help performance in
compliant operating systems and applications, compared to the earlier 8086 and 80286.
The 486 has a 32-bit data bus. This required either four matched 30-pin (8-bit) SIMMs or one 72-pin (32bit) SIMM on a typical PC motherboard. The address bus used 30-bits (A31..A2) complemented by four
byte-select pins (instead of A0,A1) to allow for any 8/16/32-bit selection. This meant that the limit of
directly addressable physical memory was 4 gigabytes as well,(230 32-bit words = 232 8-bit words).

Pentium
The name Pentium is originally derived from the Greek word pente (), meaning "five" (as the original
Pentium processors used Intel's fifth-generation microarchitecture, the P5), and the Latin ending -ium. The
current Pentium processors only share the name but are in fact based on the same processor chips that are
used in the Intel Core but are typically used with a lower clock frequency, a partially disabled L3
cache and some of the advanced features such as hyper-threading and virtualization disabled.

L1 cache

1632 KiB

Predecessor

Intel 80486

Successor

P6
Socket 4

Socket(s)
Socket 5
Socket 7

The Pentium

Pro is

sixth-generation x86 microprocessor developed

and

manufactured

by Intel introduced in November 1, 1995. It introduced the P6 microarchitecture (sometimes referred to as


i686) and was originally intended to replace the original Pentium in a full range of applications.
Produced

From November 1, 1995 to 1998


Intel

Common manufacturer(s)
Max. CPU clock rate

150 MHz to 200 MHz

FSB speeds

60 MHz to 66 MHz

Min. feature size

0.35 m to 0.50 m

Instruction set

x86

Microarchitecture

P6

Cores

Socket(s)

Socket 8

While the Pentium and Pentium MMX had 3.1 and 4.5 million transistors, respectively, the Pentium Pro
contained 5.5 million transistors. Later, it was reduced to a more narrow role as a server and high-end
desktop processor and was used in supercomputers like ASCI Red, the first computer to reach
the teraFLOPS performance mark. The Pentium Pro was capable of both dual- and quad-processor
configurations. It only came in one form factor, the relatively large rectangular Socket 8. The Pentium Pro
was succeeded by the Pentium II Xeon in 1998.

Core Process

Frequency

L2 Cache

256 KB

FSB

Socket

P6

0.5 m 150 MHz

P6

0.35 m 166200 MHz 2561024 KB 6066 MHz Socket 8

Release date

6066 MHz Socket 8 November 1995

List of Intel Pentium Pro microprocessors

P II:
The Pentium II microprocessor was largely based upon the microarchitecture of its predecessor,
the Pentium Pro, but with some significant improvements.

Logo

Produced

From mid-1997 to early 1999


Intel

Common manufacturer(s)
Max. CPU clock rate

233 MHz to 450 MHz

FSB speeds

66 MHz to 100 MHz

Min. feature size

0.35 m to 0.18 m

Instruction set

IA-32, MMX

Microarchitecture

P6

Cores

Predecessor

Pentium, Pentium Pro

Successor

Pentium III
Slot 1

Socket(s)

MMC-1
MMC-2
Mini-Cartridge
PPGA-B615 (PGA1)
Klamath

Core name(s)

Deschutes
Tonga
Dixon

Unlike previous Pentium and Pentium Pro processors, the Pentium II CPU was packaged in a slot-based
module rather than a CPU socket. The processor and associated components were carried on
a daughterboard similar to a typical expansion board within a plastic cartridge. A fixed or
removable heatsink was carried on one side, sometimes using its own fan.
This larger package was a compromise allowing Intel to separate the secondary cache from the processor
while still keeping it on a closely coupled back-side bus. The L2 cache ran at half the processor's clock
frequency, unlike the Pentium Pro, whose off die L2 cache ran at the same frequency as the processor.
However, the smallest cache size was increased to 512 KB from the 256 KB on the Pentium Pro. Offpackage cache solved the Pentium Pro's low yields, allowing Intel to introduce the Pentium II at a
mainstream price level. This arrangement also allowed Intel to easily vary the amount of L2 cache, thus
making it possible to target different market segments with cheaper or more expensive processors and
accompanying performance levels.
Intel notably improved 16-bit code execution performance on the Pentium II, an area in which the Pentium
Pro was at a notable handicap. Most consumer software of the day was still using at least some 16-bit code,
because of a variety of factors. The Pentium II went to 32 KB of L1 cache, double that of the Pentium Pro,
as

well.

The

Pentium

II

was

also

the

first

P6-based

CPU

to

implement

MMX integer SIMD instruction set which had already been introduced on the Pentium MMX.

the Intel

The Pentium II was basically a more consumer-oriented version of the Pentium Pro. It was cheaper to
manufacture because of the separate, slower L2 cache memory. The improved 16-bit performance and
MMX support made it a better choice for consumer-level operating systems, such as Windows 9x, and
multimedia applications. Combined with the larger L1 cache and improved 16-bit performance, the slower
and cheaper L2 cache's performance impact was reduced. General processor performance was increased
while costs were cut.

Core

Process

Frequency

L2 Cache

FSB

Socket Release date

Klamath 0.35 m 233300 MHz 512 KB

66 MHz

Slot 1

May 1996

Deschutes 0.25 m 266450 MHz 512 KB

66100 MHz Slot 1

Tonga

0.25 m 233300 MHz 512 KB

66 MHz

MMC-2 April 1998

Dixon

0.25 m 266366 MHz 256 KB

66 MHz

MMC-2

January 1998

List of Intel Pentium II microprocessors

P III
The Pentium III (marketed as Intel Pentium III Processor, informally PIII, also stylized as
Pentium !!! ) brand refers to Intel's 32-bitx86 desktop and mobile microprocessors based on
the sixth-generation P6 microarchitecture introduced on February 26, 1999. The brand's
initial processors were very similar to the earlier Pentium II-branded microprocessors.

Produced

From early 1999 to 2003


Intel

Common
manufacturer(s)
Max. CPU clock rate

450 MHz to 1.4 GHz

FSB speeds

100 MHz to 133 MHz

Min. feature size

0.25 m to 0.13 m

Instruction set

IA-32, MMX, SSE

Microarchitecture

P6

Cores

Predecessor

Pentium II

Successor

Pentium 4, Xeon, Celeron,Pentium


M

Socket(s)

Slot 1
Socket 370
Socket 479 (mobile)

Core name(s)

Katmai

Coppermine
Coppermine T
Tualatin

The most notable differences were the addition of the SSE instruction set (to
accelerate floating point and parallel calculations), and the introduction of a controversial
serial number embedded in the chip during the manufacturing process.
Similarly to the Pentium II it superseded, the Pentium III was also accompanied by
the Celeron brand for lower-end versions, and theXeon for high-end (server and workstation)
derivatives. The Pentium III was eventually superseded by the Pentium 4, but its Tualatincore
also served as the basis for the Pentium M CPUs, which used many ideas from the P6
microarchitecture. Subsequently, it was the Pentium M microarchitecture of Pentium M
branded CPUs, and not the NetBurst found in Pentium 4 processors, that formed the basis for
Intel's energy-efficient Core microarchitecture of CPUs branded Core 2, Pentium DualCore, Celeron (Core), and Xeon.

Core

Katmai

Process

L2

0.13 m

4001130 MH
z

7001400 MH
z

FSB

Cache

0.25 m 450600 MHz 512 KB

Coppermine 0.18 m

Tualatin

Frequency

256 KB

512 KB

100133 MH
z

Socket

Slot 1

100133 MH Slot 1, Socket


z

100133 MH
z

370, BGA2, PGA2

Socket 370, BGA2, PGA2

List of Intel Pentium III microprocessors

Release date

February
1999

October 1999

Produced

From early 1999 to 2003


Intel

Common
manufacturer(s)
Max. CPU clock rate

450 MHz to 1.4 GHz

FSB speeds

100 MHz to 133 MHz

Min. feature size

0.25 m to 0.13 m

Instruction set

IA-32, MMX, SSE

Microarchitecture

P6

Cores

Predecessor

Pentium II

Successor

Pentium 4, Xeon, Celeron,Pentium


M

Socket(s)

Slot 1
Socket 370
Socket 479 (mobile)

Core name(s)

Katmai
Coppermine
Coppermine T
Tualatin

Das könnte Ihnen auch gefallen