Beruflich Dokumente
Kultur Dokumente
Questa AutoCheck,
CoverCheck, and Formal
Connectivity Checking
Chris Rockwood
Verification Technologist
Design Verification Technology Division
April 2014
Agenda
Questa AutoCheck
Questa CoverCheck
www.mentor.com
Company Confidential
Questa Platform
A broad arsenal of
verification solutions
Seamless integration of
formal and simulation
Common compilers
www.mentor.com
Company Confidential
Property
Checking
Automated
Applications
Fully
Automatic
Low
Effort
I/F Protocols
Control Logic
Data Integrity
Post-Silicon Debug
Connectivity
Register Map Checks
Design Constraints
Assertion Generation
Reset and X-States
Improve Coverage
Automatic Checks
CDC Verification
2014 Mentor Graphics Corp.
www.mentor.com
Company Confidential
Property
Checking
Automated
Applications
Fully
Automatic
Low
Effort
I/F Protocols
Control Logic
Data Integrity
Post-Silicon Debug
Connectivity
Register Map Checks
Design Constraints
Assertion Generation
Reset and X-States
Improve Coverage
Automatic Checks
CDC Verification
2014 Mentor Graphics Corp.
www.mentor.com
Company Confidential
Agenda
Questa AutoCheck
Questa CoverCheck
www.mentor.com
Company Confidential
Property
Checking
Automated
Applications
Fully
Automatic
Low
Effort
I/F Protocols
Control Logic
Data Integrity
Post-Silicon Debug
Connectivity
Register Map Checks
Design Constraints
Assertion Generation
Reset and X-States
Improve Coverage
Automatic Checks
CDC Verification
2014 Mentor Graphics Corp.
www.mentor.com
Company Confidential
Automatic Checks
Verilog,
VHDL,
SystemVerilog
www.mentor.com
Company Confidential
Automatic Checks
Verilog,
VHDL,
SystemVerilog
Synthesized
Netlist
No testbench
No assertions
No constraints (initially)
Assumptions optional
Formal
Netlist
www.mentor.com
Company Confidential
www.mentor.com
Company Confidential
Develop RTL
RTL
www.mentor.com
Company Confidential
Develop RTL
Develop testbench
Testbench
www.mentor.com
RTL
Company Confidential
Develop RTL
Develop testbench
Run simulation
Testbench
Simulate
RTL
www.mentor.com
Company Confidential
Develop RTL
Develop testbench
Testbench
RTL
Simulate
Run simulation
Measure results
Coverage
www.mentor.com
Company Confidential
Develop RTL
Develop testbench
Testbench
RTL
Simulate
Run simulation
Measure results
Analyze results
Coverage
Analyze
Bug?
www.mentor.com
Company Confidential
Develop RTL
Develop testbench
Testbench
RTL
Simulate
Run simulation
Measure results
Analyze results
Analyze
Coverage
Bug?
www.mentor.com
Company Confidential
www.mentor.com
Company Confidential
Develop RTL
RTL
www.mentor.com
Company Confidential
Develop RTL
RTL
Run AutoCheck
AutoCheck
www.mentor.com
Company Confidential
Develop RTL
RTL
Run AutoCheck
AutoCheck
Analyze results
Bug?
Analyze
www.mentor.com
Company Confidential
Develop RTL
Run AutoCheck
Analyze results
Bug?
RTL
AutoCheck
www.mentor.com
Analyze
Company Confidential
Develop RTL
Run AutoCheck
Analyze results
Bug?
RTL
AutoCheck
Analyze
www.mentor.com
Company Confidential
Questa AutoCheck
Questa
10
www.mentor.com
Company Confidential
Questa AutoCheck
Questa
No testbench required
You can use it whenever you have RTL available for your
block/chip and BEFORE you simulate
10
www.mentor.com
Company Confidential
Questa AutoCheck
Questa
No testbench required
You can use it whenever you have RTL available for your
block/chip and BEFORE you simulate
10
www.mentor.com
Company Confidential
Questa AutoCheck
Questa
No testbench required
You can use it whenever you have RTL available for your
block/chip and BEFORE you simulate
Easy to run
2014 Mentor Graphics Corp.
10
www.mentor.com
Company Confidential
Arithmetic checks
Overflow checks
Division by 0 checks
Easy debugging
Show waveforms
Source code view
11
www.mentor.com
Company Confidential
Arithmetic checks
Overflow checks
Division by 0 checks
Easy debugging
Show waveforms
Source code view
11
www.mentor.com
Company Confidential
Easy to debug
12
www.mentor.com
Company Confidential
Easy to debug
12
www.mentor.com
Company Confidential
else
case (FSMst)
3'b100: en2 <= 1'b1; 3'b010: en2 <= 1'b1;
3'b001: en2 <= 1'b0; default: en2 <= 1'b0; endcase
13
www.mentor.com
Company Confidential
else
case (FSMst)
3'b100: en2 <= 1'b1; 3'b010: en2 <= 1'b1;
always 0
dead code
13
www.mentor.com
Company Confidential
case (cstate)
3'b001: if (en)
nstate <= 3'b010;
else
nstate <= 3'b001;
3'b010: nstate <= 3'b100;
3'b100: if (bar)
nstate <= 3'b100;
else
nstate <= 3'b100;
default: nstate <= 3'b001;
endcase
FSM.v
Complex
Sequential
Logic
bar
14
www.mentor.com
Company Confidential
case (cstate)
3'b001: if (en)
nstate <= 3'b010;
else
nstate <= 3'b001;
3'b010: nstate <= 3'b100;
3'b100: if (bar)
nstate <= 3'b100;
else
nstate <= 3'b100;
default: nstate <= 3'b001;
endcase
FSM.v
Complex
Sequential
Logic
bar
Typo in FSM,
FSM structurally incorrect
Lint and
AutoCheck
Catch it
14
www.mentor.com
Company Confidential
case (cstate)
3'b001: if (en)
nstate <= 3'b010;
else
nstate <= 3'b001;
3'b010: nstate <= 3'b100;
3'b100: if (bar)
nstate <= 3'b001;
3'b100;
else
nstate <= 3'b100;
default: nstate <= 3'b001;
endcase
FSM.v
Complex
Sequential
Logic
bar
Lint and
AutoCheck
Catch it
14
www.mentor.com
Company Confidential
case (cstate)
3'b001: if (en)
nstate <= 3'b010;
else
nstate <= 3'b001;
3'b010: nstate <= 3'b100;
3'b100: if (bar)
nstate <= 3'b001;
3'b100;
else
nstate <= 3'b100;
default: nstate <= 3'b001;
endcase
FSM.v
Complex
Sequential
Logic
bar
FSM.v
Lint
and
Only
AutoCheck
AutoCheck
Catch itit
catches
14
www.mentor.com
Company Confidential
15
www.mentor.com
Company Confidential
typo
15
www.mentor.com
Company Confidential
good
15
www.mentor.com
Company Confidential
unreachable
good
15
www.mentor.com
Company Confidential
Develop RTL
Run AutoCheck
Clock-in-data checks
Analyze results
Bug?
16
www.mentor.com
Company Confidential
Develop RTL
Run AutoCheck
Clock-in-data checks
Analyze results
Bug?
16
www.mentor.com
Company Confidential
17
www.mentor.com
Company Confidential
Select
Category
17
www.mentor.com
Company Confidential
Select
Category
RMB choose
menu item to show
source/schematic/
FSM/waveforms
17
www.mentor.com
Company Confidential
Conclusion
18
www.mentor.com
Company Confidential
Conclusion
Questa
18
www.mentor.com
Company Confidential
Conclusion
Questa
18
www.mentor.com
Company Confidential
Agenda
Questa AutoCheck
Questa CoverCheck
19
www.mentor.com
Company Confidential
Property
Checking
Automated
Applications
Fully
Automatic
Low
Effort
I/F Protocols
Control Logic
Data Integrity
Post-Silicon Debug
Connectivity
Register Map Checks
Design Constraints
Assertion Generation
Reset and X-States
Improve Coverage
Automatic Checks
CDC Verification
2014 Mentor Graphics Corp.
20
www.mentor.com
Company Confidential
RTL
Verilog,
VHDL,
SystemVerilog
21
www.mentor.com
Company Confidential
RTL
Verilog,
VHDL,
SystemVerilog
Synthesized
Netlist
No testbench
No assertions
No constraints (initially)
Assumptions optional
21
Formal
Netlist
www.mentor.com
Company Confidential
Coverage Metrics
Checks that all requirements for the design have been tested
Does the design work in all scenarios?
22
www.mentor.com
Company Confidential
Code/FSM/Assertion Coverage
Transaction/Structural Coverage
Functional Coverage
23
www.mentor.com
Company Confidential
Example:
always @(posedge clk or negedge rstn)
C <= A && B;
Active
Hits
Misses
% Covered
----------------
------
----
------
---------
415
387
28
93.2
Stmts
24
www.mentor.com
Company Confidential
Example:
always @(posedge clk or negedge rstn)
C <= A && B;
Active
Hits
Misses
% Covered
----------------
------
----
------
---------
415
387
28
93.2
Stmts
24
www.mentor.com
Company Confidential
Enabled Coverage
Active
Hits
Misses % Covered
----------------
------
----
------ ---------
47
45
Branches
2
2014 Mentor Graphics Corp.
25
www.mentor.com
95.7
Company Confidential
Enabled Coverage
Active
Hits
Misses % Covered
----------------
------
----
------ ---------
47
45
Branches
2
2014 Mentor Graphics Corp.
25
www.mentor.com
95.7
Company Confidential
Example:
if (ce && we)
1
0/1
Enabled Coverage
Active
Hits
Misses
% Covered
----------------
------
----
------
---------
16
13
81.2
26
www.mentor.com
Company Confidential
Example:
ce = 0,1; we = 0,1
ce is uncovered:
Never hit 0
Enabled Coverage
Active
Hits
Misses
% Covered
----------------
------
----
------
---------
16
13
81.2
26
www.mentor.com
Company Confidential
wire C = A && B
1
0/1
Enabled Coverage
Active
Hits
Misses
% Covered
----------------
------
----
------
---------
25
14
11
56.0
27
www.mentor.com
Company Confidential
must be hit:
wire C = A && B
1
0/1
A = 0,1; B = 0,1
A is uncovered:
Never hit 0
Enabled Coverage
Active
Hits
Misses
% Covered
----------------
------
----
------
---------
25
14
11
56.0
27
www.mentor.com
Company Confidential
reg FF_A;
always @(posedge clk)
FF_A <= din;
Enabled Coverage
Active
Hits
Misses
% Covered
----------------
------
----
------
---------
356
351
98.5
Toggle Bins
28
www.mentor.com
Company Confidential
0 to 1 and 1 to 0
bin
bin
reg FF_A;
always @(posedge clk)
FF_A <= din;
Enabled Coverage
Active
Hits
Misses
% Covered
----------------
------
----
------
---------
356
351
98.5
Toggle Bins
28
www.mentor.com
Company Confidential
29
Active
Hits
Misses
% Covered
----------------
------
----
------
---------
States
100.0
Transitions
80.0
Company Confidential
www.mentor.com
29
Active
Hits
Misses
% Covered
----------------
------
----
------
---------
States
100.0
Transitions
80.0
Company Confidential
www.mentor.com
Directed tests
Constrained-random tests
Intelligent testbench generation (e.g., Questa inFact)
Spend a lot of time analyzing and applying new vectors
30
www.mentor.com
Company Confidential
Coverage Model
31
www.mentor.com
Company Confidential
Branch
Coverage Model
31
www.mentor.com
Company Confidential
Branch
Condition/Expression
Coverage Model
31
www.mentor.com
Company Confidential
Branch
Condition/Expression
Statement
Coverage Model
31
www.mentor.com
Company Confidential
Branch
Condition/Expression
Statement
Toggle
Coverage Model
31
www.mentor.com
Company Confidential
Branch
Condition/Expression
Statement
Toggle
Coverage Model
FSM
31
www.mentor.com
Company Confidential
Branch
Condition/Expression
Statement
Toggle
Coverage Model
FSM
31
www.mentor.com
Company Confidential
Branch
Condition/Expression
Statement
Toggle
Coverage Model
FSM
31
www.mentor.com
Company Confidential
32
www.mentor.com
Company Confidential
32
www.mentor.com
Company Confidential
reg T;
always @* begin
T = 1'bX;
case (R)
2'b00:
T
2'b01:
T
2'b10:
T
2'b11:
T
endcase
end
32
www.mentor.com
=
=
=
=
1'b0;
1'b1;
1'b1;
1'b0;
Company Confidential
CoverCheck
33
www.mentor.com
Company Confidential
CoverCheck
Coverage Exclusions
TB
Coverage
Results
Simulation
33
www.mentor.com
Company Confidential
CoverCheck
Coverage Exclusions
TB
Coverage
Results
Simulation
33
www.mentor.com
Company Confidential
CoverCheck
Coverage Exclusions
TB
Coverage
Results
Simulation
33
www.mentor.com
Company Confidential
Simulation
TB
34
www.mentor.com
Company Confidential
Simulation
TB
Coverage
Results
34
www.mentor.com
Company Confidential
Simulation
TB
Coverage
Results
CoverCheck
34
www.mentor.com
Company Confidential
Simulation
TB
Coverage
Results
Coverage Exclusions
CoverCheck
34
www.mentor.com
Company Confidential
Simulation
TB
Coverage
Results
Coverage Exclusions
CoverCheck
34
www.mentor.com
Company Confidential
35
www.mentor.com
Company Confidential
35
www.mentor.com
Company Confidential
36
www.mentor.com
Company Confidential
36
www.mentor.com
Company Confidential
#
#
#
coverage exclude -du work.pci_wb_slave -srcfile /project/design/rtl/vlog/pci_wb_slave.v
-linerange 757 -item s 1 -comment "CoverCheck:Statement"
coverage exclude -du work.pci_wb_slave_unit -srcfile project/design/rtl/vlog/pci_wb_slave_unit.v
-fecexprrow 703 2 -item 1 -comment "CoverCheck:Expression"
coverage exclude -du work.pci_wb_slave -srcfile /project/design/rtl/vlog/pci_wb_slave.v
-feccondrow 886 1 -item 1 -comment "CoverCheck:Condition"
coverage exclude -du work.pci_conf_space -togglenode pci_ba0_bit31_12\[12\] -trans 10
-comment "CoverCheck:Toggle"
coverage exclude -du work.pci_wb_slave -fstate c_state S_CONF_READ
-comment "CoverCheck:FSM"
coverage exclude -cvgpath {/SYSTEM/bridge32_top/bridge/i_pci_target_unit/.../cp/auto[0]}
-comment "CoverCheck:Coverbin"
...
2014 Mentor Graphics Corp.
37
www.mentor.com
Company Confidential
#
#
#
coverage exclude -du work.pci_wb_slave -srcfile /project/design/rtl/vlog/pci_wb_slave.v
-linerange 757 -item s 1 -comment "CoverCheck:Statement"
coverage exclude -du work.pci_wb_slave_unit -srcfile project/design/rtl/vlog/pci_wb_slave_unit.v
-fecexprrow 703 2 -item 1 -comment "CoverCheck:Expression"
coverage exclude -du work.pci_wb_slave -srcfile /project/design/rtl/vlog/pci_wb_slave.v
-feccondrow 886 1 -item 1 -comment "CoverCheck:Condition"
coverage exclude -du work.pci_conf_space -togglenode pci_ba0_bit31_12\[12\] -trans 10
-comment "CoverCheck:Toggle"
coverage exclude -du work.pci_wb_slave -fstate c_state S_CONF_READ
New in 10.3
-comment "CoverCheck:FSM"
coverage exclude -cvgpath {/SYSTEM/bridge32_top/bridge/i_pci_target_unit/.../cp/auto[0]}
-comment "CoverCheck:Coverbin"
...
2014 Mentor Graphics Corp.
37
www.mentor.com
Company Confidential
Read in the old .ucdb, apply the exclusions, write out a new .ucdb
Example:
> vsim -c -viewcov sim.ucdb \
-do do ex.do; \
coverage save sim_w_excludes.ucdb; exit
38
www.mentor.com
Company Confidential
Read in the old .ucdb, apply the exclusions, write out a new .ucdb
Example:
> vsim -c -viewcov sim.ucdb \
Apply the exclusions
-do do ex.do; \
coverage save sim_w_excludes.ucdb; exit
38
www.mentor.com
Company Confidential
Active
-----98
22
0
186
Hits
---93
21
0
57
3
5
106
3
4
76
Misses % Covered
------ --------5
94.8
1
95.4
0
100.0
129
30.6
90.0
0
100.0
1
80.0
30
71.6
COVERGROUP TYPES: 4
With exclusions
Active
-----93
21
0
186
Hits
---93
21
0
58
3
5
106
3
4
76
Misses % Covered
------ --------0
100.0
0
100.0
0
100.0
128
31.1
90.0
0
100.0
1
80.0
30
71.6
COVERGROUP TYPES: 4
39
www.mentor.com
Company Confidential
Code coverage was only 55% when CoverCheck was first used
40
www.mentor.com
Company Confidential
Schedule
predictability
Improved
metrics
Improved
design quality
Elimination of
waiver rot
41
www.mentor.com
Company Confidential
Agenda
Questa AutoCheck
Questa CoverCheck
42
www.mentor.com
Company Confidential
Property
Checking
Automated
Applications
Fully
Automatic
Low
Effort
I/F Protocols
Control Logic
Data Integrity
Post-Silicon Debug
Connectivity
Register Map Checks
Design Constraints
Assertion Generation
Reset and X-States
Improve Coverage
Automatic Checks
CDC Verification
2014 Mentor Graphics Corp.
43
www.mentor.com
Company Confidential
PHY
PHY
PHY
CPU
USB
Ethernet
Protocol
Custom
Core
MasterIF
SlaveIF
MasterIF
MasterIF
SlaveIF
Arbiter
AMBAAHB/AXI
MasterIF
MasterIF
CPU
Memory
DMA
Bridge
Sub
Clock
Domain
AMBAAPB
SlaveIF
SlaveIF
UART
GPIO
Bridge
PCI
Express
PHY
44
www.mentor.com
Company Confidential
PHY
PHY
PHY
PHY
CPU
USB
Ethernet
Protocol
Custom
Core
MasterIF
SlaveIF
MasterIF
MasterIF
SlaveIF
Arbiter
Objective
AMBAAHB/AXI
MasterIF
MasterIF
CPU
Memory
DMA
Bridge
Sub
Clock
Domain
AMBAAPB
SlaveIF
SlaveIF
UART
GPIO
Bridge
PCI
Express
PHY
Challenge
Benefit of
Formal
Approach
44
www.mentor.com
Company Confidential
PHY
PHY
PHY
PHY
CPU
USB
Ethernet
Protocol
Custom
Core
MasterIF
SlaveIF
MasterIF
MasterIF
SlaveIF
Arbiter
Objective
AMBAAHB/AXI
MasterIF
MasterIF
CPU
Memory
DMA
Bridge
Sub
Clock
Domain
AMBAAPB
SlaveIF
SlaveIF
UART
GPIO
Bridge
PCI
Express
PHY
Challenge
Benefit of
Formal
Approach
44
www.mentor.com
Company Confidential
PHY
PHY
PHY
PHY
CPU
USB
Ethernet
Protocol
Custom
Core
MasterIF
SlaveIF
MasterIF
MasterIF
SlaveIF
Arbiter
Objective
AMBAAHB/AXI
MasterIF
MasterIF
CPU
Memory
DMA
Bridge
Sub
Clock
Domain
AMBAAPB
SlaveIF
SlaveIF
UART
GPIO
Bridge
PCI
Express
PHY
Challenge
Benefit of
Formal
Approach
44
www.mentor.com
Company Confidential
PHY
PHY
PHY
PHY
CPU
USB
Ethernet
Protocol
Custom
Core
MasterIF
SlaveIF
MasterIF
MasterIF
SlaveIF
Arbiter
Objective
AMBAAHB/AXI
MasterIF
MasterIF
CPU
Memory
DMA
Bridge
Sub
Clock
Domain
AMBAAPB
SlaveIF
SlaveIF
UART
GPIO
Bridge
PCI
Express
PHY
Challenge
Benefit of
Formal
Approach
44
www.mentor.com
Company Confidential
Connectivity Applications
Power rails
Memory-related signals
Other
45
www.mentor.com
Company Confidential
46
www.mentor.com
Company Confidential
Checker
Keyword
Source
Destination
connect
Signal 1
Signal 2
connect_dly
Signal 1
Signal 2
cond
Signal 1
Signal 2
cond_dly
Signal 1
Signal 2
Mutex
Signal 1
tied_high
Signal 1
tied_low
Signal 1
Condition
Delay
Delay Value
Condition
Signal
Condition
Signal
Delay Value
46
www.mentor.com
Company Confidential
Checker
Keyword
Source
Destination
connect
Signal 1
Signal 2
connect_dly
Signal 1
Signal 2
cond
Signal 1
Signal 2
cond_dly
Signal 1
Signal 2
Mutex
Signal 1
tied_high
Signal 1
tied_low
Signal 1
Condition
Delay
Delay Value
Condition
Signal
Condition
Signal
Delay Value
Auto-generate
assertions and
testplan
46
www.mentor.com
Company Confidential
Checker
Keyword
Source
Destination
connect
Signal 1
Signal 2
connect_dly
Signal 1
Signal 2
cond
Signal 1
Signal 2
cond_dly
Signal 1
Signal 2
Mutex
Signal 1
tied_high
Signal 1
tied_low
Signal 1
Condition
Delay
RTL
Delay Value
Condition
Signal
Condition
Signal
Delay Value
Auto-generate
assertions and
testplan
Questa
Formal
No testbench needed
14 automatically generated check types
+ coverage + testplan
46
www.mentor.com
Company Confidential
Checker
Keyword
Source
Destination
connect
Signal 1
Signal 2
connect_dly
Signal 1
Signal 2
cond
Signal 1
Signal 2
cond_dly
Signal 1
Signal 2
Mutex
Signal 1
tied_high
Signal 1
tied_low
Signal 1
Condition
Delay
RTL
Delay Value
Condition
Signal
Condition
Signal
Delay Value
Auto-generate
assertions and
testplan
Questa
Formal
No testbench needed
14 automatically generated check types
+ coverage + testplan
Questa VM
46
www.mentor.com
Company Confidential
47
www.mentor.com
Company Confidential
Not feasible any more; designs are too large and complex
Simulation
48
www.mentor.com
Company Confidential
Not feasible any more; designs are too large and complex
Simulation
48
www.mentor.com
Company Confidential
Not feasible any more; designs are too large and complex
Simulation
48
www.mentor.com
Company Confidential
Not feasible any more; designs are too large and complex
Simulation
48
www.mentor.com
Company Confidential
Not feasible any more; designs are too large and complex
Simulation
48
www.mentor.com
Company Confidential
Not feasible any more; designs are too large and complex
Simulation
48
www.mentor.com
Company Confidential
connect
Direct connect
connect_dly
connect_inv
connect_allsame
src[N:0]
dest[N:0]
src[N:0]
dest[N:0]
src[N:0]
dest[N:0]
src[N:0]
dest[M:0]
All 0s or all 1s
49
www.mentor.com
Company Confidential
Direct connect
src[N:0]
cond
dest[N:0]
conditionally
cond_dly
src[N:0]
dest[N:0]
conditionally
cond_inv
src[N:0]
dest[N:0]
conditionally
cond_allsame
All 0s or all 1s
src[N:0]
dest[M:0]
conditionally
2014 Mentor Graphics Corp.
50
www.mentor.com
Company Confidential
mutex
cond_mutex
tied_high
cond_tied_high
tied_low
cond_tied_low
00010
src[N:0]
00010
cond
src[N:0]
src[N:0]
cond
src[N:0]
src[N:0]
cond
51
src[N:0]
www.mentor.com
Company Confidential
Coverage
Example
$rose(cond[i]) );
$fell(cond[i]) );
52
www.mentor.com
Company Confidential
qconnect_check
work
qconnect_checkers.sv
qconnect_bind.sv
vlog/vcom
RTL
formal
compile
formal
verify
53
www.mentor.com
Company Confidential
qconnect_check
work
qconnect_checkers.sv
qconnect_bind.sv
vlog/vcom
RTL
formal
compile
formal
verify
53
www.mentor.com
Company Confidential
Signal names for src/dest/cond must use SV top-down hierarchical references, can be constant Verilog values
Condition can be a signal or expression, delay is number of clock cycles
Comment with # and white spaces are permitted
type
src
dest
cond
delay
connect
signal
signal
connect_dly
signal
signal
connect_inv
signal
signal
connect_allsame
signal
signal
cond
signal
signal
signal/expression
cond_dly
signal
signal
signal/expression
cond_inv
signal
signal
signal/expression
cond_allsame
signal
signal
signal/expression
mutex
signal
cond_mutex
signal
tied_high
signal
cond_tied_high
signal
tied_low
signal
cond_tied_low
signal
signal/expression
signal/expression
signal/expression
2014 Mentor Graphics Corp.
54
www.mentor.com
Company Confidential
qconnect_check
work
qconnect_checkers.sv
qconnect_bind.sv
vlog/vcom
RTL
formal
compile
formal
verify
55
www.mentor.com
Company Confidential
qconnect_check
work
qconnect_checkers.sv
qconnect_bind.sv
vlog/vcom
RTL
formal
compile
formal
verify
55
www.mentor.com
Company Confidential
qverify log_conn/formal_verify.db
56
www.mentor.com
Company Confidential
qverify log_conn/formal_verify.db
View Source
(with annotation)
56
www.mentor.com
Company Confidential
qverify log_conn/formal_verify.db
View Source
(with annotation)
View Waveforms
56
www.mentor.com
Company Confidential
qverify log_conn/formal_verify.db
View Source
(with annotation)
View Waveforms
View Schematics
56
www.mentor.com
Company Confidential
Property
Checking
Automated
Applications
Fully
Automatic
Low
Effort
I/F Protocols
Control Logic
Data Integrity
Post-Silicon Debug
Connectivity
Register Map Checks
Design Constraints
Assertion Generation
Reset and X-States
Improve Coverage
Automatic Checks
CDC Verification
2014 Mentor Graphics Corp.
57
www.mentor.com
Company Confidential
www.mentor.com
Company Confidential