Sie sind auf Seite 1von 3

Unied Power Format

clarify the semantics of the intent - this provides


portability of design intent across many vendors
tools

Unied Power Format (UPF) is the popular name


of the Institute of Electrical and Electronics Engineers
(IEEE) standard for specifying power intent in power optimization of electronic design automation. The IEEE
18012009 release of the standard was based on a donation from the Accellera organization.

Add support for incremental renement - Platinum source (constraints) from IP vendors, Golden
source (conguration) from IP integrators, and Silicon source (implementation choices) from those that
realize the instantiations.

History

Add support for bottom up and top down design


A Unied Power Format technical committee was formed
by the Accellera organization, chaired by Stephen Bailey
of Mentor Graphics. As a reaction to the Power Forward
Initiative the group was proposed in July 2006 and met
on September 13, 2006.[1] It submitted its rst draft in
January 2007, and a version 1.0 was approved to be published on February 26, 2007.[2] Joe Daniels was technical
editor.[3]

add documentation of the support for wildcard and


regular expression selection of design instances
clarify the dierences between ports and pins
provide for convergence capability from both UPF
and Common Power Format of the Silicon Integration Initiative (Si2)

Files written to this standard annotate an electric design


with the power and power control intent of that design. The IEEE group was initially called the Low Power
Study Group. Proposed standards have the letter P in
Elements of that annotation include:
front of them (such as P1801), which is removed and re Power Supplies: supply nets, supply sets, power placed with a dash and year when the standard is ratied.
Acceleras UPF 1.0 was donated to the IEEE as a basis
states
of this standard in June 2006.[6]
Power Control: power switches
After reviewing 14 drafts, on March 27, 2009, the Stan Additional Protection: level shifters and isolation
dard for Design and Verication of Low Power Integrated
Circuits was published as IEEE Std 1801-2009.[7] It is
Memory retention during times of limited power:
sometimes called UPF 2.0. Bailey was also chairman of
retention strategies and supply set power states
the IEEE group.[8] Another notable supporter of the stan Renable descriptions of the potential power ap- dard was Synopsys.[9] A follow-on project planned to deplied to the electronic system: power states, tran- velop a list of frequently asked questions (FAQ) about the
sitions, a set of simstate, pg_type and function at- specication.[5]
tributes of nets, and the -update argument to support
the progressive renement of the power intent.

2 References

The standard describes extensions to the Tool Command


Language (Tcl): commands and arguments for anotating a design hierarchy which has been read into a tool.
Semantics for inferring additional elements in the design
from the intent are provided in the standard. Digital designers, IP Block providers, Physical Designers, and Verication engineers make use of this standard language to
communicate their design intent and implementation with
respect to the variable power of an electronic system.

[1] Richard Goering (September 18, 2006). Accellera rolls


power plan. EE Times. Retrieved July 7, 2011.
[2] Documents from Group 'Unied Power Format Technical Committee'". Accellera public groups area. Retrieved
July 7, 2011.
[3] Unied Power Format (UPF) Standard Version 1.0
(PDF). Accellera. Retrieved August 7, 2011.

The Design Automation Standards Committee (DASC)


of the IEEE Standards Association sponsored working
group 1801, with the project authorization approved on
May 7, 2007.[4][5] Goals included:

[4] Sherry Hampton (May 9, 2007). P1801 - Standard


for Design and Verication of Low Power Integrated
Circuits (PDF). IEEE Standards Association Standards
Board. Retrieved July 7, 2011.

[5] P1801 Low Power Study Group By Thread. Hypermail


archive. Retrieved July 7, 2011.
[6] IEEE P1801 - Unied Power Format Standard. Accelera Organization Inc. Retrieved July 7, 2011.
[7] UPF: Standard for Design and Verication of Low Power
Integrated Circuits (March 27, 2009). 1801-2009
- IEEE Standard for Design and Verication of Low
Power Integrated Circuits. IEEE Standards Association. doi:10.1109/IEEESTD.2009.4809845. ISBN 9780-7381-5930-0. Retrieved July 6, 2011.
[8] Nic Mokho (March 20, 2009). IEEE approves lowpower design spec. EE Times. Retrieved July 7, 2011.
[9] IEEE 1801-2009 Unied Power Format (UPF)".
Synopsys. Retrieved July 7, 2011.

1801-2009 IEEE Standard for Design and Verication of Low Power Integrated Circuits. 2009.
doi:10.1109/IEEESTD.2009.4809845. ISBN 9780-7381-5929-4.
1801-2013 IEEE Standard for Design and Verication of Low-Power Integrated Circuits. 2013.
doi:10.1109/IEEESTD.2013.6521327. ISBN 9780-7381-8281-0.

External links
IEEE 1801-2013 - free download of the standard.
IEEE 1801a-2014 - free download of the standard.

EXTERNAL LINKS

Text and image sources, contributors, and licenses

4.1

Text

Unied Power Format Source: http://en.wikipedia.org/wiki/Unified_Power_Format?oldid=661593895 Contributors: Pnm, Tabletop,


Tole, ENeville, Pegship, SmackBot, PbBot, TeamX, Piledhigheranddeeper, ElderDelp, Dekart, Corysayshellotoyoutoday, Wonder,
Isheden, W Nowicki, John of Reading, Bmaddenwiki, Glacialfox and Anonymous: 4

4.2

Images

4.3

Content license

Creative Commons Attribution-Share Alike 3.0

Das könnte Ihnen auch gefallen