Sie sind auf Seite 1von 105

ENGENHEIRO DE

ELTRICA
SISTEMAS INTEGRADOS DE
PROTEO E AUTOMAO EM
SUBESTAES

0- 0 -

ENGENHEIRO DE ELTRICA
SISTEMAS INTEGRADOS DE PROTEO
E AUTOMAO EM SUBESTAES

PETROBRAS Petrleo Brasileiro S.A.


Todos os direitos reservados e protegidos pela Lei 9.610, de 19.2.1998.
proibida a reproduo total ou parcial, por quaisquer meios, bem como a produo de apostilas, sem
autorizao prvia, por escrito, da Petrleo Brasileiro S.A. PETROBRAS.

Direitos exclusivos da PETROBRAS Petrleo Brasileiro S.A.

CASCAES PEREIRA, Allan M.sc.


Engenheiro Eletricista / UERJ. Rio de Janeiro, 2006
106 p.: 59 il.

PETROBRAS Petrleo Brasileiro S.A.


Av. Almirante Barroso, 81 17 andar Centro
CEP: 20030-003 Rio de Janeiro RJ Brasil

INDICE

Apresentao..............................................................................Pag..8
1 Arquitetura Bsica dos Rels Digitais.................................................. 9
1.1 Introduo ................................................................................ 9
1.2 - Subsistema de Entradas Analgicas ....................................... 10
1.3 - Subsistema de Entradas Digitais ou Discretas ........................ 13
1.4 - Subsistema de Sadas Digitais ou Discretas ........................... 14
1.5 - Memrias ................................................................................ 14
1.6 - Processador ............................................................................ 15
1.7 - Subsistema de Comunicao .................................................. 15
1.8 - Teclado Local e Visor de Cristal Lquido.................................. 16
1.9 - Fonte de Alimentao.............................................................. 16
1.10 - Alternativas de Arquitetura .................................................... 16
2 Algoritmos de Filtragem Digital.......................................................... 20
2.1 - Introduo ............................................................................... 20
2.2 - Algoritmos para Proteo de Distncia.................................... 20
2.2.1 - Algoritmos Baseados em Modelos dos Sinais de Entrada ..21
2.3 - Conceito de Janela de Dados.................................................. 22
2.4 - Algoritmo de Fourier ................................................................ 23
2.4.1 - Utilizao de Janela de Dados de um Ciclo ........................ 24
2.4.2 - Utilizao de Janela de Meio Ciclo ...................................... 24
2.5 - Algoritmo Recursivo de Fourier ............................................... 27
2.6 - Algoritmo de Walsh ................................................................. 27
2.7 - Algoritmos de Filtragem Digital Baseados em Modelo do Sistema 29
2.8 - Algoritmo de Mnimos Quadrados ........................................... 31
3 Novas Funes Oferecidas Pelos Rels Digitais............................... 33
3.1 - Introduo ............................................................................... 33
3.2 - Novas Funes Oferecidas pelos Rels Digitais ..................... 33
3.2.1 - Registro Seqencial de Eventos.......................................... 33
3.2.2 - Oscilografia .......................................................................... 34
3.2.3 - Registro de Valores de Medio Indicativa.......................... 34
3.2.4 - Autoteste e Autodiagnose.................................................... 35

3.2.5 - Teclado, Visor e LEDs ......................................................... 35


3.2.6 - Comunicao ....................................................................... 37
3.2.7 - Sincronizao ...................................................................... 37
3.2.8 - Localizao de Faltas .......................................................... 37
3.2.9 - Monitorao do Disjuntor ..................................................... 37
3.2.10 - Monitorao do Circuito de Disparo................................... 37
3.2.11 - Relatrio de Falta............................................................... 38
3.2.12 - Deteco de Falha na Abertura ou Fechamento do Disjuntor ..38
3.2.13 - Configurao das Entradas e Sadas Digitais ................... 38
3.2.14 - Grupos de Ajustes ............................................................. 39
3.2.15 - Monitorao da Qualidade da Energia Fornecida ............. 40
3.2.16 - Anlise de Harmnicos ...................................................... 40
3.2.17 - Anlise de Fasores ............................................................ 40
4 Possibilidades de Diferentes Arquiteturas ......................................... 41
4.1 - Introduo ............................................................................... 41
4.2 - Possibilidade de Diferentes Arquiteturas para o Sistema Digital.41
4.3 - Influncia do Tipo e Porte da Subestao ............................... 46
4.4 - Itens a Serem Analisados na Definio do Sistema Digital .......... 47
4.4.1 - Desempenho e Confiabilidade............................................. 47
4.4.2 - Recursos Operacionais e Apoio Manuteno................... 48
4.4.3 - Modularidade, Expansibilidade e Mantenabilidade.............. 49
4.4.4 - Condicionamento Ambiental ................................................ 49
4.4.5 - Cablagem ............................................................................ 50
4.4.6 - Comunicao de Dados....................................................... 50
4.5 - Substituio dos Circuitos e Rels dos Cubculos Blindados e
Painis por Sistemas Digitais ................................................... 51
4.6 - Uso de Esquemas de Retaguarda........................................... 52
4.7 - Redundncia no Sistema Digital.............................................. 53
4.8 - Custo....................................................................................... 54
4.9 - Concluses ............................................................................. 54
5 Vantagens dos Sistemas de Proteo e Automao Digitais ............ 55
5.1 - Introduo ............................................................................... 55
5.2 - Estrutura e Funcionamento de um Sistema Digital Inteligente de
Proteo, Controle e Automao ............................................. 55
5.2.1 - Sistema de Superviso, Controle e Automao .................. 56
5.2.2 - Sistema de Proteo ........................................................... 57
5.3 - Vantagens da Utilizao dos Sistemas Digitais ....................... 57
5.4 - Problemas e Limitaes dos Sistemas Convencionais ....................... 61

6 - Sistemas Integrados de Proteo e Automao ................................ 64


6.1 - Introduo ............................................................................... 64
6.2 - O Panorama Atual................................................................... 65
6.3 - Razes para Evoluir para um Sistema Integrado..................... 65
6.4 - Linguagem CIM XML Aplicada a Sistemas Eltricos..................... 66
6.5 - Padro IEC 61850 Sua Implantao e Aplicaes ...................... 68
6.5.1 - Objetivos do Padro IEC 61850 .......................................... 72
6.5.2 - Benefcios ............................................................................ 72
6.5.3 - Confirmao da Viabilidade ................................................. 73
6.5.4 - O Projeto INTERUCA .......................................................... 76
6.5.5 - Evoluo dos Sistemas Atuais para o Padro IEC 61850...77
6.5.6 - Monitorao de Equipamentos Usando o Padro IEC 61850 .81
6.5.7 - Concluses .......................................................................... 82
6.6 - Utilizao das Informaes de Monitorao e Controle
Disponveis nos Rels............................................................................. 83
6.6.1 - Introduo............................................................................ 83
6.6.2 - Unidades de Medio de Fasores (Phase Measurement
Units - PMU) ........................................................................................... 84
6.6.3 - Aplicaes dos Dados e Informaes dos Rels................. 85
6.6.4 - Recomendaes e Sugestes ............................................. 87
6.7 - Possibilidades de Retrofit ........................................................ 87
6.8 - Tendncias da Integrao na Automao de Subestaes .....87
6.9 - Concluses ............................................................................. 89
7 Testes em Sistemas Integrados de Proteo e Automao ...................... 90
7.1 - Introduo ............................................................................... 90
7.2 - Uso da Linguagem SCL .......................................................... 90
7.3 - A Norma IEC 61850 ................................................................ 92
7.4 - Equipamento de Teste para Rels Microprocessados Convencionais.. 94
7.5 - Equipamento de Teste para IEDs de Proteo Baseados na Norma
IEC 61850................................................................................................ 94
7.6 - Testes de Conformidade ......................................................... 95
7.7 - Testes de Interoperabilidade ................................................... 96
7.8 - Testes de Desempenho .......................................................... 98
7.9 - Concluso ............................................................................... 98
Bibliografia ............................................................................................ 100

LISTA DE FIGURAS

Figura 1.1 - Diagrama de Blocos de um Rel Digital ............................................................................. 09


Figura 1.2 - Amostragem dos Sinais Analgicos ................................................................................... 10
Figura 1.3 Alternativas Para o Circuito de Entradas Analgicas ........................................................ 11
Figura 1.4 Exemplo de Arquitetura Simplificada de Rel Digital ........................................................ 12
Figura 1.5 Exemplo de Configurao Simplificada do Software de Rel Digital ................................ 12
Figura 1.6 Exemplo de Arquitetura com Trs Multiplexadores ........................................................... 13
Figura 1.7 Arquitetura do Rel SPC (GE) ........................................................................................... 14
Figura 1.8 Diagrama de Blocos Processador Central e Memrias.................................................. 16
Figura 1.9 Diagrama em Blocos Geral do Rel DGP (GE)................................................................. 17
Figura 1.10 Diagrama em Blocos Interface Homem-Mquina......................................................... 18
Figura 1.11 Diagrama em Blocos Entradas Digitais e LEDs........................................................... 19
Figura 2.1 Janela de Dados Deslizante .............................................................................................. 22
Figura 2.2 ............................................................................................................................................... 25
Figura 2.3 ............................................................................................................................................... 26
Figura 2.4 ............................................................................................................................................... 26
Figura 2.5 ............................................................................................................................................... 26
Figura 2.6 ............................................................................................................................................... 28
Figura 2.7 ............................................................................................................................................... 28
Figura 2.8 ............................................................................................................................................... 29
Figura 2.9 ............................................................................................................................................... 29
Figura 2.10 ............................................................................................................................................. 31
Figura 2.11 ............................................................................................................................................. 32
Figura 3.1 Lista de Eventos................................................................................................................. 34
Figura 3.2 Exemplo de Oscilograma com Anlise de Harmnicos..................................................... 35
Figura 3.3 Interface Homem-Mquina Remoto: Visor, Teclado e LEDs Indicativos........................... 36
Figura 3.4 Interface Homem-Mquina Remotos e Comunicao com CLP....................................... 36
Figura 3.5 Relatrio de Falta............................................................................................................... 38
Figura 3.6 Exemplo de Diagrama Lgico............................................................................................ 39
Figura 3.7 Demandas e Distoro Harmnica Total (DHT) ................................................................ 40
Figura 4.1 Sistema com Processamento da Automao e Controle Centralizado e Aquisio de
Dados Distribuda ................................................................................................................................... 42
Figura 4.2 Sistema com Aquisio de Dados Distribuda e Redundncia ......................................... 43
Figura 4.3 Sistema com Proteo e Aquisio de Dados Distribuda e Automao e Controle
Centralizados.......................................................................................................................................... 44
Figura 4.4 Exemplo de configurao Sistema SPACOM (ABB) ...................................................... 45

Figura 4.5 Exemplo de configurao Sistema ALSTHOM............................................................... 46


Figura 4.6 - Exemplo de Interface Homem Mquina ............................................................................. 48
Figura 4.7 Configurao com Modularidade ....................................................................................... 50
Figura 4.8 Exemplo de Integrao Vertical e Horizontal..................................................................... 51
Figura 5.1- Exemplo de Sistema Digital de Superviso, Controle e Automao................................... 56
Figura 5.2 - Aspecto da Interface Homem-Mquina Digital ................................................................... 57
Figura 5.3 - Exemplos de Relatrio e Lista de Eventos......................................................................... 58
Figura 5.4 - Simplificao de Subestaes............................................................................................ 59
Figura 5.5 - Comparao entre os Tempos de Engenharia, Compras, Instalao e ............................ 60
Comissionamento para Sistemas Convencionais e Digitais.................................................................. 60
Figura 5.7 Parte de Circuito de Intertravamento de Chaves Seccionadoras...................................... 62
Figura 5.8 - Arranjo dos Equipamentos na Casa de Controle Sistema Convencional ....................... 62
Figura 5.9 - Anunciador de Alarmes ...................................................................................................... 63
Figura 6.1 Entradas, Sadas e Configurao de Dados de uma Funo ........................................... 69
Figura 6.2 Ns Lgicos Agrupados em Dispositivos Lgicos (Funes)............................................ 69
Figura 6.3 Nveis Hierrquicos em Uma Subestao ......................................................................... 70
Figura 6.4 Mapeamento das Mensagens............................................................................................ 71
Figura 6.5 Separao entre Software Aplicativo e de Comunicao.................................................. 72
Figura 6.6 Conjunto de Teste Para Verificao de Interoperabilidade ............................................... 79
Figura 6.7 Arquitetura Utilizada no Projeto INTERUCA...................................................................... 79
Figura 6.8 Coordenao Lgica Entre Rels de Sobrecorrente ....................................................... 80
Figura 7.1 Gerao Do Arquivo SCD.................................................................................................. 91
Figura 7.2 Arquitetura Simplificada de um SAS Utilizando a Norma IEC 61850................................ 93
Figura 7.3. Ligaes de um Rel Digital Convencional ao Equipamento de Teste........................... 94
Figura 7.4 - Equipamentos Para a Realizao de Testes de Conformidade e Funcionais ................... 95
Figura 7.5 Sistema para Teste de Interoperabilidade de Vrios IEDs................................................ 97

APRESENTAO
O
Os rels de proteo experimentaram notvel evoluo desde que a tecnologia digital foi
adotada em sua fabricao, tendo-se transformado em dispositivos inteligentes, chamados de IEDs
de proteo. Alm de agregarem maiores recursos s tarefas de proteo de equipamentos, barras e
linhas de transmisso, so tambm capazes de participar das diversas funes de superviso,
controle e automao normalmente utilizadas em uma subestao. O advento da norma IEC 61850
veio uniformizar o uso de redes locais LAN (Local rea Network) de alta velocidade e elevada
confiabilidade, permitindo o compartilhamento de informaes entre os diversos IEDs, bem como a
disponibilizao dessas informaes aos diferentes usurios de uma indstria ou empresa de energia
eltrica (operador local, operador do centro de controle, medio, tecnologia da informao,
manuteno, engenharia da proteo, anlise de faltas etc.). Adicionalmente, a norma IEC 61850
solucionou o problema das expanses dos sistemas digitalizados, oferecendo a garantia de
expansibilidade e interoperabilidade entre IEDs de fabricantes diferentes, reduzindo drasticamente o
custo das expanses dos sistemas digitalizados.

1 ARQUITETURA BSICA DOS RELS


DIGITAIS
1.1 Introduo
Os rels microprocessados consistem de um conjunto de unidades de hardware e software
interligadas entre si de modo a executar as diversas funes do rel de forma eficiente, confivel e
econmica. Embora possam existir variaes de projeto de um fabricante para outro, so
apresentados na Figura 1.1 os principais subsistemas que fazem parte da maioria dos rels
numricos.

Figura 1.1 - Diagrama de Blocos de um Rel Digital

1.2 - Subsistema de Entradas Analgicas


Possui os seguintes elementos:

Transformadores de Isolamento Galvnico e Condicionamento de Sinais:

Filtros Anti-Aliasing
Limitam o espectro de freqncia dos sinais de entrada, eliminando as altas freqncias. O

filtro deve possuir freqncia de corte adequada para permitir a passagem da freqncia fundamental
e dos harmnicos menores, de modo a no provocar atraso excessivo. De um modo geral, quanto
maior for a freqncia de corte, menor ser o atraso gerado pelo filtro.

Amplificadores Sample and Hold - Registram o valor instantneo da corrente ou tenso, no

momento da amostragem, e retm este valor at pass-lo ao conversor A/D.

Multiplexador - Efetua a varredura dos canais de entrada com uma velocidade correspondente

taxa de amostragem, determinada pelo relgio de amostragem (clock).

Conversor Analgico-Digital - Efetua a converso de cada sinal analgico que lhe comutado

pelo multiplexador, para seu equivalente digital. A converso controlada pelo relgio de
amostragem, o qual gera pulsos de curta durao, a uma dada freqncia, estabelecendo, assim, os
instantes de amostragem. A cada pulso do relgio feita a converso do valor instantneo do sinal de
entrada para uma palavra digital. O tempo de converso tpico da ordem de 25s.
Na alternativa de amostragem com espaamento uniforme durante todo o ciclo do sinal,
existem duas variantes: amostragem uniforme em tempo e amostragem uniforme em ngulo. Na
amostragem com tempo fixo, o intervalo de tempo entre amostras ( t ) constante, isto , a
freqncia de amostragem

f m constante e da ordem de 240 Hz a 2 kHz. Este mtodo tambm

conhecido como amostragem assncrona, sendo o mais utilizado neste momento, para rels digitais.
(ver Figura 1.2 a).

Figura 1.2 - Amostragem dos Sinais Analgicos

Na amostragem uniforme com ngulo constante, ou amostragem sncrona, constante o


ngulo de separao entre amostras

( = 0 t ) , onde 0 a freqncia angular do sinal (ver

10

Figura 1.2 b). Neste caso, a amostra est sincronizada com a passagem por zero do sinal, o que
requer que seja detectado o ponto de passagem por zero. Consequentemente, se a freqncia

do sinal, variar, ser necessrio modificar o valor de t e, portanto, o de f m . Este inconveniente


reduz a aplicao deste tipo de amostragem.
Na realidade realizada mais de uma converso A/D em cada instante de amostragem, pois
o rel deve processar vrios sinais analgicos de entrada (corrente das 3 fases e neutro, tenso das 3
fases, etc.). Uma alternativa para este caso fazer uma multiplexao analgica dos sinais de
entrada e aplic-las seqencialmente a um conversor anlogico-digital (Figura 1.3a). O processo de
converso e transmisso de cada sinal ao processador deve ser muito rpido para que as amostras
possam ser consideradas simultneas.

Figura 1.3 Alternativas Para o Circuito de Entradas Analgicas

A tendncia atual utilizar conversores A/D de alta velocidade, sem unidades sample and
hold. Nesse caso, sendo 1 ciclo equivalente a 16,67 ms e admitindo que a durao de varredura
completa do multiplexador seja de 10s, o erro mximo gerado ser de, aproximadamente, 0,02
graus. Este erro desprezvel para aplicao em rels. Mesmo para tempos de varredura de 50s, o
erro gerado ser pequeno (0,1 grau).
Caso a multiplexao seja de baixa velocidade, as amostras seqencialmente obtidas no
podero ser consideradas como simultneas, sendo necessrio efetuar correo das medidas por
interpolao.

11

Outra variante consiste em fazer a amostragem de cada sinal de forma simultnea, e reter os
valores das amostras para sua converso e transmisso ao processador com velocidade
relativamente baixa uma vez que as amostras sero feitas dentro do mesmo intervalo do relgio
(Figura 1.3b).
Uma terceira alternativa utilizar conversores analgico-digitais independentes nos diferentes
canais de entrada (Figura 1.3c), o que eleva o custo do esquema.
As Figuras 1.4 e 1.5 mostram configuraes simplificadas de rels digitais

Figura 1.4 Exemplo de Arquitetura Simplificada de Rel Digital

Figura 1.5 Exemplo de Configurao Simplificada do Software de Rel Digital

12

A Figura 1.6 mostra um exemplo de configurao com amplificadores sample and hold e trs
multiplexadores.

Figura 1.6 Exemplo de Arquitetura com Trs Multiplexadores

1.3 - Subsistema de Entradas Digitais ou Discretas


Suas partes constituintes so:

Condicionamento de Sinais e Isolamento Eletro-tico - Esta unidade tem a finalidade de

converter os contatos abertos ou fechados de entrada para valores adequados de tenso. Realiza,
tambm, um isolamento galvnico dos sinais de entrada e prov proteo contra sobretenses
transitrias que possam ocorrer nos cabos de controle.

Circuito de Entradas Digitais - Converte a tenso de entrada, representativa de contato aberto

ou fechado em sinal binrio, associado ao endereo do ponto de entrada.


A Figura 1.7 apresenta uma configurao com entradas digitais com opto-acopladores.

13

Figura 1.7 Arquitetura do Rel SPC (GE)

1.4 - Subsistema de Sadas Digitais ou Discretas


responsvel pelas aes de comando (abrir, fechar, ligar, desligar etc.) de equipamentos.
Os contatos de sada deste subsistema podem tambm ser usados para chavear outros rels ou
circuitos. Processa a informao proveniente de uma porta paralela do processador a qual consiste de
uma palavra digital contendo o endereo e o estado que dever assumir o rel de sada. Deve haver
acoplamento eletro-tico entre esta porta e o rel de sada para proteg-lo. Este rel efetua o
comando de dispositivos ou equipamentos atravs do fechamento de um contato seco.

1.5 - Memrias
Os rels digitais utilizam, pelo menos, os seguintes tipos de memoriais:

RAM - Memria de acesso aleatrio. Armazena os dados amostrados, medida que so

liberados pelo conversor A/D. usada como buffer de memria para armazenar temporariamente os
valores das amostras de entrada, acumular resultados intermedirios dos programas de proteo e
para reterem dados a serem guardados posteriormente na memria no voltil.

ROM - Memria de leitura somente. usado para armazenar programas permanentemente.

no programvel. Em alguns casos os programas podem ser executados diretamente da ROM, se


seu tempo de leitura for suficientemente curto. Caso contrrio, os programas devero ser copiados da

14

ROM para a RAM durante o processo de inicializao. A execuo em tempo real , ento, feita a
partir da RAM.

PROM - Memria de leitura somente. , porm, programvel e tambm usada para armazenar

programas permanentemente.

EPROM - uma PROM regravvel. usada para armazenar certos parmetros (como os ajustes

do rel) que devem poder ser alterados de tempos em tempos, porm, que uma vez estabelecidos,
no devem ser perdidos mesmo durante interrupo da tenso de alimentao do rel. Para esta
funo pode tambm ser usada uma memria tipo ncleo ou uma RAM com bateria incorporada.
O uso de uma EPROM de grande capacidade desejvel em um rel digital para poder
gravar dados de faltas, listas de eventos datados, registros das mudanas de ajuste feitos no rel etc.
A limitao a um maior uso desta memria, porm, ainda o seu custo.
Os rels atualmente no mercado j utilizam este tipo de memria para armazenar
temporariamente os dados mencionados at que os mesmos possam ser transferidos para um meio
mais permanente, como uma memria de massa de um microcomputador externo.

1.6 - Processador
a unidade central do rel, encarregada de executar as funes lgicas, os algoritmos e
programas de proteo e o controle das diversas funes de tempo, alm de realizar tarefas de
autodiagnstico e comunicao com os perifricos. comum se utilizar mais de um processador em
um mesmo rel. Assim, por exemplo, pode-se utilizar um processador para executar os algoritmos de
proteo e outro para executar as funes lgicas. Na Figura 1.8 mostrado diagrama em blocos
contendo um processador central e memrias.

1.7 - Subsistema de Comunicao


As interfaces de comunicao permitem o intercmbio de informaes com unidade externas ao
rel, como por exemplo, o sistema de superviso e controle local ou remoto. As comunicaes se faro
sobre um meio fsico, que poder ser cabo coaxial, par tranado ou fibra tica. Para o intercmbio de
informaes em tempo real necessrio dispor de uma interface de comunicao paralela.

15

Figura 1.8 Diagrama de Blocos Processador Central e Memrias

1.8 - Teclado Local e Visor de Cristal Lquido


Permitem algum nvel de interface homem-mquina local, possibilitando a escolha de ajustes
e parmetros e a leitura de dados, mensagens e alarmes no prprio rel.

1.9 - Fonte de Alimentao


Esta , em geral, uma fonte de sada mltipla (usualmente 5 Vcc e 15 Vcc), alimentada a
partir da bateria da subestao (125 Vcc). A tenso de 5 Vcc usada para os circuitos eletrnicos.
A tenso de 15 Vcc necessria para as entradas analgicas. A fonte de alimentao deve ser
regulada, de modo a no variar sua tenso de sada durante variaes da tenso de entrada.

1.10 - Alternativas de Arquitetura


A arquitetura indicada na Figura 1.1 e suas variantes consideram a utilizao de
transformadores de corrente e tenso convencionais. Caso sejam usados TCs e TPs ticos, a
amostragem das correntes e tenses ser realizada nos prprios TCs e TPs. Os sinais so
transmitidos at o rel de forma serial, j digitalizados, atravs de fibras ticas. So conectados
diretamente memria do microprocessador encarregado da filtragem digital.

16

A seguir, nas Figuras 1.9 a 1.11 so apresentadas algumas arquiteturas de hardware


correspondentes a rels digitais de diferentes fabricantes.

Figura 1.9 Diagrama em Blocos Geral do Rel DGP (GE)

17

Figura 1.10 Diagrama em Blocos Interface Homem-Mquina

18

Figura 1.11 Diagrama em Blocos Entradas Digitais e LEDs

19

2 ALGORITMOS DE FILTRAGEM DIGITAL


2.1 - Introduo
Os algoritmos de filtragem digital destinam-se, normalmente, a determinar os parmetros das
ondas de corrente e tenso de freqncia fundamental (magnitude, fase e freqncia), eliminando,
tanto quanto possvel, os harmnicos e demais rudos presentes durante as faltas ou outras
condies anormais no sistema protegido.

2.2 - Algoritmos para Proteo de Distncia


sabido que a proteo de distncia se baseia na avaliao da impedncia aparente
apresentada ao rel e sua comparao com uma caracterstica no plano X-R, cuja forma se tenha
determinado em funo da mxima resistncia de falta esperada, das oscilaes de potncia que
possam ocorrer no sistema, da localizao das cargas etc.
Quando se fala de impedncia se toma em considerao a freqncia fundamental do
sistema. Porm, as ondas de corrente e tenso podem estar fortemente contaminadas,
particularmente logo aps a ocorrncia de uma falta. Esta contaminao consiste em transitrios
exponenciais, harmnicos superiores etc.
O problema da contaminao resolvido pelos rels digitais, em boa parte dos casos,
mediante a implementao de algoritmos de filtragem digital, cujo objetivo obter as componentes
real e imaginria dos fasores representativos da componente de freqncia fundamental das ondas
de corrente e tenso. Em outros casos, se implanta um algoritmo baseado na soluo da equao
diferencial que permita calcular a impedncia, atuando ao mesmo tempo como filtro que elimine a
influncia dos transitrios exponenciais e de harmnicos superiores.
Na realidade, os algoritmos de filtragem digital so aplicveis no somente proteo de
distncia, mas tambm proteo de transformadores, geradores etc. Seu objetivo determinar, to
exatamente quanto possvel, as componentes real e imaginria das ondas de corrente e tenso de
freqncia fundamental.
Sendo V e I os valores RMS dos sinais de tenso e corrente que esto sendo analisados, e
chamando de VS e I S as componentes Seno e VC e I C as componentes Co-seno de tais ondas,
sua representao fasorial ser:

V = V s + jVc
(2.1)

I = I s + jI c

(2.2)

20

A partir dos valores fasoriais de V e I , pode ser calculada, para o caso dos rels de distncia, a
posio da falta, dada por:

Z=

V
I

(2.3)

VC + jV S
I C + jI S

(2.4)

ou

Z=

2.2.1 - Algoritmos Baseados em Modelos dos Sinais de Entrada


Nesse tipo de algoritmos procura-se obter os parmetros relativos s componentes das ondas
de corrente e tenso na freqncia fundamental. Todos os demais componentes so considerados
rudos. Uma exceo a proteo diferencial de transformadores que utiliza o nvel de alguns
harmnicos para restrio em condies de magnetizao ou sobre-excitao.
Na maioria dos rels, portanto, deve-se utilizar um filtro passa-banda que deixe passar a
componente fundamental e bloqueie a componente c.c, assim como os harmnicos e subharmnicos.
Este tipo de filtro tem seu desenvolvimento baseado numa funo chamada de convoluo,
segundo a qual possvel expressar o sinal de sada
entrada

y (t ) de um sistema linear, a partir do sinal de

x (t ) e de uma funo y ( ) , pela expresso:


t

y(t) = y( ) x(t ) d
o
Sendo

(2.5)

y ( ) a resposta ao impulso do sistema linear, isto , corresponde ao sinal de sada

para uma entrada tipo impulso unitrio.


Na filtragem digital, utilizada uma aproximao discreta da integral de convoluo:

k
yn = a k xn k
k =1
(2.6)
,onde:

= nmero total de amostras

a k = coeficientes do filtro (resposta ao impulso para cada amostra)


x n k = valor do sinal de entrada para a amostra n k
Os filtros baseados nos sinais de entrada podem ser de dois tipos:

21

Filtros recursivos - Tm resposta ao impulso infinita no tempo (IIR). O sinal de sada depende

do valor da amostra medido em determinado instante e das estimaes nos instantes anteriores, isto
, de toda a histria prvia do sinal de entrada.

Filtros no recursivos - Tm resposta ao impulso finita (FIR). O sinal de sada depende de uma

histria finita do sinal de entrada. Nestes filtros, as estimaes se realizam com um somatrio de
amostras afetadas por um coeficiente.
Os filtros FIR so mais adequados para proteo, pois:
a) deixam, rapidamente, de considerar a condio de pr-falta (ver conceito de janela de dados);
b) possuem zeros naturais em suas respostas de freqncia, os quais podem ser ajustados
para coincidir com a componente c.c e os harmnicos, eliminando ou atenuando
fortemente estes sinais.

2.3 - Conceito de Janela de Dados


A partir da equao (2.6), pode-se calcular o valor instantneo do sinal de sada
dos ltimos k

valores do sinal de entrada. Para cada

yn ,

portanto, tem-se k

yn

a partir

valores do sinal de

entrada, correspondendo a uma janela de dados deslizante, conforme mostrado na Figura 2.1.

Figura 2.1 Janela de Dados Deslizante

Nesta figura est indicada uma janela deslizante com 3 amostras, tendo-se uma freqncia
de amostragem de 12 amostras por ciclo. Na mesma figura, mostrada tambm uma
descontinuidade no sinal da tenso devido a uma falta. A janela A contm 3 amostras da tenso prfalta. As janelas B e C contm amostras da tenso pr-falta e de falta. A janela D contm apenas
amostras de tenso de falta.
Como se pode verificar, a equao (2.6) fornece resultados corretos para as janelas A e D.
Os resultados para as janelas B e C no tm significado. O filtro dito em estado transitrio e
contm informaes de pr-falta e de falta.

22

importante, portanto, detectar quando a janela de dados est cruzando por um ponto de
descontinuidade, para evitar a operao do rel neste perodo.
Se o sinal de entrada fosse puramente senoidal, poder-se-ia concluir que quanto menor a janela de
dados, mais rapidamente o rel ficaria liberado para tomar uma deciso de disparo ou no disparo.
Sabemos, porm, que os sinais de entrada esto distorcidos por diferentes tipos de rudos. A
capacidade do algoritmo para eliminar estes rudos depende, em grande parte, da largura da janela
de dados.
Assim, pode-se dizer que, em geral, a reduo da largura da janela aumenta a velocidade do
rel, porm prejudica sua preciso.
A equao (2.6) fornece apenas os valores instantneos do sinal de sada

yn . Para se obter

o valor dos fasores de corrente e tenso em magnitude e fase h dois mtodos:

Determinao simultnea das componentes real e imaginria do fasor, utilizando um par de filtros

ortogonais (por ex.: um filtro Seno e um filtro Co-seno).

Determinao das componentes real e imaginria do fasor utilizando um nico filtro, tomando

como componentes real e imaginria os valores de sada do filtro defasados de 1/4 de ciclo. Este
mtodo apresenta um retardo no tempo de resposta de 1/4 e ciclo em relao ao primeiro mtodo.

2.4 - Algoritmo de Fourier


y ( ) toma a forma de uma
exponencial de expoente imaginrio. Assim, para se obter a componente fundamental de x ( t ) , temos:
Baseia-se em um caso particular da equao (2.6), onde a funo

y (t ) = x(t ) e j d
o

(2.7)

As componentes ortogonais do fasor

y (t ) (componente fundamental de x (t ) ) so:

YC = y (t ) cos t dt
Funo Co-seno:

(2.8)

YS = y (t ) sen t dt
0

Funo Seno:
Onde

(2.9)

o perodo de observao do sinal de entrada.

23

2.4.1 - Utilizao de Janela de Dados de um Ciclo


As formas discretas das equaes (2.8) e (2.9) constituem o algoritmo de Fourier. Assim, para
uma janela de 1 ciclo e N amostras por ciclo, pode-se demonstrar, com base na Teoria da
Transformada de Fourier que, para o instante k -simo, tem-se:

YC =
YS =
,onde

2
N

2
N

yk cos(k )

k =1

(2.10)

yk sen (k )

k =1

(2.11)

o ngulo entre amostras, na freqncia fundamental, isto , =2/N . Sendo t o intervalo

entre amostras, vem:

= 0 t
A funo Fourier a expresso do fasor, cujas componentes ortogonais so
Funo Fourier:

YC e YS , isto :

Y = Ys + jYc

O mdulo e ngulo do fasor correspondente janela de dados centrada na amostra i, so


dados por:

Y (i ) =

[Y ] + [Y ]
(i ) 2
C

(i ) = tg 1

(i ) 2
S

(2.12)

Y (i ) S
i
Y ( I )C

(2.13)

Observa-se que o fasor em questo tem magnitude definida, porm gira medida que a
janela de dados se desloca. Esta rotao no afeta os rels que operam com uma s grandeza, nem
os que se baseiam no quociente de 2 fasores, como o caso dos rels de distncia. Em algumas
aplicaes, porm, pode ser necessrio corrigir a rotao.

2.4.1.1 -

Determinao dos Harmnicos

Em geral, para uma janela de dados de 1 ciclo e k amostras por ciclo (sendo k um nmero

par), possvel determinar um total de

k
1
2
harmnicos. As componentes ortogonais

correspondentes ao harmnico de ordem m, so:

YC( m) =

YS( m ) =

2 k
y k cos(m k )
k k =1

(2.14)

2 k
y k sen(m k )
k k =1

(2.15)

24

( m) = tg 1

YS( m)
YC( m)

(2.16)

2.4.1.2 - Resposta do Filtro em Estado Estvel


obtida considerando um sinal de entrada senoidal e realizando uma varredura na
freqncia.
Os grficos de resposta de freqncia do filtro representam o ganho do filtro em funo da
freqncia. O ganho definido como o quociente entre a amplitude do sinal de sada e do sinal de
entrada.
A Figura 2.2 mostra os grficos de resposta de freqncia para os filtros tipo Seno, Co-seno e
Fourier, com janelas de dados de 1 ciclo e 16 amostras por ciclo. A escala do eixo das abcissas
indicada em mltiplos da componente de freqncia fundamental.
O filtro tipo Fourier corresponde a uma combinao de um filtro Seno e de um filtro Co-seno.

Figura 2.2

A anlise dos grficos indica que a resposta de freqncia dos filtros Co-seno, Seno e Fourier
possuem zeros corresponde componente de c.c. (freqncia zero) e aos harmnicos dos sinais de
entrada, alm de apresentar atenuao crescente com a freqncia para os sinais de freqncias
intermedirias.

2.4.1.3 - Resposta do Filtro em Estado Transitrio


Durante o estado transitrio do filtro digital, um rel de distncia, por exemplo, poder sofrer
sobrealcance ou subalcance transitrio.
Como um dos mtodos para avaliar a resposta de estado transitrio de um filtro aplica-se, na
entrada, sinais distorcidos pela componente c.c, componentes oscilatrias amortecidas e outros
rudos, e calcula-se a impedncia aparente dividindo os fasores de tenso e corrente estimados.
A trajetria da impedncia aparente vista por rels de distncia dotados de filtros tipo Coseno, Seno e Fourier para o caso de falta no final de uma linha curta e em condies de mxima
assimetria da corrente, est mostrada na Figura 2.3

25

Figura 2.3

Na Figura 2.4 mostrado um zoom da rea junto posio final do vetor impedncia.

Figura 2.4

Atravs da Figura 2.4 pode-se verificar que a resposta transitria do filtro tipo Co-seno
melhor que a dos demais, em virtude da maior rapidez de convergncia para o valor final 0,1 +j1,0
p.u. (impedncia da linha de transmisso at a falta).

2.4.2 - Utilizao de Janela de Meio Ciclo


Na Figura 2.5 apresentado o grfico da resposta da freqncia de um algoritmo de Fourier
de meio ciclo, com 12 amostras por ciclo.

Figura 2.5

Verifica-se que o algoritmo elimina os harmnicos mpares, mas no impede a componente


c.c nem os harmnicos pares.

26

2.5 - Algoritmo Recursivo de Fourier


Os algoritmos de Fourier no recursivos apresentam dois problemas:

Nmero elevado de operaes do processador (cada componente do fasor requer uma soma de

k produtos de dois fatores).

O fasor gira.
Com o algoritmo recursivo, estes dois problemas ficam resolvidos. Assim, para um filtro de 1

ciclo, o algoritmo fica:

YC( n ) = YC( v ) + ( y ( n ) y ( v ) ) cos(L )

(2.17)

YS( n ) = YS( v ) + ( y ( n ) y ( v ) ) sen(L )

(2.18)

onde L corresponde ao nmero da amostra mais recente,


componente ortogonal de sada estimada, enquanto

y (v )

y (n)
e

o valor dessa amostra e

Y (v)

Y ( n)

so os valores de entrada e sada

correspondentes a uma amostra atrasada de um ciclo em relao atual.


Neste algoritmo se requer, apenas, uma multiplicao e uma soma para atualizar cada
componente ortogonal do fasor. Alm disto, o fasor resultante no gira.
A resposta de estado transitrio deste algoritmo pior que no caso de algoritmo no
recursivo, especialmente no caso do filtro Co-seno.
Isto se deve ao fato de que nos algoritmos no recursivos o coeficiente do filtro no varia
enquanto a janela de dados desliza, isto , a ao filtrante sempre a mesma.
Nos algoritmos recursivos, os coeficientes variam a cada amostra, de modo que o filtro fica
alternando-se entre os tipos Seno e Co-seno a cada ciclo.
A resposta de freqncia, portanto, tambm no fixa, influindo negativamente sobre a
resposta em estado transitrio.

2.6 - Algoritmo de Walsh


semelhante ao algoritmo de Fourier, substituindo-se os sinais senoidais de freqncia
fundamental e seus harmnicos por sinais em onda quadrada. As funes Walsh, na freqncia
fundamental, equivalentes s funes Seno e Co-seno, so denominadas funes Walsh tipo Seno
(SAL) e tipo Co-seno (CAL). A Figura 2.6 mostra um conjunto de funes Walsh.

(SAL)

27

(CAL)

(SAL)

Figura 2.6

A vantagem no uso deste tipo de funo a simplificao do processamento, uma vez que os
coeficientes das equaes (2.10) e (2.11) passam a ser apenas +1 ou -1.
Nas Figuras 2.7 e 2.8 so apresentados os grficos de resposta de freqncia e resposta
transitria para as funes SAL, CAL e Walsh, com janela de um ciclo e 16 amostras por ciclo. A
funo Walsh equivalente funo Fourier, em que os valores de sada correspondem resultante
entre as funes CAL e SAL.

Figura 2.7

Observa-se que este tipo de filtro no adequado para eliminar os harmnicos mpares, Isto
, decorrente do erro resultante da aproximao dos sinais senoidais de entrada para ondas
quadradas, com um nmero reduzido de harmnicos. Para melhorar a resposta de freqncia seria
necessrio considerar um nmero elevado de funes de Walsh, o que imporia maior carga de
processamento.
Na Figura 2.8 mostrada a trajetria da impedncia aparente vista por rels de distncia,
utilizando o algoritmo de Walsh, nas mesmas condies que o Filtro de Fourier.

28

Figura 2.8

As funes de Walsh foram desenvolvidas para permitir o uso de processamentos de menor


capacidade e menor custo. Entretanto, dado o estado atual de desenvolvimento dos processadores,
este aspecto no mais importante.

2.7 - Algoritmos de Filtragem Digital Baseados em


Modelo do Sistema
Os algoritmos baseados em modelos de sinais de entrada estimam fasores, que so
aplicados a distintos tipos de rels. Nos rels de proteo de linhas de transmisso possvel
tambm utilizar algoritmos baseados em modelos do sistema, que estimam os valores de parmetro,
tais como a indutncia e a resistncia da linha com defeito.
Podemos representar uma linha de transmisso monofsica curta (ver Figura 2.9) a partir da
equao diferencial que relaciona a voltagem e a corrente de entrada no rel. Para um curto-circuito
franco no sistema, no instante inicial, a tenso no rel ser dada por:

Figura 2.9

VL = RL i + LL

di
dt

onde i , o valor instantneo da corrente e

(2.19)

R L e L L a resistncia e indutncia da linha at o local

da falta.
Integrando a equao (2.19) em 2 intervalos consecutivos, temos:

29

t1

t1

vL (t ) dt = RL iL (t ) dt + LL [iL (t1 ) iL (t0 )]

t0

t0

t2

t2

(2.20)

vL (t ) dt = RL iL (t ) dt + LL [iL (t2 ) iL (t1 )]

t1

t1

(2.21)

Por aproximao, para intervalos de tempo pequenos, pode-se escrever:


t k +1

vL (t ) =

tk

t
[vL (tk +1) vL (tk )] = t (vLk +1 vLk )
2
2
(2.22)

Para as amostras k, k+1 e k+2, as equaes (2.20) e (2.21), podem, ento, ser escritas:

t
R L 2 (v Lk +1 + v Lk )
2 (i Lk +1 + i Lk )(i Lk +1 i k )

L t
t
(i Lk + 2 + i Lk +1 )(i Lk + 2 i Lk +1 ) L (v Lk + 2 + v Lk +1

2
o que permite calcular os valores de

RL =

LL =

(2.23)

R L e L L pelas expresses:

(vLk +1 + vLk )(iLk + 2 iLk +1 ) (vLk + 2 + vLk +1 )(iLk +1 iLk )


(iLk +1 + iLk )(iLk + 2 iLk +1 ) (iLk + 2 + iLk +1 )(iLk +1 iLk )

t
2

(iLk +1 + iLk )(vLk + 2 + vLk +1 ) (iLk + 2 + iLk +1 )(vLk +1 + vLk )

(iLk +1 + iLk )(iLk + 2 iLk +1 ) (iLk + 2 + iLk +1 )(iLk +1 iLk )

(2.24)

(2.25)

Estes algoritmos representam uma carga computacional menor que os algoritmos baseados
em modelo dos sinais de entrada. Outra vantagem deste algoritmo que a componente peridica
exponencial no um erro para o algoritmo, pois satisfaz equao diferencial. Por outro lado,
porm, os harmnicos superiores e outros erros no so suprimidos e afetam a medio, a menos
que sejam eliminados por outro filtro. Pode-se considerar tambm no algoritmo o modelo de linha que
inclui a capacitncia Shunt da LT. Esta soluo, porm, necessita de maior processamento, tornando
sua aplicao mais restrita.
O algoritmo acima possui janela de dados curta, em geral menos de um ciclo.
Os algoritmos baseados em modelos de sistema no possuem uma resposta de freqncia
definida, pois processam os sinais simultaneamente. A Figura 2.10 mostra os grficos de resposta de
freqncia de um algoritmo baseado no valor mdio do sinal de sada de 3 amostras, com janela de
dados de meio ciclo (a) e um ciclo (b) respectivamente. Para a determinao dos grficos variou-se a
freqncia do sinal de voltagem, mantendo fixa a freqncia da corrente.

30

Figura 2.10

2.8 - Algoritmo de Mnimos Quadrados


Considerando-se nos sinais de entrada todos os erros possveis, o sinal y (t ) pode ser em
geral escrito:

y (t ) =

n =1
onde:

Yn S n (t ) + (t )
(2.26)

S n (t ) representa um conjunto de sinais elementares que se pressupe estejam presentes em

y (t )
Yn so seus coeficientes

(t ) representa os erros
O problema geral em estimar parmetros inerente a todo algoritmo de filtragem digital
consiste em estimar os n valores de Yn a partir de um conjunto de k amostras y k tais que o termo
erro,

(t ) ,

seja mnimo. O algoritmo de mnimos quadrados requer a necessidade de resolver,

tornando o erro mnimo, o seguinte conjunto de equaes (2.27):

Y1 1
y1 S 1 (t ) S 2 ( t ) S N (t )




y 2 S 1 (2t ) S 2 (2t ) S N (2t ) Y2 2
+
=






y k S 1 (kt ) S 2 (kt ) S N (kt ) Y N k

(2.27)

A equao (2.27) tambm pode ser escrita na forma matricial:

[ y ] = [S ] [Y ] + [ ]

(2.28)

Considerando-se que sero realizadas k amostras por ciclo, a equao (2.28) representar
um conjunto de k equaes com n incgnitas ( n coeficientes de Yn ), tem soluo para k n.

31

Nos algoritmos de mnimos quadrados podemos considerar qualquer conjunto de sinais


elementares

S n (t ) . Nestes sinais podemos incluir a componente fundamental, harmnicos

superiores e a componente c.c.


A seleo do conjunto de sinais elementares de grande importncia, pois os sinais que no
foram considerados e que estiverem presentes no sinal de entrada constituiro um erro e devero ser
includos no termo

(t ) .

Da mesma forma, a incluso de sinais no existentes no sinal de entrada

tambm introduz erros.


Uma vantagem do algoritmo de mnimos quadrados a possibilidade de eliminar a
componente aperidica exponencial mediante sua incluso explcita no conjunto S n (t ) .
Pode-se demonstrar que os algoritmos de Fourier constituem casos particulares do algoritmo
de mnimos quadrados, em que os sinais elementares so somente a componente fundamental e os
harmnicos; isto ; para um algoritmo de Fourier, a componente aperidica exponencial um rudo e
distorce sua resposta de estado transitrio.
A Figura 2.11 apresenta o grfico de resposta de freqncia de um filtro de mnimos
quadrados com janela de um ciclo e 12 amostras por ciclo. Neste grfico pode-se observar a
presena de zeros em todos os harmnicos, da mesma forma, como nos algoritmos de Fourier com
janela de um ciclo.

Figura 2.11

32

3 NOVAS FUNES OFERECIDAS PELOS


RELS DIGITAIS

3.1 - Introduo
Os rels digitais hoje disponveis no mercado esto projetados de modo a poder substituir os
rels convencionais sem requerer modificaes na estrutura de cablagem e na filosofia de disparo e
de proteo de retaguarda atualmente utilizadas. Assim, numa instalao existente, sero utilizados
os mesmos cabos provenientes dos TCs e TPs, os cabos para energizar as bobinas de disparo no 1 e
2 de cada disjuntor ligado ao circuito protegido, bem como os cabos para a alimentao CC dos rels.
Existem, porm, algumas caractersticas que diferem daquelas dos rels convencionais, so
comuns maioria dos rels digitais e correspondem a maiores recursos oferecidos ao pessoal de
operao e manuteno e, at mesmo, ao projetista do sistema de proteo e controle.
A seguir, so apresentadas as funes adicionais mais freqentemente encontradas nos rels
digitais. importante acrescentar que algumas dessas funes so opcionais ou no so fornecidas
com todos os tipos de rels, como o caso, por exemplo, das funes de oscilografia, localizao de
faltas e medio indicativa.

3.2 - Novas Funes Oferecidas pelos Rels Digitais


Adicionalmente ao que j foi informado no Mdulo IV, so apresentadas a seguir as principais
caractersticas ou funes adicionais s funes de proteo, disponveis nos rels digitais e que no
so oferecidas pelos rels convencionais.

3.2.1 - Registro Seqencial de Eventos


Consiste do registro, em memria, dos diversos tipos de ocorrncia selecionadas, tais como
estado (aberto, fechado, ligado, desligado etc.) de equipamentos, operao de contatos, operao de
rels ou funes, disparos, alarmes, mudanas de ajuste, resultados do autoteste, etc. Para cada
evento tambm registrada a data, hora, minuto e milissegundo de sua ocorrncia. Dependendo do rel,
podem ser registrados at 100 ou 200 eventos. A Figura 3.1 mostra um exemplo de lista de eventos.

33

Figura 3.1 Lista de Eventos

3.2.2 - Oscilografia
Esta funo corresponde ao registro das formas de onda das correntes e tenses. A taxa de
amostragem pode variar desde 12 ou 16 amostras por ciclo at taxas maiores como, por exemplo, 64
amostras por ciclo. A partir destes registros podem ser construdos os oscilogramas das correntes e
tenses, com durao que depende da quantidade de memria disponvel para este fim (30 ciclos, por
exemplo).
A apresentao dos oscilogramas pode ser feita por meio de um laptop local, ou atravs da
tela de um PC e impressora na sala de controle da usina ou subestao, ou ainda no prprio escritrio
da concessionria. Alm dos oscilogramas, so tambm registrados eventos de interesse, como, por
exemplo, o instante de operao dos rels, o momento de disparo dos disjuntores etc.
A partida da funo oscilografia pode ser feita, tanto por um sinal interno do rel, quanto por
um contato externo. A Figura 3.2 mostra um exemplo de oscilograma.

3.2.3 - Registro de Valores de Medio Indicativa


Muitos rels fornecem o valor de grandezas eltricas de interesse, como, por exemplo:
a) Corrente (Ia, Ib, Ic, In);
b) Demanda (Ia, Ib, Ic);
c) Tenso (Va, Vb, Vc, Vab, Vbc, Vca);
d) Potncia ativa (3);
e) Potncia reativa (3);
e) Freqncia.

34

A preciso da ordem de 1%.

Figura 3.2 Exemplo de Oscilograma com Anlise de Harmnicos

3.2.4 - Autoteste e Autodiagnose


Esta operao realizada continuamente. Sendo detectado qualquer problema, iniciado um
alarme e registrado um evento na lista de eventos.

3.2.5 - Teclado, Visor e LEDs


Estes componentes constituem uma interface homem-mquina local simplificado. O teclado
simplificado, possuindo teclas alfanumricas. Permite a execuo de ajustes e a gravao de
parmetros, localmente.
O visor de cristal lquido oferece a possibilidade de exteriorizao local de dados de medio
indicativa, informaes de eventos, ajustes, parmetros, alarmes, valores de corrente e tenso
durante faltas etc. Os dados e mensagens podem ser rolados para cima ou para baixo,
pressionando-se teclas ou botes.
Os LEDs servem para indicar o tipo de disparo (instantneo ou temporizado, fase A, B, C ou
terra etc.) se h algum alarme atuado, se h seqncia negativa etc.
A Figura 3.3 mostra um modelo de teclado, incluindo visor, LEDs e botes.

35

Figura 3.3 Interface Homem-Mquina Remoto: Visor, Teclado e LEDs Indicativos

realizado com a ajuda de um microcomputador PC, teclado, vdeo e impressora, podendo,


tambm, ser utilizado um laptop. Pode, ainda, ser executado atravs de um sistema SCADA. A Figura
3.4 fornece um exemplo de interface homem-mquina remoto, mostrando tambm a comunicao
com um CLP.

Figura 3.4 Interface Homem-Mquina Remotos e Comunicao com CLP

36

3.2.6 - Comunicao
A comunicao com outros equipamentos externos realizada, normalmente, por meio de
portas seriais. Estas portas podem ser de padro RS232 ou RS 485, com taxas de comunicao
variando de 300 a 57.600 bauds (bits por seg.), sendo 9.600 bauds a taxa ou velocidade de
comunicao mais utilizada. Vrios protocolos de comunicao podem ser utilizados: ASCII, Modbus,
DNP 3.0 ou um protocolo particular (proprietrio) do fabricante. As ligaes de um rel de proteo a
alguns equipamentos externos esto, tambm, mostradas na Figura 3.4.

3.2.7 - Sincronizao
possvel interligar vrios rels de modo que todos os registros de eventos e dados de
oscilografia tenham uma base de tempo comum, utilizando uma entrada do tipo IRIG-B. Isto inclui
tambm a sincronizao de rels localizados em diferentes subestaes, utilizando-se o sistema GPS
para manter a mesma base de tempo entre os diversos rels.

3.2.8 - Localizao de Faltas


Esta funo disponvel em alguns rels, e fornece a distncia do rel falta em km, milhas
ou percentagem da impedncia da linha. O valor da medida da distncia falta mostrado no visor
de cristal lquido do rel e tambm includo no relatrio de falta mencionado a seguir. Em geral,
podem ser armazenados dados de distncia de vrias faltas.

3.2.9 - Monitorao do Disjuntor


Alguns rels oferecem dados da operao do disjuntor, como, por exemplo, os valores
cumulativos de I.t e I2.t, o valor da corrente de cada fase nas ltimas interrupes etc. Se um dos
valores cumulativos exceder o seu limite ajustado, ser iniciado um alarme.

3.2.10 - Monitorao do Circuito de Disparo


Esta funo permite a monitorao contnua do circuito de disparo, incluindo os cabos, a
bobina de disparo e a tenso de alimentao. Isto obtido, por exemplo, atravs da monitorao da
tenso existente entre os terminais de cada contato de disparo. Um alarme iniciado se esta tenso
for perdida.

37

3.2.11 - Relatrio de Falta


Na ocorrncia de uma falta, as informaes de interesse so armazenadas em memria
magntica, para posterior exteriorizao.
Exemplos de informaes de interesse so: nmero do rel operado, data e instante de
operao, tempo de operao, correntes pr-falta, correntes e tenses de falta e tipo de falta.
Podem ser gravados dados de vrias faltas (as 12 ltimas, por exemplo). A Figura 3.5
mostra um exemplo de relatrio de falta.

Figura 3.5 Relatrio de Falta

O relatrio de faltas pode incluir oscilogramas com resoluo de 1 a 8 kHz e durao entre
0,25 a 5 s, quando o rel auxiliado por um processador de comunicao.

3.2.12 - Deteco de Falha na Abertura ou Fechamento do Disjuntor


Esta funo includa em alguns rels e permite que seja detectada uma falha ou demora no
fechamento ou na abertura do disjuntor.

3.2.13 - Configurao das Entradas e Sadas Digitais


Muitos rels oferecem a possibilidade de se configurar cada um dos contatos de sada ou
pontos de entrada digitais, segundo determinada lgica. A lgica utilizada para cada conjunto de
entradas, sadas e operao de funes de proteo pode ser definida, dependendo do rel, por meio
de operaes Booleanas ou por diagramas lgicos.

38

Para os rels que possuem vrios grupos de ajustes, possvel definir uma lgica diferente
para cada grupo de ajuste, possibilitando um grande nmero de combinaes. A Figura 3.6 apresenta
um exemplo de diagrama lgico que pode ser incorporado ao rel.

Figura 3.6 Exemplo de Diagrama Lgico

3.2.14 - Grupos de Ajustes


A possibilidade de mudana de ajustes, automaticamente ou por solicitao, oferecida por
alguns rels. O rel pode possuir 3, 4 ou at mesmo, 8 conjuntos de ajustes. A escolha de qual
conjunto estar efetivo pode ser feita localmente, atravs do teclado ou por um laptop, ou ainda,
remotamente, a partir de um PC. Pode, tambm, ser feita automaticamente, em funo de condies
pr-definidas, como carga acima de determinado valor, nmero de linhas, transformadores ou
geradores em servio, configurao do barramento etc.

39

3.2.15 - Monitorao da Qualidade da Energia Fornecida


Esta funo, disponvel em alguns rels, fornece o clculo da Distoro Harmnica Total
(DHT) na corrente e tenso de cada fase, assim como os valores das demandas, em intervalos de 15,
30 ou 60 minutos. Os dados podem ser exteriorizados sob a forma de grficos, como mostra a Figura 3.7.

Figura 3.7 Demandas e Distoro Harmnica Total (DHT)

3.2.16 - Anlise de Harmnicos


O software dos rels digitais permite realizar uma anlise dos harmnicos presentes nas
ondas de corrente e tenso, conforme mostrado na Figura 3.2. Os grficos contendo os harmnicos
podem ser comparados com outros grficos usados em posies diferentes no sistema.

3.2.17 - Anlise de Fasores


O rel pode fornecer, graficamente, os fasores das correntes e tenses, antes, durante ou
aps uma falta. Os fasores gerados por um rel podem ser comparados com fasores gerados em
outros pontos do sistema, para facilitar anlises de falta.

40

4 POSSIBILIDADES DE DIFERENTES
ARQUITETURAS
4.1 - Introduo
A utilizao generalizada, da tecnologia digital para substituir os j tecnicamente obsoletos e
economicamente no mais justificveis sistemas de superviso, controle e proteo convencionais de
usinas e subestaes ser o grande desafio que se coloca para os prximos anos aos profissionais
da rea e queles pertencentes aos nveis gerenciais.
Para vencer este desafio, porm, indispensvel avaliar as vrias alternativas que se
apresentam e estabelecer critrios adequados de escolha. Devem ser encontradas respostas para
perguntas como: Quais as melhores solues para cada um dos diferentes tipos de usina ou
subestao sob os diversos aspectos de custo, instalao fsica, cablagem, condicionamento
ambiental, comunicao de dados, recursos oferecidos ao pessoal de operao e manuteno,
desempenho, confiabilidade, expansibilidade do sistema, facilidade de modificao do software, de
modificao ou criao de telas, ou carregamento do banco de dados pelo prprio pessoal da
empresa usuria etc.? Quais as configuraes que melhor privilegiam os aspectos acima e ao mesmo
tempo sejam compatveis com a tecnologia disponvel? Como utilizar os recursos mais modernos
oferecidos pelos grandes fabricantes mundiais sem resvalar para a dependncia tecnolgica? Como
digitalizar as usinas e subestaes existentes de maneira adequada e com custos aceitveis? Como
coordenar a proteo stand alone (digital ou convencional) com o sistema de superviso e controle
digital, de forma a possibilitar a convivncia de equipamentos com tecnologias diferentes?
Algumas das questes acima so analisadas a seguir:

4.2 - Possibilidade de Diferentes Arquiteturas para o


Sistema Digital
A localizao das funes de processamento, aquisio e controle de dados em uma nica
unidade central ou em vrias unidades localizadas na sala de controle, ou ainda em unidades
colocadas junto ao processo, determina o grau de centralizao ou descentralizao fsica ou
funcional do sistema digital. A seguir, so analisadas, de maneira simplificada, diferentes
possibilidades de arquitetura, desde a fisicamente centralizada (na sala de controle), porm
funcionalmente distribuda, at aquelas com maior grau de descentralizao.
Para poder apresentar melhor o problema da centralizao versus descentralizao, o
sistema digital foi dividido nos seguintes subsistemas, incluindo software e hardware:

41

AC

aquisio de dados para as funes de superviso e controle.

AP

aquisio de dados para as funes de proteo.

SC

processamento das funes de superviso e controle.

processamento das funes de proteo.

IHM

processamento e exteriorizao das funes de interface homem-mquina.

Na Figura 4.1, o processamento do sistema de superviso e controle (SC) fsica e


funcionalmente centralizado, isto , realizado numa nica unidade computacional. A aquisio de dados
para a funo SC pode ser feita por unidades de aquisio e controle (AC) localizadas na sala de
controle. A proteo poder ser convencional ou digital. No caso da proteo convencional, seus dados
(atuao de rels, alarmes, posio de chaves seletoras etc.) precisam ser digitalizados, atravs de uma
unidade de aquisio de dados da proteo (AP) para poderem ser exteriorizados pelo IHM. Por outro
lado, se forem utilizados rels digitais para a funo proteo com protocolo compatvel, a unidade (AP)
poder ser eliminada, desde que o sistema central tenha condies de interpretar o protocolo de
comunicao destes rels, ou se for empregado um conversor de protocolos (gateway). Os rels digitais
com protocolo compatvel podero fornecer ao sistema central, atravs de sua interface serial,
informaes de alarmes, atuaes, ajustes e parmetros e podero receber deste os dados para
alterao de ajustes, parametrizao, alterao de curvas ou caractersticas etc.

Figura 4.1 Sistema com Processamento da Automao e Controle Centralizado e Aquisio de Dados Distribuda

AC

Aquisio de Dados para o Sistema SC

AP

Aquisio de Dados para a Proteo

Neste tipo de configurao, os cabos dos transformadores de corrente e tenso e para


informao do estado dos equipamentos, alarmes e comandos precisam ser levados desde a
subestao ou usina at a sala de controle, representando a principal desvantagem deste esquema.

42

A Figura 4.2 apresenta um sistema com arquitetura parcialmente descentralizada. Parte do


processamento da funo SC, bem como as funes de aquisio de dados para a SC e a proteo
(AP) foi deslocada para junto do processo (subestao, usina ou indstria). A funo proteo utiliza
rels digitais, cada um dos quais realizando o processamento da proteo que lhe est associada. A
amostragem dos valores de corrente e tenso, bem como a informao de estado do disjuntor e o seu
comando de disparo so feitos pela unidade AP. Os dados devem ser enviados aos rels para
processamento atravs de uma rede de alta velocidade (digitalizao o nvel processo). As
informaes para o sistema SC central podem transitar atravs de uma rede na qual a velocidade no
fator to importante.
A comunicao entre os rels e o sistema central poder ser feita, como no caso anterior, via
interface serial.

Figura 4.2 Sistema com Aquisio de Dados Distribuda e Redundncia

Nesta configurao, o comprimento dos cabos que interligam os equipamentos e cubculos da


subestao ou usina, s UACs sensivelmente reduzido, no havendo, portanto, cablagem na sala
de controle.
A unidade SC + AC remota, alm de efetuar a aquisio dos dados da funo SC e o
comando dos equipamentos, executa um processamento parcial das funes de superviso e
controle, com o objetivo de tornar o sistema menos dependente da unidade central. Como exemplos
das tarefas de processamento que podem ser realizadas pela unidade remota citada, para o caso de
uma subestao ou usina, podem-se citar:

Lgicas de intertravamento

Pr-processamento da medio

Chaveamento automtico seqencial de circuitos durante manobras ou aps faltas; Includas


nesta tarefa esto as operaes de transferncia automtica de circuitos.

Separao automtica de barras

43

Registro seqencial de eventos e alarmes

Controle de reativos

Controle de tenso atravs de comutador automtico em carga do transformador

Monitorao da capacidade de carga de transformadores

Desligamento programado de cargas

Verificao da consistncia de dados antes do envio unidade central.

Figura 4.3 Sistema com Proteo e Aquisio de Dados Distribuda e Automao e Controle Centralizados

Legenda:
P - Proteo
SC - Superviso e Controle
AC - Aquisio de Dados Sistema SC
AP - Aquisio de Dados Sistema P

Mesmo em caso de perda da comunicao com a unidade central, as tarefas acima podero
continuar sendo realizadas.
As unidades remotas podero ser construdas com previso para ligao a equipamentos de
interface homem-mquina portteis, para operao local dos equipamentos digitais durante eventual
falha do sistema central ou da comunicao e para facilitar os servios de manuteno.
O sistema da Figura 4.3 possui arquitetura fsica e funcionalmente descentralizada.
semelhante ao sistema da Figura 4.2 com as funes de proteo deslocadas para junto dos
equipamentos da subestao ou usina, ou para os prprios cubculos de mdia tenso, em instalao
preferencialmente abrigada. Valem para esta configurao as mesmas consideraes apresentadas
para o esquema anterior, exceto pelo fato de que, na configurao em pauta, as funes de proteo
e aquisio de dados para a proteo esto na mesma unidade.

44

Esta a configurao que corresponde ao uso dos rels digitais atualmente disponveis no
mercado, instalados em painis, na casa de controle ou em cubculos blindados ou painis, colocados
em abrigos, junto subestao ou usina (ver Figuras 4.4 e 4.5).

Figura 4.4 Exemplo de configurao Sistema SPACOM (ABB)

Os rels de proteo digital, quando montados na sala de controle, com as unidades de


aquisio e controle e o processamento parcial do sistema SC no ptio, constituem uma variante da
configurao da Figura 4.3. Tm, porm, a desvantagem de requerer a instalao da cablagem para a
proteo indo at a sala de controle. Esta variante, juntamente com o esquema da Figura 4.1,
correspondem aos esquemas mais utilizados atualmente.
As configuraes apresentadas acima podem ser combinadas de diferentes maneiras, em
funo das caractersticas especficas de cada instalao e dos equipamentos digitais disponveis no
mercado, obtendo-se uma grande variedade de possibilidades.
A escolha adequada da melhor alternativa constitui importante fator para a especificao de
um sistema digital que alie os requisitos de eficincia operacional, confiabilidade e economia. Esta
tarefa um dos muitos desafios que devem ser enfrentados pelo engenheiro de proteo e que deve
ser realizada em conjunto com profissionais de processamento de dados e comunicao.

45

Figura 4.5 Exemplo de configurao Sistema ALSTHOM

4.3 - Influncia do Tipo e Porte da Subestao


O porte da subestao ou usina um fator que influencia, de alguma forma, a escolha da
configurao do sistema digital a ser empregado. Assim, em instalaes de maior porte, ou no caso
de varias subestaes distribudas pela rea de uma planta industrial, haver maior vantagem na
utilizao de arquiteturas descentralizadas. Em instalaes de pequeno porte, poder-se- utilizar
arquiteturas centralizadas fisicamente, porm funcionalmente distribudas, conforme exemplo
mostrado na Figura 4.1.
O tipo da subestao ou usina (nova ou existente, abrigada ou no abrigada, convencional ou
isolada a SF6 etc.) tambm fator importante para a escolha. No caso das instalaes novas, h total
liberdade para se optar por um sistema descentralizado e obter o mximo de benefcios, como, por
exemplo, a construo de uma sala de controle menor e sem haver necessidade de levar a cablagem
desde os equipamentos at esta sala. Por outro lado, necessrio construir abrigos ou pequenas
casas de rels para a instalao, no ptio, dos rels de proteo e dos equipamentos digitais de
aquisio de dados e processamento (UACs).
Se a instalao existente e est sendo expandida, h duas possibilidades:
a) Uma delas corresponde ao caso de uma instalao cuja sala de controle no possui
mais espao para a colocao de novos painis e equipamentos ou quando no h mais
possibilidade de lanamento de novos cabos nos leitos existentes. Neste caso, a melhor
opo , claramente, a digitalizao. Em termos de obras civis, bastar construir uma

46

pequena sala de comando para os equipamentos digitais da unidade central, com previso
para controlar toda a instalao. A parte nova poder ter as unidades remotas instaladas
prximo ao ptio.
A liberdade para localizao da sala de controle em relao aos equipamentos mais uma
vantagem apresentada pelos sistemas descentralizados fisicamente. Como a ligao entre
o equipamento central e as unidades remotas corresponde apenas a um cabo coaxial, par
torcido ou fibra tica, a sala de controle poder ser localizada em qualquer local. Poder,
inclusive, ser colocada a muitos quilmetros da subestao, usina ou planta industrial.
Neste ltimo caso, a situao dos equipamentos no local da subestao poderia, por
exemplo, ser mostrada, na sala de controle, por um sistema de TV.
b) O segundo caso de expanso da instalao existente corresponde s situaes em que
a sala de controle e os leitos para cabos foram construdos com previso para futuros
acrscimos. H espao para a instalao de novos painis convencionais. Ainda neste
caso, somos de opinio que a opo deve ser pela digitalizao, pelas seguintes razes:

evitam-se os gastos com painis convencionais e cabos;

ampliam-se os recursos oferecidos ao pessoal de operao e manuteno;

possibilita-se a automatizao de vrias funes na subestao ou usina (transferncias

automticas, controle de tenso, desligamento automtico de cargas etc.);

Torna-se vivel a transferncia dos dados de interesse para o escritrio da empresa ou para o

centro de operao;

Pode ser reduzida a responsabilidade dos operadores, localizados na sala de controle, de maneira

que muitas as aes de emergncia possam ser realizadas automaticamente, pelo sistema digital.
Futuramente, o uso de sistemas especialistas com inteligncia artificial poder ampliar as
aes tornadas automticas.

4.4 - Itens a Serem Analisados na Definio do Sistema


Digital
Alm do tipo e porte da instalao e da arquitetura do sistema digital, j analisados nos itens
precedentes, os seguintes aspectos devem ser considerados:

4.4.1 - Desempenho e Confiabilidade


Desempenho a capacidade do sistema digital de executar suas funes de forma adequada,
com tempos de resposta e requisitos tcnicos conforme especificado. Para apresentar bom
desempenho, o sistema deve possuir capacidade de transmisso de dados, armazenamento e
processamento suficientes para atender s contingncias mais desfavorveis especificadas.

47

O conceito de confiabilidade envolve os aspectos de segurana e disponibilidade, os quais


so influenciados pelo grau de redundncia adotado, pela qualidade dos diversos equipamentos e
componentes, pelo condicionamento ambiental e pelo sistema de transmisso de dados. A Figura 4.2
mostra um exemplo de uso de redundncias para as funes SC e IHM.

4.4.2 - Recursos Operacionais e Apoio Manuteno


O sistema digital deve ser especificado para oferecer amplos recursos ao pessoal de
operao e manuteno, desde que dentro dos limites dos equipamentos e softwares atualmente
disponveis. Entre estes recursos, podemos citar:

Telas grficas com diagramas unifilares mmicos do sistema eltrico, indicando os valores de

corrente, tenso e potncia em cada circuito, alm de outras grandezas como presses, temperaturas
etc. As telas devem ser organizadas em diversos nveis de informao, desde as mais gerais at
aquelas que mostrem as informaes mais detalhadas.

Listas de alarmes e eventos com a indicao, atravs de cores, do nvel de urgncia de cada

alarme e do instante de sua ocorrncia.

Relatrios de ocorrncias, por perodo ou por solicitao do operador.

Listagens contendo o tempo de operao de cada equipamento relevante, o tempo em que o

equipamento operou sob condies anormais, o valor mximo e mdio das grandezas de interesse etc..

Registro das manutenes ocorridas.


A Figura 4.6 mostra um exemplo de Interface Homem-Mquina.

Figura 4.6 - Exemplo de Interface Homem Mquina

importante que as reas de engenharia, operao e manuteno sejam envolvidas no


processo de aquisio e desenvolvimento do sistema digital, de modo a se explorar ao mximo os
recursos desta nova tecnologia. Deve-se procurar, sempre que possvel, adquirir sistemas que sejam
customizveis, isto , que sempre que possvel admitam modificaes posteriores para atender

48

necessidades especficas do cliente. Caso contrrio, seria como adquirir um computador com um
conjunto de programas fornecido pelo fabricante para realizar uma srie de tarefas, mas que no
admitisse o uso de outros programas, no futuro.

4.4.3 - Modularidade, Expansibilidade e Mantenabilidade


Os sistemas

no centralizados possuem,

normalmente, grau

de modularidade e

expansibilidade adequados, de modo a facilitar sua manuteno e futuras expanses. A agregao de


novas unidades ao sistema deve ser natural e no dever requerer modificaes no software ou do
protocolo de comunicaes da parte existente. As Figuras 4.7 e 4.8 mostram exemplos de sistemas
com modularidade e expansibilidade.

4.4.4 - Condicionamento Ambiental


Sistemas utilizando microprocessadores, memrias etc. podem ter seu desempenho,
confiabilidade e vida til afetados por fatores como:

Temperaturas elevadas.

Surtos de tenso conduzidos ou irradiados.

Umidade, poeira, fungos e vibraes.

Pelos motivos acima, desejvel que os sistemas digitais operem em ambientes controlados
e com blindagens para minimizar os efeitos dos campos eletromagnticos.
No caso de instalao de equipamentos digitais no ptio de subestaes, dever ser
providenciado ambiente adequado que atenda aos requisitos de imunidade aos efeitos apontados
acima. importante assinalar, porm, que os rels de proteo e CLPs, bem como a maior parte das
unidades terminais remotas que hoje so oferecida no mercado j so fabricados de modo a resistir
s condies ambientais existentes no ptio das subestaes ou usinas, desde que no sejam
ultrapassados os limites estabelecidos pelas normas.
A sala de controle de subestaes, por sua vez, rene as melhores condies para a
instalao de sistemas digitais.

49

Figura 4.7 Configurao com Modularidade

4.4.5 - Cablagem
A necessidade de cablagem entre o ptio e a casa de controle, caracterstica das
configuraes fisicamente centralizadas um fator importante que pesa contra este tipo de
configurao. Alm do alto custo dos cabos e das instalaes para cont-los, h ainda o
inconveniente de estes cabos conduzirem as interferncias eletromagnticas para dentro do prdio
onde est localizada a sala de controle podendo, inclusive, ir at os terminais dos equipamentos
digitais de aquisio e controle.
No caso das configuraes fisicamente distribudas, tambm existir cablagem entre os
equipamentos e os terminais do equipamento de aquisio e controle. Entretanto, os comprimentos
destes cabos sero sensivelmente menores e os cabos estaro, em grande parte, contidos em
eletrodutos que podero ser metlicos e aterrados, reduzindo muito o problema da interferncia
eletromagntica. Alm disto, por serem mais curtos, no haver grande diferena de custo, se forem
utilizados cabos blindados.

4.4.6 - Comunicao de Dados


As diferentes arquiteturas apresentadas anteriormente dependem, para o seu completo
funcionamento, de um sistema de comunicao de dados que seja, ao mesmo tempo, confivel e de
alto desempenho, de modo a no provocar interrupes na operao do sistema digital, nem
introduzir retardos indesejveis.
Os sistemas de comunicao de dados podem apresentar erros de mensagens, retardos na
comunicao, falhas nos transceptores ticos (no caso de uso de fibras ticas), falhas em interfaces
de comunicao etc., que podem afetar a confiabilidade e o desempenho do sistema digital.
Recomenda-se, portanto, o mximo cuidado ao especificar a rede de comunicaes destes sistemas.

50

Nas arquiteturas descentralizadas fisicamente, parte do sistema de comunicao de dados


est localizada no ptio da usina ou subestao ou distribudo ao longo da planta industrial, ficando,
portanto, exposta ao ambiente agressivo destes locais.

Figura 4.8 Exemplo de Integrao Vertical e Horizontal

A qualidade e o desempenho dos sistemas de comunicao tm melhorado sensivelmente


nos ltimos anos. Os sistemas proprietrios dos grandes fabricantes de rels e de sistemas de
superviso e controle so hoje bastante satisfatrios.
O problema destes sistemas a incompatibilidade entre os protocolos de comunicao dos
diferentes fabricantes. Isto dificulta as expanses, sempre que a fonte nova seja de fabricante
diferente do sistema j existente. O ideal a utilizao de sistemas abertos. O problema da
padronizao do protocolo, somente fica totalmente resolvido com o emprego da norma IEC 61850,
conforme ser visto adiante.

4.5 - Substituio dos Circuitos e Rels dos Cubculos


Blindados e Painis por Sistemas Digitais
Os circuitos de proteo e controle convencionais utilizados em cubculos blindados e painis
em subestaes, usinas e indstrias apresentam um grau de complexidade, por vezes, bastante
elevado, em razo da necessidade de incluir funes como intertravamentos, transferncias
automticas, seqenciamentos, desligamento automtico de cargas, seleo de potencial para
sincronismo etc. Estas funes, quando realizadas por circuitos convencionais, requerem grande

51

nmero de rels auxiliares e fiao interna, alm de aumentarem a cablagem entre os diversos
painis e cubculos, dificultando a manuteno e diminuindo a confiabilidade do sistema.
Com a utilizao de rels e sistemas de superviso e controle digitais, os cubculos blindados
e painis podero ser projetados de maneira que seus circuitos sejam grandemente simplificados e,
at certo ponto, padronizados, contribuindo assim para a reduo do custo destes equipamentos.
Para que isto seja possvel, porm, necessrio que o sistema digital seja concebido e
especificado de modo a incorporar todas as funes de automatismos e intertravamentos antes
executadas pelo sistema convencional, alm de incluir novas funes, tornadas possveis em razo
da flexibilidade oferecida pela nova tecnologia.
No caso de reforma e modernizao de instalaes antigas, com problemas de limitaes
operacionais, obsolescncia de equipamentos e dispositivos, impossibilidade de novas expanses por
falta de espao na sala de controle, congestionamento da cablagem, desatualizao de
documentao, necessidade de relocao da sala de controle etc., o uso de sistemas digitais
adequadamente

concebidos

poder

se

apresentar

como

melhor

soluo,

tcnica

economicamente.
A implantao de um sistema digital descentralizado possibilitaria uma instalao gradativa
das unidades de aquisio e controle junto a cada vo, subestao unitria ou unidade geradora, sem
necessidade de desenergizar toda a subestao ou usina.
Quando todo o sistema digital tiver sido instalado, testado e funcionando, o controle da
subestao ou usina passaria a ser executado atravs do mesmo. Os painis convencionais e a
cablagem existentes seriam ento desativados.
Alternativamente, os cabos existentes poderiam ser aproveitados, procedendo-se da seguinte
maneira: Efetua-se o desligamento de um vo de cada vez. Os cabos so desconectados do painel
existente e ligados nova UAC. Depois de verificado que todas as informaes relativas ao vo em
questo esto disponveis no IHM e na base de dados do sistema digital, o vo desligado pode ser
reenergizado. Caso os cabos existentes no tenham comprimento suficiente para chegar at a UAC,
pode-se instalar, em local adequado, um painel intermedirio, contendo os bornes necessrios.

4.6 - Uso de Esquemas de Retaguarda


No Brasil, a experincia com o uso de sistemas digitais modernos de automao e proteo
ainda pequena. Isto tem provocado uma certa preocupao por parte dos potenciais usurios.
Em razo desta preocupao, existe a tendncia de se especificar algum nvel de retaguarda
convencional para cobrir eventuais falhas no sistema digital.
Em algumas instalaes so adotadas retaguardas convencionais, consistindo de pequeno
painel mmico com LEDs mostrando o status dos equipamentos e de botoeiras para o comando
destes equipamentos em caso de falha no sistema digital.
Em nossa opinio, no devem ser misturadas diferentes tecnologias. O uso de sistemas
convencionais completos ou simplificados deve ser evitado, pois pode reduzir muito ou at anular as
principais vantagens econmicas e algumas vantagens tcnicas do emprego dos sistemas digitais.

52

Recomenda-se que, para facilitar os testes e a manuteno, as unidades de aquisio e


controle (UACs), sejam especificadas com facilidades para conexo de um laptop dotado de IHM para
superviso e comando, o qual poder tambm funcionar como retaguarda no caso de colapso da
comunicao com o sistema central.

4.7 - Redundncia no Sistema Digital


possvel afirmar, observando o estado atual da tecnologia nesta rea, que os sistemas
digitais modernos, desde que bem especificados e compatveis com as condies ambientes do local
de sua instalao, tendem a ser mais confiveis que os sistemas convencionais equivalentes.
Entretanto, para melhorar a confiabilidade destes sistemas, podem-se utilizar esquemas de
redundncia. Como exemplos dos diferentes nveis de redundncia que podem ser usados, podem-se
citar, partindo do mais simples para o mais completo:

Utilizao de contatos duplos (em srie), para comandos de abertura ou fechamento de

equipamentos de manobra. Se possvel, deve-se usar contatos de sada de placas diferentes.

Duplicao da rede de comunicao de dados, incluindo o meio fsico, hardware e software,

com sistema contnuo de deteco de falhas e transferncia automtica para o sistema stand by, em
caso de falha no sistema principal.

Duplicao do sistema central de processamento e IHM, conforme mostrado na Figura 4.2.

Neste esquema, so utilizados dois sistemas digitais idnticos, podendo-se duplicar, inclusive,
o sistema de comunicao de dados e as UACs. Os dois sistemas so independentes. Esto
continuamente em operao e realizam os mesmos processamentos sobre os dados que so
enviados para cada um, separadamente, pelas UACs (redundantes ou no) e pelos respectivos
sistemas de comunicao de dados. Apenas um dos sistemas (chamado sistema principal), est
habilitado a atuar sobre o processo e exteriorizar os dados. O outro sistema (denominado stand by)
permanece pronto para assumir as funes do sistema principal, em caso de falha ou
indisponibilidade deste.
Um software de deteco de falha verifica, continuamente, as condies operacionais do
sistema principal e a consistncia dos dados por este processados e habilita o sistema stand by se
algum problema for detectado.
Se no houver inteno de duplicar as UACs, os dados adquiridos a cada varredura
alimentaro apenas a base de dados do sistema principal. Periodicamente (a cada 10 seg., por
exemplo), a base de dados do sistema stand by atualizada automaticamente.

53

4.8 - Custo
Tendo em vista a importncia que ter o sistema digital a ser implantado para a operao e
manuteno da subestao ou usina, ao longo de sua vida til, conclui-se que o custo, embora
tambm importante, no deve ser fator fundamental na escolha do sistema digital. necessrio
buscar solues que levem ao menor custo global, ao longo de toda a vida til da instalao,
incluindo-se nessa avaliao a reduo das obras civis e cablagem, a reduo do nmero de
operadores, bem como os benefcios a ser oferecidos ao pessoal de operao, manuteno e
engenharia.

4.9 - Concluses
A convenincia do uso da tecnologia digital para as funes de superviso, controle,
automao e proteo de subestaes, usinas e sistemas industriais , hoje, inquestionvel. A
abertura do mercado na rea de informtica colocou disposio dos tcnicos grande variedade de
produtos. Caber a estes especialistas conceber, definir, especificar, comprar, operar e manter estes
equipamentos e sistemas dentro de um equilbrio entre confiabilidade e economia.
Uma utilizao mais ampla dos recursos oferecidos pelos sistemas digitais fundamental.
observao do autor que a maior parte dos sistemas de superviso e controle hoje em operao
est subutilizada ou foi especificada com objetivos ou funes muito aqum de sua real capacidade. A
razo para este fato pode ser, talvez, a idia de alguns clientes de que o aumento do nmero de
funes do sistema digital ir aumentar sensivelmente seu preo, o que, em geral, no corresponde
realidade. Embora possa ocorrer um pequeno aumento no preo do sistema, em alguns casos, h
sempre uma melhora na relao custo-benefcio quando a capacidade do sistema digital utilizada
em maior extenso. Na maioria dos casos, possvel, inclusive, que o desenvolvimento do software
aplicativo para funes adicionais possa ser feito pelo prprio cliente, por uma empresa de consultoria
ou por profissional especializado.

54

5 VANTAGENS DOS SISTEMAS DE


PROTEO E AUTOMAO DIGITAIS

5.1 - Introduo
O uso de sistemas digitais inteligentes para realizar as funes dos atuais sistemas de
proteo, controle e automao convencionais representa um importante passo para possibilitar
novas solues de engenharia e otimizar a operao e manuteno de usinas e subestaes, alm de
oferecer, a mdio prazo, possibilidades de reduo do custo global da instalao.
Os circuitos de superviso, controle e proteo das grandes usinas e subestaes
representam um dos itens do projeto que mais polarizam a ateno dos tcnicos e engenheiros das
empresas de gerao, transmisso e distribuio de energia eltrica, por sua influncia na operao e
manuteno dos equipamentos do sistema. A possibilidade de substituio dos esquemas de lgica
fixa, utilizando componentes discretos, como rels, chaves etc., interligados entre si por condutores,
por sistemas com lgica programvel memorizada, veio oferecer soluo para inmeros problemas
causados pela complexidade dos mencionados esquemas, alm de oferecer recursos adicionais ao
pessoal de operao e manuteno
Nos itens que se seguem, procurar-se- fornecer uma primeira idia sobre o que so os
sistemas digitais e quais suas partes constituintes, alm de apresentar os principais benefcios
oferecidos por estes sistemas e mencionar alguns dos problemas que caracterizam os sistemas
convencionais at agora utilizados.

5.2 - Estrutura e Funcionamento de um Sistema Digital


Inteligente de Proteo, Controle e Automao
A Figura 5.1 mostra, de maneira simplificada, uma das configuraes possveis para um
sistema digital deste tipo. Suas principais partes constituintes so:

Unidade Central de Processamento (UCP);

vdeo, teclado e impressora;

Unidades de Aquisio e Controle (UACs);

rede de comunicao de dados.


Nesse tipo de configurao, a proteo digital e localizada na casa de controle. O sistema

de superviso e controle (SC) possui o processamento centralizado e as unidades de aquisio e


controle distribudas, fisicamente, pelo ptio da usina ou subestao.

55

O funcionamento do sistema pode ser, de forma simplificada, resumido da seguinte maneira:

5.2.1 - Sistema de Superviso, Controle e Automao


As UACs efetuam a varredura dos pontos de entradas digitais (contatos), entradas
analgicas (correntes, tenses, temperaturas etc.) e sadas digitais (comandos). A informao sobre
cada ponto registrada em uma memria local, juntamente com a datao de cada evento, isto , a
indicao da hora, minuto, segundo e milissegundo de sua ocorrncia.

Figura 5.1- Exemplo de Sistema Digital de Superviso, Controle e Automao

A UCP, a cada ciclo de sua varredura (da ordem de 1 a 2 segundos), transfere as


informaes das UACs para o seu banco de dados e atualiza a tela que estiver sendo exibida.
Os dados da proteo digital so transmitidos UCP via interface serial, desde que exista um
protocolo de comunicao comum.
Com os dados em seu banco de dados, atualizados a cada varredura, a UCP realiza suas
outras tarefas, como por exemplo, partida e parada automtica de mquina, intertravamentos,
seqenciamentos, clculo de potncia e energia, lista de alarmes e eventos etc., alm de enviar ao
nvel hierrquico superior os dados de interesse.

56

5.2.2 - Sistema de Proteo


A proteo pode funcionar como um sistema independente ou possuir algum nvel de troca de
informaes com o sistema de superviso e controle, conforme ser esclarecido no captulo sobre
configuraes.

5.3 - Vantagens da Utilizao dos Sistemas Digitais


O uso de um sistema digital distribudo para superviso, controle, proteo e automao das
usinas e subestaes apresenta inmeras vantagens, entre as quais:

A interligao entre as diversas funes realizada atravs de software, eliminando-se a

fiao, cabos, rels multiplicadores de contatos, temporizadores, chaves etc..

possvel reduzir, sensivelmente, o tamanho da sala de controle, bem como as instalaes

para passagem dos cabos, representando sensvel economia. Conforme pode ser observado na
Figura 5.2, o espao requerido pelos equipamentos de controle digital mnimo. Em geral, estes
equipamentos podem ser acomodados em uma mesa tipo Console.

Figura 5.2 - Aspecto da Interface Homem-Mquina Digital

O sistema digital permite contnua autodiagnose do hardware e software utilizados.

possvel a implementao de novas funes, no futuro, sem custo aprecivel. Como

exemplo de novas funes, podemos citar:

a. fechamento sincronizado de disjuntores.


b. superviso da operao de disjuntores

57

registro e totalizao do I2.t.


superviso do circuito de disparo.
superviso do sincronismo de operao
c. chaveamento controlado e sincronizado de bancos de capacitores.

A consistncia dos dados de entrada pode ser permanentemente verificada, de modo a

aumentar, sensivelmente, a confiabilidade do sistema. Assim, por exemplo, a verificao do disjuntor


ou chave abertos ou fechados pode ser verificada atravs de informao da tenso, nos dois lados do
equipamento e da corrente no circuito.

O software de cada funo feito de forma estruturada e modulada e, tanto quanto possvel,

padronizada, possibilitando reduo dos custos de desenvolvimento, documentao, implantao e testes.

As ligaes com os equipamentos no ptio da subestao so feitas por unidades terminais

remotas ou CLPs que podem ser localizados prximo aos equipamentos, permitindo grande reduo
da cablagem (ver Figura 5.6).

Pode ser oferecida ao pessoal de operao e manuteno ampla gama de relatrios

impressos, no formato desejado pelo usurio, tais como listas de eventos ocorridos em determinado
perodo ou aps uma perturbao, valores das correntes e tenses antes, durante e aps uma falta,
tempos de operao de cada rel ou disjuntor, etc. (ver Figura 5.3a e 1.3b).

a)

b)
Figura 5.3 - Exemplos de Relatrio e Lista de Eventos

58

Considerando-se que as variveis e parmetros de interesse esto digitalizados e acessveis

no banco de dados, o projetista poder conceber novas solues, utilizando seu conhecimento
tcnico e experincia, explorando ao mximo sua criatividade.
Outros benefcios da digitalizao/automao das usinas e subestaes so:

No Aspecto Operacional

Simplificao e acelerao das seqncias operativas; imediata e completa avaliao de

todas as informaes de medidas.

Incorporao de todos os intertravamentos.

Seleo/filtragem das informaes nos nveis hierrquicos inferiores, de modo que sejam

apresentados ao operador somente os dados relevantes.

Maior flexibilidade operativa.

Possibilidade de operao dos equipamentos mais prxima de seus limites, em funo de

melhor monitorao dos mesmos, obtendo-se economia de investimentos.

Possibilidade de utilizao do conceito de adaptabilidade, atravs da qual os sistemas de

proteo e controle podero se adequar s mudanas na configurao do sistema eltrico.

No Aspecto de Engenharia

Possibilidade de uso de solues no convencionais, porm mais econmicas para o sistema

eltrico, em funo dos maiores recursos oferecidos pelos sistemas de proteo, superviso e
controle digitais. Como por exemplo, pode-se citar o uso de chaveamento seqencial aps faltas,
ilustrado na Figura 5.4.

Figura 5.4 - Simplificao de Subestaes

Realizao das tarefas mais prximas ao processo, resultando na reduo do fluxo de dados.

No Aspecto da Manuteno

Deteno imediata das falhas, em razo dos programas de autoteste e autodiagnose.

59

Relatrios mais completos sobre as condies dos circuitos e equipamentos antes, durante e

aps as falhas.

Aumento da vida mdia dos equipamentos em funo de uma monitorao mais completa e efetiva.

Possibilidade de analisar o histrico de operao e desempenho de cada equipamento antes

de iniciar a manuteno.

No Aspecto da Reduo dos Custos de Instalao e Manuteno

No necessria a instalao de grande nmero de cabos e das estruturas para cont-los

(canaletas, dutos, bandejas etc.).

Custos e tempo de instalao sensivelmente reduzidos, pois o sistema extensivamente

testado previamente atravs de programas de simulao. O comissionamento facilitado pela


possibilidade de verificao de cada circuito de entrada ou sada das UTRs, isolada e
independentemente dos demais. A Figura 5.5 mostra uma comparao entre os tempos de projeto,
compras, instalao e comissionamento de sistemas convencionais e digitais.

Quase eliminao da necessidade de manuteno peridica e calibrao dos equipamentos e

dispositivos, em razo da capacidade de autoteste e autodiagnose e pelo fato de os ajustes, curvas e


parmetros, por software, no sofrerem alterao com o tempo.
ATIVIDADE

CRONOGRAMA

Especificao, compras
e fabricao

Engenharia

Instalao e
comissionamento

Legenda:

=
=

Sistema Convencional
Sistema Digital

Figura 5.5 - Comparao entre os Tempos de Engenharia, Compras, Instalao e


Comissionamento para Sistemas Convencionais e Digitais

No Aspecto da Segurana

Capacidade de reconhecer situaes anormais e, rapidamente iniciar medidas adequadas

para a instalao voltar ao normal.

60

Capacidade de autodiagnose.

Uso de redundncia, permitindo que um equipamento, ou parte dele, assuma as funes de

outro equipamento que tenha apresentado falha.

5.4 - Problemas e Limitaes dos Sistemas Convencionais


Entre os principais problemas apresentados pelos sistemas convencionais, podemos citar:

Grande nmero de circuitos, condutores e componentes necessrios, aumentando a

probabilidade de falhas e dificultando a manuteno

Grande nmero de cabos entre a subestao e os diversos painis, exigindo o uso de galerias

para cabos, bandejas, canaletas, dutos etc., os quais representam, juntamente com os cabos, um
item de custo aprecivel (ver Figura 5.6).

Figura 5.6 Cabos de Ligao aos Equipamentos de um Vo Tpico Sistema Convencional

Custos elevados de engenharia e de fabricao dos painis e cubculos, em virtude da diversidade de


filosofias e equipamentos, dificuldade de padronizao e complexidade dos circuitos (ver Figura 5.7).

61

Figura 5.6 - Cabos de Ligao aos Equipamentos de um Vo Tpico Sistema Convencional

Figura 5.7 Parte de Circuito de Intertravamento de Chaves Seccionadoras

Grande nmero de painis de proteo, controle, superviso, oscilografia, alarmes,

intertravamentos, rels auxiliares etc., acarretando a necessidade de sala de controle e sala de rels
de grandes dimenses (ver Figura 5.8).

Figura 5.8 - Arranjo dos Equipamentos na Casa de Controle Sistema Convencional

Grande nmero de desenhos necessrios documentao do projeto, com custo elevado de

preparao, arquivamento e cpias.

62

Dificuldade e maior custo de engenharia nas expanses, pela necessidade de realizar

alteraes nos diagramas, painis e cubculos existentes.

Superviso da integridade dos circuitos, componentes e equipamentos insuficientes. H necessidade

de testes peridicos e algumas falhas s so detectadas quando o sistema solicitado a operar.

Dificuldade na pesquisa, localizao e reparao de defeitos, em razo do nmero de

dispositivos e da cablagem e fiao envolvidos.

Grande nmero de painis, levando a um maior custo da casa de controle.

Sistemas de alarmes e registro de eventos deficientes e de custo elevado. As ocorrncias de

alarmes devem ser anotadas manualmente pelo operador, possibilitando a perda ou alterao de
informaes (ver Figura 5.9).

Figura 5.9 - Anunciador de Alarmes

Os contatos auxiliares dos disjuntores e chaves seccionadoras, em geral, no so

supervisionados, possibilitando transferncias automticas, intertravamentos ou indicaes erradas.

Os recursos oferecidos ao pessoal de operao e manuteno (registros, listagens etc.) so

limitados.

63

6 - SISTEMAS INTEGRADOS DE
PROTEO E AUTOMAO

6.1 - Introduo
Historicamente, os sistemas de superviso e controle e os sistemas de proteo foram
desenvolvidos utilizando a tecnologia convencional. Nesta poca, pode-se dizer que estes dois
sistemas eram parcialmente integrados. Assim, por exemplo, a informao de estado e a superviso
dos circuitos de abertura e fechamento dos disjuntores eram compartilhadas. Por outro lado, as
informaes de corrente e tenso para a medio indicativa e para a proteo utilizavam cabos e
enrolamentos secundrios dos TCs e TPs independentes.
Posteriormente, ocorreu o surgimento dos sistemas SCADA que, no incio, empregavam
unidades terminais remotas (UTRs) no inteligentes. Estes sistemas tinham por finalidade transmitir aos
Centros de Controle das empresas, informaes sobre as grandezas eltricas (I, V, W, VAr), estado dos
equipamentos de manobra, tap de transformadores e os alarmes, incluindo nestes ltimos, os alarmes
dos rels de proteo.
Com a evoluo dos processadores, as UTRs foram dotadas de processamento prprio, mas
continuavam, basicamente, a exercer as mesmas funes.
O sistema SCADA era, na ocasio, desenvolvido, especificado, testado e mantido por equipes
especializadas, em geral as mesmas responsveis pelo projeto dos Centros de Controle (COS e CORs)
que utilizavam, em geral, computadores de grande ou mdio porte. O desenvolvimento do software
para estes Centros requeria a participao de grandes equipes, envolvendo alguns milhares de homens
hora. As UTRs eram consideradas parte desses sistemas, com funo unicamente de terminais de
aquisio de dados e comando.
Havia uma quase total separao entre os sistemas SCADA e os sistemas de proteo e
controle convencionais.
Como resultado desta filosofia, para a mesma funo eram utilizadas em cada SE, em alguns
casos at 3 ou 4 cablagens, isto , conjuntos de cabos, interligando os equipamentos no ptio com os
painis. Assim, por exemplo, as informaes de corrente e tenso eram transmitidas por cabos
separados, desde os enrolamentos dos TCs e TPs para:
a)

os instrumentos de medio indicativa, nos painis de controle;

b)

a proteo primria;

c)

a proteo secundria;

O sistema SCADA utilizava transdutores de I, V, W e Var, localizados nos painis de controle.


Algo semelhante ocorria em relao s informaes de estado dos disjuntores.

64

Nas subestaes que possuem Esquema de Controle de Segurana ECSs, que uma
proteo sistmica que utiliza CLPs e se baseia em informaes sobre a topologia da subestao, aos
cabos acima acrescentado mais um conjunto de cabos para obteno do estado dos disjuntores e
chaves seccionadoras, alm das informaes de corrente e tenso, quando necessrio.

6.2 - O Panorama Atual


Com o surgimento dos rels digitais, num primeiro momento a filosofia mencionada acima no
foi modificada. Os rels eram, ainda, considerados com funo unicamente de proteo. Como uma
evoluo da situao inicial, as subestaes e usinas passaram a ter sistemas digitais de superviso
e controle j com algumas funes de automao. Estes sistemas, porm, eram concebidos,
especificados e adquiridos separadamente dos sistemas de proteo. Passaram, ento, a coexistir
em uma mesma subestao ou usina equipamentos digitais especificados por equipes
independentes, fabricados por fabricantes diferentes e em pocas distintas.
importante acrescentar que em uma subestao moderna deve-se dispor, alm das funes
de proteo e de superviso e controle, de outros recursos de auxlio operao e manuteno, como,
por exemplo, registro de eventos, oscilografia, localizao de faltas, relatrios de faltas, monitoramento
do disjuntor etc. Estas funes tm sido desempenhadas por equipamentos dedicados (ou stand alone)
e, em poucos casos, pelos rels microprocessados.
Entretanto, apesar das tentativas de utilizao de protocolos abertos ou compatveis, a troca de
informaes entre estes equipamentos independentes, os rels microprocessados e o sistema de
controle digita, ainda difcil e limitada, pois depende da correta interpretao de mensagens, algumas
vezes envolvendo verses de software elaboradas em diferentes pocas.

6.3 - Razes para Evoluir para um Sistema Integrado


Com a evoluo dos processadores foi possvel substituir as UTRs convencionais por unidades
de aquisio e comando (UACs) dotadas de processamento prprio. Com isto, estas unidades
passaram a operar como se fossem CLPs, podendo realizar funes de automatismos,
intertravamentos, processamento da medio, dentre outras, alm de eliminar chaves de controle e
rels auxiliares e de reduzir a cablagem. Adicionalmente, em caso de falha do processamento de uma
das unidades, suas funes poderiam ser realizadas por outra unidade. O processamento, portanto,
tornou-se inteligente, distribudo e, em alguns casos, redundante.
No que se refere ao sistema de proteo digital, este passou a ser interligado a uma unidade
central de superviso por meio de cabos ou redes, de modo a centralizar as informaes contidas nos
rels, tais como valores das correntes, tenses e potncias, listas de eventos e alarmes, oscilogramas,
relatrios de faltas etc., tornando mais fcil o envio destes dados a outros nveis hierrquicos.
Verificou-se ser possvel reduzir sensivelmente a cablagem e a quantidade de pontos de
entrada e sada dos equipamentos digitais caso as mltiplas informaes sobre o processo pudessem

65

ser compartilhadas entre os diversos subsistemas. Assim, por exemplo, as informaes de corrente,
tenso, estado dos disjuntores, temperatura dos enrolamentos e tap do comutador em carga dos
transformadores etc. podem ser adquiridas pelos rels das protees primria e secundria e
compartilhadas com o sistema de superviso e controle. Da mesma forma, o estado das chaves
Seccionadoras, por exemplo, pode ser adquirido pelo sistema de superviso e controle e informado ao
sistema de proteo, quando necessrio.

6.4 - Linguagem CIM XML Aplicada a Sistemas Eltricos


As empresas responsveis pela operao segura dos sistemas eltricos, como o caso do
ONS no Brasil e tambm das empresas de energia eltrica e indstrias em geral, precisam modelar
seus sistemas e partes dos sistemas vizinhos para maior garantia de suas operaes de controle. Nos
modelos empregados em estudos de planejamento (fluxo de carga, curto circuito etc.) a representao
simplificada, no incluindo os complicados esquemas de chaveamento de cada subestao. Esses
modelos tm formato tipo barra-ramo, isto , cada barra representada como um n e cada sada
representada como um ramo, independentemente da configurao das chaves e disjuntores.
Por outro lado, para possibilitar o comando em tempo real dos equipamentos de uma
subestao de forma correta e confivel, necessrio o conhecimento da configurao de barras,
assim como de todos os detalhes do estado destes equipamentos. O modelo que fornece estas
informaes, incluindo a medio das grandezas analgicas, conhecido como modelo n-disjuntor.
Para que a modelagem dos sistemas possa ser realizada em tempo real, os usurios dos
sistemas eltricos tm necessidade de trocar entre si informaes de modo a construir um ambiente
de simulao que facilite as anlises eltricas, econmicas e de segurana do sistema de potncia.
Essa troca de informaes importante para facilitar as funes de planejamento da expanso do
sistema, programao da manuteno e o planejamento da operao.
De modo a atender s necessidades de modelagem de dados para troca de informaes
entre centros de controle e entre estes e as subestaes e usinas foi criado um grupo de trabalho do
EPRI CAPI, o qual tem procurado desenvolver especificaes que facilitem a interoperao do
software destes sistemas. Um resultado importante foi a criao do modelo CIM (Common Information
Model), dirigido a centros de controle, possibilitando nvel de detalhamento tipo n-disjuntor.
Entretanto, o modelo CIM um modelo abstrato, isto , no nem uma especificao para
modelagem da base de dados nem um formato de troca de mensagens. Era necessria uma
linguagem que pudesse englobar todos os detalhes. Surgiu, ento, a Linguagem XML (EXtensible
Markup Language) para codificao de documentos estruturados, que passou a ser a melhor escolha
de formato para troca de dados e que poderia utilizar a rede de Internet pblica.
A unio do modelo CIM com a Linguagem XML deu origem ao formato CIM XML, que se
encontra em processo de padronizao pelo IEC. Este formato usou uma abordagem que emprega as
definies de semntica de dados j utilizadas pelo CIM com a sintaxe da linguagem XML para criar
arquivos XML contendo o modelo operacional do sistema de potncia.

66

A Linguagem CIM XML uma das muitas linguagens baseadas no modelo XML. Entre as
vantagens do modelo XML sobre outras linguagens h o fato de este possuir um vocabulrio flexvel e
no limitado a uma nica aplicao, em contraposio com a Linguagem HTML, por exemplo, que
bastante difundida na rea de Internet, mas possui vocabulrio fixo.
O XML utiliza o formato DTD (Document Type Definition) para estabelecer um novo
vocabulrio e sintaxe para uma determinada aplicao. Desta forma, a linguagem CIM XML introduziu
um vocabulrio especfico dirigido a sistemas eltricos sem, no entanto, deixar de seguir a semntica
e a sintaxe corretas.
Alm do DTD, outras formas de definir um vocabulrio podem ser usadas. A troca de
mensagens entre um conjunto de usurios somente pode ser iniciada depois que uma Linguagem
XML que seja comum a todos os usurios tenha sido definida. Como, em geral, existem pequenas
diferenas entre as Linguagens XML destes usurios, necessrio primeiro efetuar transformaes
at que as linguagens fiquem idnticas. Estas transformaes so chamadas de XSL (XML
Transformations). Um processador XSL realiza a tarefa de aproximar as linguagens dos usurios que
iro se comunicar.
O esquema DTD, porm, no capaz de atender s mltiplas situaes apresentadas por um
sistema eltrico. Uma soluo apresentada o RDF (Resource Description Framework), que resolve
o problema de representar entidades e relaes, utilizando o DLG (Directed Labeled Graph) dentro da
Linguagem XML.
Uma especificao utilizando RDF contm trs componentes bsicos: o modelo de dados, a
sintaxe e o esquema. O modelo de dados obtido da representao do conhecimento. A sintaxe
codifica a informao. O esquema RDF usado para descrev-la ou limit-la.
No modelo RDF um recurso qualquer coisa que possa ser identificada. Um URI (Uniform
Resource Identifier) usado para identificar um recurso. Uma propriedade qualquer caracterstica
de um recurso que possa ser descrita com um valor. O conjunto recurso, propriedade e valor uma
unidade de informao no sistema RDF e chamado de declarao. O modelo RDF utiliza a
Linguagem XML como sua sintaxe.
A Linguagem CIM XML uma aplicao do modelo RDF ao CIM. Esta linguagem definida
como uma conjuno do modelo CIM, do esquema RDF e das especificaes de sintaxe do RDF.
Dentro deste enfoque, recursos correspondem a objetos; propriedades correspondem a atributos de
objetos e relaes correspondem a propriedades do esquema RDF.
Os documentos CIM XML podem ser estendidos para atender a requisitos especficos de
fabricantes, concessionrias e indstrias. O modelo CIM RDF pode, tambm, ser ampliado com novas
classes e atributos. Como os documentos so claramente identificados, diversas verses especficas
podem conviver com partes da linguagem padro.
O modelo CIM documentado na forma de um conjunto de diagramas que utilizam uma
linguagem unificada de modelagem chamada UML (Unified Modeling Language). O modelo UML
especifica o modelo CIM de maneira abstrata, o que permite implementaes abertas, isto , no h
restries a tecnologias de modelagem relacionais ou orientadas a objeto.

67

A partir da definio genrica do CIM so definidas subclasses mais especficas


representando elementos do sistema eltrico como linhas, transformadores, bancos de capacitores,
disjuntores etc. O modelo CIM nomeia cada classe e subclasse, seus atributos e relacionamentos,
criando um dicionrio de dados comum que facilita a integrao do sistema e suas aplicaes na rea
de EMS (Energy Management Systems).
O modelo CIM possibilita uma viso lgica das informaes do EMS para anlise da rede de
transmisso, controle da gerao, SCADA e simulao para treinamento dos operadores.
A Linguagem XML alcanou grande aceitao para facilitar a troca de informaes entre
empresas e seu uso est se expandindo. Em razo de sua flexibilidade e extensibilidade, a troca de
documentos XML fornece uma abordagem adequada para possibilitar a integrao de sistemas
dspares.
O modelo CIM est tambm ganhando aceitao como um padro na indstria eltrica. A
combinao destes dois padres conduz a uma abordagem poderosa no sentido de satisfazer s
necessidades das empresas usurias de sistemas eltricos.

6.5 - Padro IEC 61850 Sua Implantao e Aplicaes


Os dispositivos utilizados em um sistema de proteo e automao integrado podem ser
fornecidos por diferentes fabricantes. Alm disto, dispositivos pertencentes a geraes tecnolgicas
diferentes podem coexistir em uma mesma subestao ou usina em razo do crescimento gradativo
do sistema eltrico. Para possibilitar a comunicao em tempo real entre estes dispositivos sem o uso
de conversores de protocolo (gateways), de forma rpida e confivel, um padro de comunicao
denominado IEC 61850 vem sendo desenvolvido pelo IEC, juntamente com o EPRI (EUA), desde
1995.
Este padro baseado, em parte, no protocolo UCA (Utility Communication Architecture), cujo
desenvolvimento foi iniciado nos EUA no incio da dcada de 1990. O grupo de trabalho do IEC em
conjunto com o EPRI, utilizando a verso UCA 2.0, finalizou em junho de 2004 a primeira verso do
padro IEC 61850 aplicada a subestaes. importante ressaltar, porm, que as funcionalidades
bsicas da subestao no so modificadas pelo padro IEC 61850.
Este padro foi desenvolvido tendo como objetivos principais assegurar interoperabilidade
entre os diferentes IEDs de uma subestao ou usina e atender aos diferentes tipos de arquitetura
utilizados, alm de ser prova de futuro, isto , admitir evolues na tecnologia de comunicaes
sem necessidade de modificaes importantes no sistema j adquirido. Como interoperabilidade
entende-se a capacidade de IEDs, fornecidos por um ou vrios fabricantes, de se comunicarem entre
si, compartilhando informaes de forma rpida e segura, sem o uso de gateways, utilizando estas
informaes para executar as funes de proteo, monitorao, medio, controle e automao do
sistema.
Para alcanar os objetivos acima, o novo padro utiliza a abordagem orientada a objeto e
subdivide as funes em objetos denominados ns lgicos que se comunicam entre si. N lgico

68

um grupamento funcional de dados. tambm a menor parte de uma funo ou subfuno que pode
intercambiar dados com outros objetos. Cada n lgico possui seu prprio conjunto de dados. Os
dados so compartilhados entre os ns lgicos segundo regras que so chamadas servios. A Figura
6.1 mostra um n lgico, com as entradas e sadas de dados no padro IEC 61850.

Figura 6.1 Entradas, Sadas e Configurao de Dados de uma Funo

Os ns lgicos so agrupados em dispositivos lgicos (funes), os quais esto contidos em


dispositivos fsicos (IEDs), conforme mostrado na Figura 6.2.

Figura 6.2 Ns Lgicos Agrupados em Dispositivos Lgicos (Funes)

Os diversos enlaces de comunicao em um SAS devem poder transportar mensagens de


diferentes complexidades, com relao ao seu contedo, tamanho, tempo de transferncia permitido e
segurana. So considerados 3 nveis hierrquicos na subestao: nvel estao, nvel vo e nvel
processo, conforme indicado na Figura 6.3. As comunicaes podem ser verticais, realizadas entre
nveis hierrquicos diferentes ou horizontais, que ocorrem dentro do mesmo nvel.

69

Figura 6.3 Nveis Hierrquicos em Uma Subestao

As informaes verticais entre o nvel vo e o nvel estao, como por exemplo as


informaes de configurao e operacionais (SCADA), so transferidas no modo cliente-servidor,
diferentemente do modo mestre-escravo utilizado em outros protocolos. Este modo de transmisso
utiliza todas as camadas do modelo OSI, tem a vantagem de ter desempenho determinstico, mas
relativamente lento, com tempos de resposta da ordem de 1 s. O servidor corresponde ao nvel vo
ou do processo, que fornece dados aos clientes no nvel estao ou em qualquer nvel remoto. Os
dados so fornecidos pelo servidor, por solicitao do cliente ou automaticamente (a partir de eventos
predefinidos). O cliente , em geral, o computador da estao ou um gateway. Pode ser tambm um
centro de controle remoto. possvel, portanto, haver mltiplos clientes. Neste tipo de comunicao, o
cliente quem controla a troca de dados.
As comunicaes horizontais, por sua vez, utilizam o modo editor-subscritor ou produtorconsumidor (publisher-subscriber), em que o editor distribui as informaes na rede, no modo unicast
ou multicast, o que significa que um nico ou mltiplos IEDs podem receber a mensagem e utiliz-la
ou no, conforme sua necessidade. O IED subscritor (ou assinante), que pode ser qualquer dos IEDs,
recebe da rede aquelas informaes que lhe forem necessrias. A comunicao no depende de um
sinal de confirmao, sendo, porm, repetidas vrias vezes para aumentar sua confiabilidade. O
tempo de comunicao no determinstico, porm, na maior parte dos casos, fica entre 4ms e 8 ms.
A Tabela 1 da norma IEC 61850-1 define 7 tipos de mensagem. As mensagens tipo 1A (trip) e
1B (controles) so de alta velocidade. As mensagens tipo 2 (informaes de medidas e estados) e
tipo 7 (comandos) so de mdia velocidade. As do tipo 6A (barramento da estao) e 6B (barramento
do processo) so usadas como sinais de sincronismo. Aquelas do tipo 4 correspondem aos valores
amostrados de TCs e TPs. As demais mensagens so de baixa velocidade (parmetros, eventos,
transferncia de arquivos etc.). As mensagens tipo 1 e 1A so denominadas GSE (Generic Substation
Events) e podem ser classificadas em GOOSE (Generic Object Oriented Substation Event), e GSSE
(Generic Substation Status Event). A diferena entre ambos que nas mensagens GOOSE, a
informao configurvel e utiliza um data set (grupo de dados). As mensagens GSSE somente

70

suportam uma estrutura fixa de informao de estado, a qual publicada e disponibilizada na rede. As
mensagens tipo 2, 3 e 5 requerem servios orientados, como o TCP/IP e ISO CO.
O conjunto de dados e servios, incluindo as mensagens, mapeado utilizando protocolos e
servios disponveis no mercado e amplamente difundidos, como o MMS (Manufacturing Message
Specification), o TCP/IP (Transmission Control Protocol/Internet Protocol), que orientado conexo,
o UDP/IP (User Datagram Protocol/Internet Protocol), que no orientado conexo, alm da rede
Ethernet, conforme mostrado na Figura 6.4.
Sampled
Values

GOOSE

MMS Protocol Suite

GSSE

(Type 2, 3 y 5)

(Type 1, 1A)

(SNTP)

(Multicast)
(Type 4)

Time
Sync

(Type 1, 1A)

(Type 6)

UDP/IP

TCP/IP

ISO CO

GSS E

T-Profile

T-Profile

T-Profile

ISO/IEC 8802-2 LLC

ISO/IEC 8802-3 Ethertype


ISO/IEC 8802-3

Figura 6.4 Mapeamento das Mensagens

A especificao de um SAS utilizando o padro IEC 61850 , at certo ponto, semelhante


especificao de um sistema convencional. Devem ser fornecidas informaes sobre o diagrama
unifilar da subestao, a lista de pontos com os requisitos de desempenho sob fluxo mximo, as
funcionalidades requeridas, assim como os interfaces com o processo e com outros IEDs, incluindo
informaes sobre seus protocolos, as condies ambientais etc. Tambm devem ser fornecidos
dados sobre ndices de confiabilidade admitidos ou critrios de tolerncia a falhas aceitveis.
Para que a descrio precisa dos IEDs, incluindo as informaes fornecidas na especificao
e a configurao da rede possam ser interpretadas por qualquer ferramenta computacional
compatvel, utilizada a linguagem SCL (Substation Configuration description Language). Esta
linguagem baseada no modelo CIM XML (Common Information Model eXtended Markup
Language), largamente utilizado em diversas aplicaes, inclusive na rea de EMS (Energy
Management System). Utilizando-se a Linguagem SCL e incluindo as informaes sobre a
configurao e caractersticas da rede de comunicao, obtm-se um arquivo que representa todo o
sistema e denominado arquivo SSD (System Specification Description).
Por outro lado, as diversas possibilidades e funcionalidades disponveis em um determinado
IED podem, tambm, ser representadas por esta linguagem, obtendo-se o arquivo ICD (IED Capability
Description), o qual preparado pelo fabricante do IED e entregue como parte do fornecimento.
O arquivo SSD, juntamente com os arquivos ICD dos diversos IEDs, configurados de modo a
atender aos requisitos especificados, d origem ao arquivo SCD (Substation Configuration
Description), o qual o correspondente digital do conjunto de diagramas esquemticos e lgicos de

71

uma subestao e deve ser arquivado como parte da documentao desta subestao para consultas
pelo pessoal de manuteno e possibilitar futuras expanses. O arquivo de cada IED, configurado
para um projeto especfico, passa a ser denominado arquivo CID (Configured IED Description)
daquele IED.
Uma caracterstica do padro IEC 61850 que as aplicaes e a transmisso atravs de
pacotes de dados so funes separadas e independentes, permitindo que a tecnologia de
comunicao sofra evolues sem que haja necessidade de alterar a base de dados das aplicaes e
vice-versa. Isto faz com que os sistemas que utilizam o padro IEC 61850 no precisem ser
substitudos no futuro, em funo, por exemplo, da evoluo da tecnologia de comunicao (esta
caracterstica chamada de prova de futuro). Ver Figura 6.5.

Figura 6.5 Separao entre Software Aplicativo e de Comunicao

O padro tambm define os testes de conformidade dos produtos, de modo que a


interoperabilidade possa ser verificada, garantindo, assim, o sucesso na integrao de dispositivos de
fabricantes diferentes.

6.5.1 - Objetivos do Padro IEC 61850


O principal objetivo do Padro IEC 61850 obter interoperabilidade entre os diferentes IEDs
de uma subestao ou usina, isto , a capacidade destes IEDs, fornecidos por um ou vrios
fabricantes, de se comunicarem entre si, compartilhando informaes de forma rpida e segura,
utilizando estas informaes para executar as funes de proteo, monitorao, medio, controle e
automao do sistema.
Para alcanar este objetivo, o padro IEC 61850 subdivide as funes em objetos
denominados ns lgicos que se comunicam entre si. Cada n lgico possui seu prprio conjunto de
dados. Os dados so compartilhados entre os ns lgicos segundo regras que so chamadas
servios. O conjunto de dados e servios mapeado, constituindo uma especificao de mensagens
(MMS Manufacturing Message Specification), que utiliza o protocolo TCP/IP e uma rede Ethernet.

72

As informaes operacionais e de configurao so transferidas no modo cliente-servidor,


diferentemente do modo mestre-escravo utilizado at agora.
So utilizados trs nveis de prioridade para as mensagens. As informaes de configurao,
como transferncias de arquivos ou mudanas de ajuste de rels, so de baixa prioridade.
Informaes operacionais, como estado de equipamentos e controle, so padronizadas e tm
prioridade mdia.
Dois tipos de mensagens so de alta prioridade. Um tipo de mensagem que deve ser
transferida em tempo real, como, por exemplo, sinais de bloqueio, desbloqueio, disparo,
intertravamento e proteo chamado de GOOSE (Generic Object Oriented Substation Event). Outro
tipo de mensagem so os valores amostrados de grandezas analgicas. Estes dois tipos de
mensagens so mapeados diretamente no protocolo Ethernet, sem passar pelo MMS ou TCP/IP.
Uma caracterstica do padro IEC 61850 que as aplicaes e a transmisso atravs de
pacote de dados so funes separadas e independentes, permitindo que a tecnologia da
comunicao sofra evolues sem que haja necessidade de alterar a base de dados das aplicaes e
vice versa. Isto faz com que os sistemas que utilizam o padro IEC 61850 no precisem ser
substitudos no futuro. Um sistema com esta caracterstica considerado prova de futuro, isto ,
mesmo que ocorram modificaes importantes na tecnologia de comunicao, sempre ser possvel
aproveitar os equipamento e softwares das aplicaes.
O padro IEC 61850 tambm define o processo de engenharia e utiliza a linguagem SCL
(Substation Configuration Description Language). Com isto, a descrio precisa dos IEDs, a
configurao da subestao e outras informaes relacionadas com a configurao podem ser
interpretadas por qualquer ferramenta compatvel com o padro IEC 61850.
O padro tambm estabelece os testes de conformidade dos produtos, de modo que a
interoperabilidade possa ser verificada, garantindo o sucesso na integrao de dispositivos de
diversos fabricantes.

6.5.2 - Benefcios
Muitos dos benefcios deste novo padro somente podero ser avaliados aps algum tempo
de sua utilizao e depois que subestaes com integrao completa entre seus IEDs tenham sido
implantadas. Entre os benefcios imediatos do padro IEC 61850, podem-se citar:

6.5.2.1 - Maior Facilidade de Especificao dos IEDs


O padro IEC 61850 predefine e padroniza os nomes dos dados. Assim, por exemplo, a
tenso da fase A de uma linha tem uma parte do nome e o formato padronizados. A parte relativa
identificao do circuito definida pelo usurio. No s os nomes so padronizados, mas tambm a
forma de criar novos nomes caso os nomes disponveis no sejam suficientes. Como conseqncia
desta padronizao, possvel a um IED importar dados de outros IEDs utilizando a Linguagem XML
e algumas ferramentas de engenharia normalmente disponveis.

73

O usurio especifica uma funo atravs de ns lgicos, no precisando especificar como a


funo dever ser implementada. Um n lgico o nome de um grupo de dados pertencentes a uma
funo ou subfuno. O padro IEC 61850 define, de forma genrica, uma srie de funes de alto
nvel e os ns lgicos envolvidos. Esta informao um ponto de partida para auxiliar o usurio a
produzir uma especificao detalhada daquela funo.
Para atender ao padro IEC 61850 uma especificao deve conter, pelo menos, uma
descrio das interconexes entre as funes e entre estas e os equipamentos no campo. Isto pode
ser obtido com o auxlio da Linguagem SCL. O resultado da aplicao desta linguagem chamado de
arquivo de descrio da especificao do sistema (SSD System Specification Description).
Entretanto, os arquivos SSD no definem detalhes especficos da implementao das funes e a
interao entre funes. Isto deve ser descrito conforme feito hoje, com diagramas e texto.
Os arquivos SSD permitem, porm, a incluso de pequenas partes de texto ou referncias a
arquivos contendo informaes sobre o diagrama unifilar e a definio dos ns lgicos. Com isto, o
grau de compreenso das especificaes fica bastante aumentado, em comparao com as atuais
especificaes verbais, alm de suportar verificaes automticas de consistncia.
De forma a obter o mximo benefcio das ferramentas de suporte, a especificao deve ser
traduzida em termos de arquivo SSD baseado em linguagem SCL. O recurso SSD deve ser
complementado com maior detalhamento, onde necessrio. Observar que o recurso SSD uma
linguagem no ambgua que melhora a qualidade da especificao e permite uma simulao funcional
para verificar a interao entre os ns lgicos e fornece uma base para que seja estimada a carga de
comunicao, o desempenho e outras caractersticas ainda na fase de concepo do sistema de
automao.

6.5.2.2 - Projeto Detalhado


Na fase de projeto detalhado necessrio definir o fluxo de dados e a infra-estrutura do
sistema de automao da subestao. A camada Ethernet facilita o projeto detalhado atravs do uso
da tecnologia de comunicao. Por exemplo, as caractersticas de minimizao de colises, a
otimizao das mensagens que estiverem sendo transmitidas e o gerenciamento das prioridades j
esto disponveis no padro Ethernet e no precisam ser detalhadas.
O uso do padro Ethernet permite a transferncia de dados em tempo real, juntamente com
arquivos de dados de menor prioridade como ajustes, parametrizao e oscilografia, compartilhando o
mesmo canal de 100 Mbits/s. Isto no era possvel com outros protocolos.
A possibilidade de transmisso de mensagens ponto a ponto e com alta confiabilidade e
velocidade (4 a 16 ms) elimina a necessidade de fiao para funes especficas, como, por exemplo,
os intertravamentos entre equipamentos. Isto torna muito mais fceis futuras alteraes de projeto,
uma vez que estas iro requerer apenas modificao em trechos do software.
Os esquemas baseados na filosofia mestre-escravo utilizados at agora apresentavam o
problema de fazer a confiabilidade do conjunto depender da confiabilidade do mestre. Com o uso da
filosofia cliente-servidor h maior facilidade de se obter redundncia. Isto melhora a flexibilidade do
sistema. Um novo cliente, como, por exemplo, um regulador de tenso para vrios transformadores,

74

pode ser adicionado a um sistema de automao utilizando o mesmo software j existente. O


desempenho do sistema de automao maior com o uso da filosofia cliente-servidor, uma vez que
os dados podem ser enviados espontaneamente, sem necessidade da varredura realizada pelo
mestre. A transmisso de dados pode ser iniciada, por exemplo, pela mudana no valor de uma
varivel analgica. O ajuste da faixa de valores que define a mudana pode ser alterado
remotamente.
Durante a fase de desenvolvimento do sistema de automao da subestao necessrio
verificar que no h violaes aos critrios estabelecidos e que os objetivos de confiabilidade e
disponibilidade sero atendidos.
O desenvolvimento do projeto baseado no padro IEC 61850 pode ser descrito formalmente
atravs de um arquivo SCD (System Configuration Description), que contm as conexes lgicas de
comunicao entre IEDs. A engenharia de detalhamento do sistema dever determinar os endereos
de comunicao e o fluxo de dados detalhado entre os IEDs. Esta engenharia de fluxo de dados
substitui, em grande parte, a engenharia do projeto convencional.
Os sistemas de proteo de subestaes de AT e EAT, por razes de confiabilidade, sero,
normalmente, constitudos por dois sistemas redundantes, denominados Principal 1 e Principal 2, os
quais devem ser independentes de tal forma que falhas em um dos sistemas no afetem a operao
do outro. Para atender a este critrio, o barramento de dados do vo, responsvel por interligar os
sinais dos equipamentos do vo (disjuntor, seccionadores, sensores de corrente e tenso) aos rels
de proteo e ao IED do disjuntor, deve ser projetado em dois segmentos separados. Em caso de
falha em um dos segmentos, o outro segmento e o correspondente rel de proteo devem continuar
operando corretamente.
Nas subestaes de AT/MT no necessrio utilizar redundncia tanto para a proteo
quanto para a rede de comunicao. Alm disto, estas subestaes requerem menos funcionalidades
e h menos exigncias com relao a desempenho e confiabilidade. Com isto o sistema de proteo
e automao ter seu custo sensivelmente, reduzido.

6.5.2.3 - Instalao e Comissionamento


A fase de instalao e comissionamento tem o objetivo de verificar se o sistema funciona de
acordo com as especificaes tcnicas. Isto facilitado de diversas formas com o novo padro.
A rede Ethernet, por exemplo, pode ser testada utilizando-se ferramentas j padronizadas. O
Protocolo Internet (IP) permite que as mensagens sejam enviadas para o local onde se encontra o
pessoal de comissionamento, que poder visualizar o estado do sistema que est sendo testado
utilizando recursos de IHM.
Alm disto, com o padro IEC 61850, a equipe de comissionamento pode conectar
localmente, em qualquer ponto da rede Ethernet, um dispositivo com IHM simplificado (como um
laptop, por exemplo). Pode, portanto, verificar junto a cada IED todas as mensagens de alarme,
controle etc., enviadas ou recebidas pelo IED. Caso determinados IEDs ou equipamentos no estejam
disponveis por ocasio do comissionamento, podero ser utilizados simuladores para representar

75

suas funes. Isto significa que o comissionamento do sistema de automao pode ser iniciado
mesmo que alguns equipamentos ainda no tenham sido instalados.

6.5.2.4 - Expansibilidade e Manuteno


Um sistema de automao de subestao compatvel com o padro IEC 61850 pode ser
facilmente expandido para incluir novos IEDs ou equipamentos primrios, ou ainda novos vos ou
nveis de tenso na subestao.
Quanto manuteno do software, esta ser grandemente facilitada pelo controle de verses
do software da Linguagem SCL e da parte lgica que esto sendo implementados no padro IEC
61850. Isto tornar possvel fazer com que todos os IEDs de uma dada instalao possuam a mesma
verso do software, o que vital para garantir a compatibilidade entre os IEDs e para facilitar a
manuteno de longo prazo.

6.5.3 - Confirmao da Viabilidade


Desde as fases iniciais de preparao do padro IEC 61850 houve preocupao, por parte
dos fabricantes e empresas concessionrias, em confirmar a viabilidade prtica de um sistema de
automao de subestao utilizando este padro, alm de levar em conta os resultados dos testes
para melhorar o projeto do padro.
Algumas das demonstraes e testes j realizados so:

Testes de interoperabilidade entre os dispositivos do vo e o controlador da subestao


Alemanha (1998 a 2000).

Testes de interoperabilidade entre os dispositivos do vo Canad (2001).

Testes de interoperabilidade envolvendo amostragem de grandezas analgicas EUA (2002).

Testes de interoperabilidade envolvendo sinais de disparo e valores amostrados EUA (2002).

Testes de interoperabilidade entre dispositivos do vo e plataformas independentes de diferentes


fabricantes Alemanha (2002); EUA (2003) e outros projetos (2003 a 2004).

Testes de interoperabilidade envolvendo os dispositivos do vo, o controlador da subestao e


plataformas independentes de diferentes fabricantes (2003 e incio de 2004).

Alm dos testes acima, um outro teste que foi realizado no final de 2002 combinou rels de
proteo de trs fabricantes diferentes. Este teste foi realizado considerando condies equivalentes
a situaes reais, incluindo aquelas com o sistema degradado e em condies desfavorveis, para
verificar as caractersticas de seletividade, segurana e qualidade do sistema, utilizando troca de
mensagens em Linguagem GOOSE. A configurao do conjunto de teste est mostrada na Figura 6.6.
Para que fosse utilizada em todos os rels a mesma verso do software da Linguagem SCL, o
arquivo contendo este software foi enviado a cada fabricante via e-mail. Com esta medida, muitos dos
problemas normalmente encontrados durante desenvolvimentos envolvendo softwares diferentes
simplesmente desapareceram.

76

Figura 6.6 Conjunto de Teste Para Verificao de Interoperabilidade

Os testes foram bem sucedidos e demonstraram a interoperabilidade entre os rels e a


facilidade de desenvolvimentos de engenharia quando utilizado o padro IEC 61850. Foi verificado
ser suficiente conectar cada rel na rede Ethernet para obter sua correta operao. Isto significa que
possvel desconectar da rede de comunicao um rel de um determinado fabricante, substituindo-o
pelo de outro, sem prejudicar a operao do conjunto. Significa, tambm, que os tempos de instalao
e comissionamento de sistemas de proteo e automao de subestaes podero vir a ser
extremamente reduzidos.
Testes adicionais foram realizados no final de 2003 para verificar a troca de mensagens de
comandos, relatrios e dados de engenharia.

6.5.4 - O Projeto INTERUCA


Este projeto foi iniciado na primeira metade de 2001 por um grupo de empresas envolvidas
com os sistemas de controle e proteo de subestaes (fabricantes, empresas de consultoria e
concessionrias, juntamente com um centro de pesquisas tecnolgicas). A finalidade principal deste
projeto foi verificar a aplicao, em termos reais, do novo padro IEC 61850 nos equipamentos de
controle e proteo de uma subestao piloto, alm de investigar as alteraes no software e
hardware a serem realizadas nos equipamentos digitais existentes para adapt-los nova estrutura
de comunicao.

6.5.4.1 - Objetivos Especficos do Projeto


Entre os objetivos especficos do Projeto INTERUCA, podemos citar:

Obter experincia na interpretao do padro IEC 61850, verificando a viabilidade de sua

adaptao e identificando as vantagens e desvantagens de sua implementao.

Verificar a interoperabilidade entre dispositivos fornecidos por fabricantes diferentes, incluindo

troca de mensagens ponto a ponto, transmisso de dados em tempo real, sincronismo etc.

77

Validao dos requisitos de tempos de transmisso.

Verificao da robustez e do tempo de resposta do sistema de comunicao em ambiente com

rudo, com problemas de compatibilidade eletromagntica e com grande trfego de mensagens.

Foram empregados 3 IEDs (no caso, 3 rels de proteo) fornecidos por fabricantes
diferentes, os quais foram adaptados ao padro IEC 61850 e testados em uma subestao real
utilizada como instalao piloto.
Os participantes do grupo INTERUCA verificaram que a soluo tcnica proposta pelo padro
IEC 61850 permite o acesso de dados atravs de ferramentas de Internet tpicas, como browsers,
tornando possvel a integrao com outros sistemas.
Uma outra constatao importante do grupo INTERUCA foi que, apesar da pouca experincia
com o padro IEC 61850 quando comparado ao UCA 2.0 no incio deste projeto, existe convergncia
e compatibilidade entre os dois padres. Foi constatado, tambm, que a documentao do padro
IEC 61850, uma vez editada, ser uma referncia mundial para o desenvolvimento de novos projetos
de proteo e controle, isto , h a expectativa de que o novo padro ser aceito mundialmente pelos
principais fabricantes, to-logo sua documentao tenha sido aprovada.

6.5.4.2 - As Contribuies do Centro de Pesquisas e dos Fabricantes


Uma das contribuies do centro de pesquisas que participaram deste projeto foi o
desenvolvimento da camada de aplicao da arquitetura do IEC 61850 (camadas 5, 6 e 7 do modelo
OSI) baseado no padro MMS (Manufacturing Message Specification). O padro MMS foi
desenvolvido para a indstria de automao e altamente complexo. Entretanto, apenas alguns
servios deste padro foram utilizados para o IEC 61850. Uma vantagem da escolha do padro MMS
a disponibilidade de uma biblioteca de cdigos, alm da participao ativa do centro de pesquisas
que desenvolveu este padro nas fases de depurao e teste do software.
A camada de transporte na arquitetura de comunicao usada (nveis 4 e 1 do modelo OSI) e
a camada de aplicao foram desenvolvidas pelos fabricantes dos IEDs envolvidos, juntamente com
uma nova plataforma de hardware.
Uma conseqncia das adaptaes que se tornaram necessrias nos IEDs, para
compatibiliz-los com o padro IEC 61850, foi um aumento no custo destes equipamentos, uma vez
que as modificaes no software para atender aos novos requisitos de comunicao aumentam,
consideravelmente, o processamento e a necessidade de memria.

6.5.4.3 - Instalao Piloto em uma Subestao Existente


Para a realizao de um projeto piloto foi escolhida uma subestao existente de HV/MV
(30/13 kV) com 2 linhas de entrada, 2 transformadores e 8 alimentadores de distribuio. Nesta
subestao, os equipamentos adaptados para o padro IEC 61850 foram ligados em paralelo com os
demais IEDs j existentes. As modificaes consistiram principalmente do seguinte:

78

Adaptao para o novo modelo de dados e servios de comunicao associados.

Incorporao do protocolo de comunicao TCP/IP sobre a rede Ethernet.

Integrao da biblioteca de servios MMS.

Implementao dos esquemas de comunicao ponto a ponto etc.

A instalao piloto foi monitorada atravs de uma conexo de telecontrole que utiliza o padro
IEC 61850. A Unidade Central da Subestao (UCS) responsvel pela coleta de todas as
informaes dos IEDs restantes atravs do barramento da estao, alm de converter os dados para
o protocolo IEC 60870-5-101 para transmiti-los ao Centro de Controle.
A arquitetura utilizada na subestao piloto est mostrada na Figura 6.7.
Do ponto de vista do usurio, o sistema de automao no padro IEC 61850 deve atender
aos seguintes requisitos:

Interoperabilidade entre os dispositivos, mesmo quando pertencentes a fabricantes diferentes.

Comunicao ponto a ponto entre qualquer par de IEDs em 10 ms.

Erro mximo de sincronismo de 1 ms.

Acesso remoto a todos os dados gerados pelos rels de proteo, como oscilografia, eventos,

ajustes, estado de equipamentos, parmetros etc., assim como possibilidade de efetuar ajustes
remotamente.

Figura 6.7 Arquitetura Utilizada no Projeto INTERUCA

6.5.4.4 - Biblioteca MMS


O padro IEC 61850 mantm os servios da camada de aplicao separados do processo de
comunicao. Isto obtido definindo uma interface composta de objetos de aplicao e servios
abstratos chamados ACSI (Abstract Communication Service Interface). O que torna real a
implementao local destes ACSI o seu mapeamento para todos os servios das camadas de
aplicao e comunicao por meio do SCSM (Specific Communication Service Mapping). Isto , os

79

servios que so realmente enviados atravs da rede so aqueles especificamente definidos no


SCSM e no aqueles ACSI. Assim, necessrio utilizar um modelo de dados abstrato de modo a
tornar o padro IEC 61850 independente de tecnologias especficas para que o mesmo tenha
validade ao longo do tempo.

6.5.4.5 - Exemplo de Coordenao da Proteo Utilizando o Padro IEC 61850


Um caso de coordenao entre unidades de sobrecorrente instantneas foi implementado
para verificar a possibilidade real de comunicao ponto a ponto. Este esquema de proteo
utilizado para reduzir o tempo de operao para faltas em barra em subestaes sem proteo
diferencial de barras e requer a transferncia de dados de proteo entre diferentes dispositivos. Em
caso de falta em qualquer dos alimentadores, o rel do alimentador envia um sinal de bloqueio para a
unidade instantnea do rel da barra, utilizando mensagem em Linguagem GOOSE. Caso a falta seja
na barra no haver sinal de bloqueio e o rel da barra ter uma operao rpida. O circuito est
mostrado na Figura 6.8.

Figura 6.8 Coordenao Lgica Entre Rels de Sobrecorrente

6.5.5 - Evoluo dos Sistemas Atuais para o Padro IEC 61850


Produtos compatveis com o padro IEC 61850 j esto disponveis no mercado. As
concessionrias que desejarem melhorar a integrao entre os sistemas de proteo e automao de
suas subestaes, otimizar seus investimentos e possibilitar uma evoluo tecnolgica de seus
sistemas ao longo da vida til da subestao, devero incorporar estes novos produtos em suas
instalaes, mesmo nos casos de retrofit em subestaes existentes.
Para que um IED seja compatvel com o padro IEC 61850 necessrio que ele possua um
arquivo padronizado com a descrio de todas as caractersticas da subestao em linguagem SCL
(Substation Configuration Description Language). Este arquivo contendo a ICD (IED Configuration
Description) pode ser armazenado em um CD ou outro meio magntico ou enviado por e-mail ao
fabricante, ou ainda fornecido diretamente ao IED. O importante que tal arquivo possa ser lido e escrito

80

por todas as ferramentas de engenharia compatveis com o IEC 61850. O arquivo deve conter ainda uma
base de dados com o arquivo ICD de todos os IEDs normalmente utilizados pelo integrador do sistema.
So fornecidas a seguir algumas formas de integrar dispositivos ou sistemas compatveis com
o padro IEC 61850 com outros no compatveis.
a)

Atualizao (Upgrade) de Dispositivos Existentes


Se o projeto original do dispositivo permitir, este poder ser compatibilizado
com o padro IEC 61850 bastando, por exemplo, acrescentar uma placa de
comunicao e atualizar o software. Esta soluo, porm, somente trar
benefcios se acompanhada de atualizao (retrofit) dos equipamentos
digitais no nvel estao.

b)

Sistemas Compatveis com o IEC 61850 Operando com Sistemas No


Compatveis

Nas subestaes existentes, os equipamentos possuem diversos protocolos. Por esta razo,
existe a idia entre muitas concessionrias de que o padro IEC 61850 representa mais um protocolo
de comunicao. Na verdade, porm, o IEC 61850 mais do que um novo protocolo. um novo
padro que modifica para melhor toda a estrutura de comunicao entre IEDs.
A instalao de novos equipamentos compatveis com o padro IEC 61850 em uma
subestao existente ser, em geral, feita de forma gradativa. Os novos vos que forem adicionados
subestao tero, provavelmente, seus equipamentos secundrios j no padro IEC 61850. Os
equipamentos existentes, por sua vez, so no-compatveis. Na fronteira entre esses dois sistemas
dever ser instalado um conversor de protocolo (gateway).
Para se obter o mximo benefcio do novo padro, os equipamentos digitais existentes no
nvel da estao (nvel 2) devero sofrer um upgrade de modo a torn-los compatveis com o padro
IEC 61850. Isto, porm, s ser possvel com equipamentos relativamente recentes. Se os
equipamentos no puderem ser atualizados, devero ser substitudos. Entretanto, esta substituio
no precisar ser feita de imediato.
Em algumas subestaes poder ocorrer uma situao em que a maioria dos IEDs j seja
compatvel com o novo padro. Nesse caso, os poucos IEDs no compatveis passariam a formar um
subsistema, isto , seriam interpretados como um servidor de dados no padro IEC 61850. Este
subsistema poder usar um dos protocolos padronizados existentes, como o DNP 3.0 ou o IEC
60870-5-101. Para a conexo deste subsistema com o sistema IEC 61850 dever ser usado um
conversor de protocolo (gateway).

6.5.6 - Monitorao de Equipamentos Usando o Padro IEC 61850


A base para o compartilhamento de dados e a interoperabilidade para as funes de
monitorao utilizando o padro IEC 61850 a padronizao dos interfaces. Isto significa no
somente a padronizao do protocolo de comunicao, mas tambm da modelagem dos dados. Uma
anlise da documentao do IEC 61850 atualmente disponvel mostra que o problema da

81

monitorao de equipamentos de subestaes ainda no est completamente resolvido.


Presentemente, existe um grupo de trabalho na Alemanha desenvolvendo padres de comunicao
para dados de monitorao. Este grupo apresentou uma proposta de modelagem de interfaces para
funes de monitorao aplicvel a chaves seccionadoras e transformadores que seria uma extenso
do IEC 61850. Estes padres iro beneficiar as concessionrias, possibilitando menos visitas obra,
menor necessidade de manuteno e maior disponibilidade dos equipamentos primrios.

6.5.7 - Concluses
Diversas constataes podem ser anotadas em relao utilizao do padro IEC 61850.
Entre elas podemos citar:

IEC 61850 padronizou os nomes dos dados e os servios para todas as funes de automao

previstas em uma subestao. Ao separar a parte da comunicao das funes de aplicao, o


padro IEC 61850 tornou-se prova de futuro, isto , o sistema no se torna obsoleto com a evoluo
da tecnologia ou o desenvolvimento de novos IEDs ou de novos sistemas de comunicao. Isto
extremamente importante para que as empresas no percam seus investimentos a curto prazo.

Se os padres Ethernet e TCP/IP forem usados adequadamente, as concessionrias iro se

beneficiar da nova tecnologia de transferncia de dados, tanto internamente subestao quanto na


empresa como um todo.

Internacionalmente vrias concessionrias j reconheceram as muitas vantagens que o padro

IEC 61850 poder oferecer aos seus sistemas e j esto instalando este novo padro em suas
subestaes.

A adaptao de produtos existentes ao novo padro envolve tal volume de modificaes no

software e no hardware que no significam apenas mudanas para um novo protocolo, mas sim o
desenvolvimento de um produto novo.

O padro IEC 61850 pode ser instalado tanto em novas subestaes quanto em subestaes

existentes.

Sob o ponto de vista funcional, o novo padro abre a possibilidade de desenvolvimento de um

grande nmero de aplicaes, permitindo uma integrao completa entre os diferentes IEDs em uma
subestao e reduzindo significativamente a cablagem.

Para que se possa obter o mximo proveito do novo padro importante haver um treinamento

especial e um conhecimento mais aprofundado por parte do pessoal tcnico envolvido para que sejam
adequadamente preparadas as especificaes tcnicas para a compra de um sistema utilizando o
padro IEC 61850 [47, 48].

Para os primeiros sistemas a serem adquiridos, seria recomendvel contratar uma empresa de

consultoria que possua experincia na preparao de especificaes tcnicas utilizando o padro IEC
61850.

82

6.6 - Utilizao das Informaes de Monitorao e


Controle Disponveis nos Rels
6.6.1 - Introduo
Os dados adquiridos e armazenados pelos rels de proteo e outros IEDs podem ser
transferidos para sistemas de informao externos, como os Sistemas de Informaes de
Subestaes SIS, os sistemas SCADA ou outros sistemas. Quando ocorre uma falta ou outras
condies anormais no sistema, os rels ligados ao elemento em falta operam para abrir os
disjuntores e isolar o defeito. Entretanto, muitos outros rels tambm so sensibilizados pelo aumento
da corrente, reduo ou aumento da tenso ou variao da freqncia. Estes rels, embora no
liberem uma ordem de abertura de disjuntor, registram um grande nmero de informaes que so
importantes para diversas reas da empresa. Alm destas informaes, existem ainda as informaes
que so geradas durante condies normais do sistema [49].
Entre as muitas informaes que podem ser obtidas a partir dos rels de proteo, podem-se
citar:

Valores de medio indicativa, tais como:

Corrente (Ia, Ib, Ic, In)

Demanda (Ia, Ib, Ic)

Tenso (Va, Vb, Vc, Vab, Vbc, Vca)

Potncia ativa (3)

Potncia reativa (3)

Freqncia

Estas informaes podem ser compartilhadas com o sistema SCADA, eliminando-se a


necessidade de instalao de transdutores e UTRs, geralmente de custo elevado.

Registro Seqencial de Eventos Registro do instante de variao do estado de equipamentos de

manobra, operao de alarmes, atuao de unidades de rels etc., com datao do tempo (hora,
minuto, segundo e milissegundo da ocorrncia).

Dados de Oscilografia Registro da forma de onda das correntes e tenses antes, durante e aps

faltas.

Localizao de Faltas Informao da distncia do rel falta, em km, milhas ou percentagem da

impedncia da linha. Tal informao poder ser de grande ajuda para as equipes de manuteno de
linhas.

Monitorao do Disjuntor Registro dos valores cumulativos de It, I2yt etc., alm do valor da

corrente de cada fase nas ltimas interrupes.

Monitorao do Circuito de Disparo do Disjuntor Incluindo os cabos, a bobina de disparo, a

tenso de alimentao, o contato dos rels etc.

83

Relatrios de Falta Registro de informaes de interesse associadas a uma falta ou

perturbao. Exemplos destas informaes so: data e instante da operao do rel, tempo de
interrupo da corrente, valor das correntes e tenses pr-falta e durante a falta etc.

Deteco de Falha na Abertura ou Fechamento do Disjuntor.

Registro de Oscilaes de Potncia semelhante s informaes de oscilografia, porm com

tempo de aquisio das grandezas da ordem de alguns minutos. As grandezas registradas so:
potncia ativa e reativa, ngulo, tenso e corrente RMS.

6.6.2 - Unidades de Medio de Fasores (Phase Measurement Units


- PMU)
Esta uma classe de equipamentos relativamente nova. Baseia-se na medio dos fasores
de corrente e tenso (mdulo e ngulo) com sincronismo do instante de aquisio com erro no
superior a 1 ms. Isto pode ser obtido com o auxlio do sinal do satlite GPS. Utilizando-se canais de
comunicao rpidos, os fasores podem ser transmitidos a unidades de comparao e usados, por
exemplo, para monitorar a estabilidade do sistema e iniciar aes preventivas que impeam o sistema
de entrar em colapso.
A possibilidade de comparao entre fasores de corrente e tenso abre perspectivas para o
desenvolvimento de novos esquemas de proteo de linhas, barramentos e equipamentos em geral,
atravs, por exemplo, do emprego da lei dos ns.
Dentre os novos instrumentos que tm sido propostos para enfrentar este desafio, destacam-se
aqueles relacionados tecnologia de Sistemas de Medio Fasorial Sincronizada (SPMS
Synchronized Phasor Measurement Systems). Os SPMS, genericamente citados na literatura como
Wide Area Measurement System (WAMS), so constitudos essencialmente por unidades de medio
fasorial (PMUs Phasor Measurement Units) conectadas a um concentrador de dados (PDC
Phasor Data Concentrator) e metodologias de aplicao, envolvendo aspectos de monitorao e
controle em tempo real. Nestes sistemas, as PMUS adquirem e enviam fasores de tenso e corrente
ao PDC, que os organiza de acordo com suas etiquetas de tempo, disponibilizando-os para as
aplicaes. As aquisies so realizadas de forma sincronizada a partir do sistema GPS (Global
Positioning System), originando assim sincrofasores. Consegue-se, desta maneira, observar o
comportamento dinmico dos Sistemas de Energia Eltrica (SEEs) em tempo real, permitindo que
sejam realizadas aes de controle com base no estado atual do sistema, com a considerao de
sinais remotos.
Os desenvolvimentos desta tecnologia iniciaram-se em 1989 com o projeto WAMS nos
subsistemas do WECC (Western Electric Coordinating Council), em especial no subsistema da BPA
(Bonneville Power Administration) e no subsistema da SCE (Southern California Edison), com o
objetivo de desenvolver novas tcnicas para a operao de sistemas desregulamentados.
Atualmente, mais de vinte empresas de energia eltrica dos Estados Unidos da Amrica possuem
PMUs instaladas em suas subestaes, a sua maioria em carter experimental. Pases como a
Frana e os pertencentes ao Nordel (mercado de energia composto pelos pases nrdicos) tambm

84

foram precursores nesta rea. Nos ltimos anos, os pases da sia tambm iniciaram a implantao
de WAMS em seus sistemas eltricos. Em publicaes recentes verificou-se que, alm dos
experimentos e instalaes de PMUs e WAMS j descritos, h registros de PMUS instaladas na Itlia,
Espanha, Sua, Crocia, Grcia, Mxico e frica do Sul.
No Brasil encontra-se em desenvolvimento o Projeto MedFase, cujos objetivos contemplam o
estudo e o desenvolvimento da tecnologia de SPMS para aplicaes na monitorao e controle de
SEEs. No mbito deste projeto desenvolveu-se um simulador de SPMS, o qual contempla funes de
simulao do comportamento dinmico de SEEs, de PMUs e do PDC, conectados por meio de rede
Ethernet. Foi construdo tambm um prottipo de sistema de SPMS, constitudo por trs unidades de
medio fasorial (PMU Phasor Measurements Units) e um concentrador de dados (PDC Phasor
Data Concentrator). Este prottipo encontra-se atualmente em operao experimental monitorando
grandezas do sistema eltrico brasileiro. As PMUs esto instaladas nas capitais dos trs estados do
Sul do Brasil, conectadas rede de distribuio trifsica de baixa tenso, e enviam dados ao PDC em
Florianpolis/SC, por meio da Internet. O Projeto MedFase um convnio entre o Laboratrio de
Planejamento de Sistemas de Energia Eltrica (LabPlan) da Universidade Federal de Santa Catarina
(UFSC), com a Reason Tecnologia S/A, fabricante de equipamentos de oscilografia para SEEs, e a
Finep. O projeto encontra-se atualmente em fase de finalizao, registrando a concretizao de
diversos produtos, deste o desenvolvimento dos equipamentos PMU e PDC, do simulador e do
prottipo de SPMS, at publicaes de artigos tcnicos nos principais eventos do setor eltrico
nacional e internacionalmente e trabalhos acadmicos e de formao em nvel de ps-graduao,
passando pela transferncia da tecnologia desenvolvida na academia para o setor produtivo.

6.6.3 - Aplicaes dos Dados e Informaes dos Rels


6.6.3.1 - Planejamento da Operao de Curto Prazo
O planejamento de curto prazo da Operao necessita de informaes sobre a carga
imediatamente antes de uma perturbao. Esta informao pode estar disponvel nos rels, onde
registrada em memria por um determinado tempo.

6.6.3.2 - Superviso da Operao e Estimao de Estado


Tradicionalmente, as informaes para estas funes (correntes, tenses, estado de disjuntores e
chaves etc.) so fornecidas por transdutores e UTRs e transferidas para o sistema SCADA.
Vrias ferramentas de software so associadas ao SCADA, tais como funes de EMS,
estimadores de estado, fluxo de carga on line etc. Tendo em vista que os rels possuem um nvel de
confiabilidade elevado e so, normalmente, duplicados, o uso das informaes neles disponveis ir
melhorar o resultado destas ferramentas. Como conseqncia, ser possvel operar o sistema mais
prximo do limite de seus equipamentos e linhas. O aumento de potncia obtido, dependendo da

85

situao, poder representar uma reduo significativa de investimentos ou o adiamento destes


investimentos.

6.6.3.3 - Anlise de Distrbios


Quando da ocorrncia de um distrbio significativo, h necessidade de realizao de anlises
das condies do sistema antes e aps o distrbio, bem como das possveis causas, seja para
suportar as aes operacionais de recuperao do sistema, seja para verificar o desempenho dos
equipamentos encarregados de identificar condies anormais e realizar aes para levar o sistema a
um estado seguro (rels de proteo, disjuntores, PSS etc.). A execuo dessas anlises pode ser
auxiliada e tornada mais rpida com o uso das informaes disponveis nos rels, j que estes
equipamentos podem fornecer relatrios de falta indicando os valores das correntes e tenses antes e
aps a falta, a corrente interrompida, o tempo de interrupo, a distncia da falta a cada um dos
terminais da linha etc.
Como as penalidades impostas s empresas de energia eltrica so proporcionais ao tempo
de interrupo e carga interrompida, a identificao rpida do elemento que provocou o distrbio e
das condies do sistema pode tornar mais rpida sua restaurao e reduzir a penalidade que , em
geral, de ordem financeira.

6.6.3.4 - Monitorao da Qualidade da Energia Fornecida


A monitorao da qualidade da energia fornecida envolve um grande nmero de critrios de
qualidade, como:

Nvel de tenso RMS

Transitrios rpidos de tenso (da ordem de milissegundos) e sobretenses


por descargas atmosfricas

Outros transitrios de tenso

Afundamentos e diminuies (sags) de tenso

Interrupes de curta e de longa durao

Harmnicos nas formas de onda da corrente e tenso

Flutuaes de tenso (flicker)

Tenses desbalanceadas

Dependendo do tipo do rel, podero estar disponveis informaes sobre distoro


harmnica total (DHT) na corrente e tenso de cada fase valores das demandas, potncia ativa e
reativa em cada fase, deteco dos harmnicos na corrente com indicao do perfil dos harmnicos
etc. Na verdade, muitos rels podem realizar a funo de registradores de perturbaes de longa
durao, incluindo o registro das tenses e freqncia, assim como da temperatura dos enrolamentos
de transformadores ao longo do tempo.
Equipamentos especficos para avaliao da qualidade da energia so muitas vezes
empregados. Entretanto, seu uso tem sido bastante limitado, em funo do alto custo destes

86

equipamentos. Algumas vezes estes equipamentos so usados temporariamente em locais


determinados, onde existe suspeita de m qualidade da energia.
No caso da utilizao das informaes disponveis nos rels, no h custo adicional
envolvido. A monitorao pode ser feita em muitos pontos e sempre de forma contnua.

6.6.4 - Recomendaes e Sugestes


De modo a possibilitar o uso adequado das informaes disponveis nos rels de proteo
para as funes de SCADA, estimao de estado, fluxo de carga on line, anlise de distrbios e
outras, necessrio especificar os requisitos que estas informaes devem atender, como por
exemplo, quais medidas devem ser transferidas para o SCADA, qual a preciso destas medidas, qual
a freqncia com que os dados devem ser enviados. Estas especificaes serviro para eliminar tipos
de rels que no atendam aos requisitos mnimos.
Deve haver o cuidado de estabelecer requisitos que sejam atendidos pela maioria dos rels
disponveis no mercado, de modo a no restringir os fornecedores e vir a encarecer os sistemas de proteo.
Por outro lado, fundamental modificar as especificaes tcnicas dos sistemas SCADA e de
superviso, controle e automao que estiverem sendo adquiridos, de modo a se adequarem aos
novos critrios. Devem ser definidos os requisitos tcnicos a serem atendidos pelos dados fornecidos
pelos rels de proteo para cada uma das funes mencionadas acima, tais como estimao de
estado, anlise de distrbios, controle de qualidade etc.

6.7 - Possibilidades de Retrofit


A expanso do sistema eltrico requer que novos vos de linha, transformador ou gerador
sejam adicionados s subestaes e usinas existentes. Atualmente, muitas destas instalaes j
possuem um sistema de proteo e automao digital que utiliza, para a comunicao entre os
diversos IEDs, diferentes tipos de protocolo. Os novos IEDs que podero ser adicionados ao sistema
digital existente tero muito provavelmente j incorporado o protocolo IEC 61850.
Em casos em que os dispositivos existentes sejam relativamente recentes, eles podero ser
modernizados individualmente (upgraded), adicionando-se uma placa de comunicao, alterando-se o
software e reajustando-se os parmetros. Entretanto, esta modernizao somente trar benefcios se
os equipamentos no nvel estao forem tambm modernizados.

6.8 - Tendncias da Integrao na Automao de


Subestaes
No atual estgio dos sistemas de proteo, controle, superviso e automao no Brasil,
devero conviver, numa mesma instalao, diferentes tecnologias, variando desde rels
eletromecnicos e painis de controle e superviso convencionais, passando pelos rels e sistemas

87

de superviso e controle digitais com baixo nvel de integrao at os modernos sistemas constitudos
por redes de IEDs avanadas. Quanto maior o nvel de integrao entre IEDs maiores sero as
vantagens em relao aos sistemas no integrados. Entre estas vantagens, podemos citar :

Maior inteligncia de processamento atravs da automao distribuda, proteo adaptativa e

sistemas especialistas.

Possibilidade de aquisio de dados de sensores (TCs, TPs etc.) atravs de transdutores

digitais em rede com os IEDs. Abertura manual ou disparo automtico de disjuntores diretamente
pelos rels de proteo atravs de uma rede de comunicao, incluindo lgicas desenvolvidas para
bloqueio do religamento, esquemas de seleo tipo select before operate, intertravamentos,
coordenao lgica etc., o que reduzir a quantidade de cabos e o uso de rels auxiliares e de
interposio presentes nas subestaes existentes.

Realizao das funes de controle via software, utilizando a rede LAN, mesmo para funes

mais crticas como transferncia da atuao das protees, intertravamentos e bloqueios. A utilizao
de visores LCD para cada um ou mais vos, instalados junto s UTRs ou rels, poderia oferecer uma
superviso e controle de retaguarda.

Edifcios de controle menores nas subestaes, incluindo apenas baterias, computadores da

subestao, equipamentos de comunicao, alm de equipamentos de controle e indicao local via


Interface de usurio.

Um segundo computador de subestao e uma segunda rede podem ser empregados como

retaguarda (back up). As redes WAN, dos computadores das demais subestaes, tambm
proporcionaro retaguarda adicional.

Proteo digital intra e inter-subestaes, utilizando fasores sincronizados com alta preciso,

graas ao auxlio de Satlites de Posicionamento Global (GPS) e envolvendo uma rede de IEDs.

Uso da Tecnologia Orientada a Objetos (OOT) para modelagem do sistema e comunicao

de dados.

Interconectividade de IEDs de diferentes fornecedores para interoperar entre estes e serem

intercambiveis.

Migrao suave de arquiteturas e rpida adoo e implementao de novas tecnologias.

Por outro lado, a utilizao de IEDs e redes de IEDs cada vez mais complexos ter tendncia
de crescimento significativa. Desta forma torna-se extremamente importante e crtico prever etapas de
testes de modelo em laboratrio para aferio dos algoritmos e sistemas desenvolvidos. Os testes de
conformidade com a norma IEC 61850 so realizados por organizaes independentes e confiveis,
como a KEMA. J para os testes de interoperabilidade entre IEDs de uma rede complexa o aparato
de ensaios pode se tornar igualmente complexo, podendo, inclusive, ser considerada a necessidade
de que os ensaios sejam providos por uma terceira parte.

88

6.9 - Concluses
Embora a integrao e automao de subestaes tenha sido um conceito bastante difundido
nas ultimas duas dcadas, somente umas poucas empresas de energia eltrica tinham desenvolvido,
at meados dos anos 1990, abordagens verdadeiramente integradas de proteo, controle e
automao, para facilitar a troca de informaes em nvel corporativo e viabilizar a prpria automao. A
partir desta poca a situao comeou a mudar devido, principalmente, evoluo tecnolgica. Alm
disto, a desregulamentao dos mercados eltricos est forando as companhias no mundo todo a se
focar em aspectos de qualidade da energia e satisfao do consumidor. O controle e as comunicaes
de dados por via digital permitem a estas entidades trocar informaes relativas compra e venda de
energia e atualizao do estado da rede eltrica. A desverticalizao das empresas de energia eltrica,
o acesso aberto transmisso e a comercializao a varejo esto agora requerendo muito maior
compartilhamento de informaes em tempo real em nvel de transmisso.
O grande poder de compra coletiva das principais companhias eltricas nos EUA e Europa tm
forado os fornecedores a reprojetar seus produtos. Este alto nvel de suporte e harmonizao est,
certamente, contribuindo, de maneira importante, para a rpida implementao e aceitao deste novo
padro internacional de comunicao para a integrao dos dispositivos de campo que suportaro as
necessidades de integrao e automao da indstria, denominado protocolo IEC 61850.

89

7 TESTES EM SISTEMAS INTEGRADOS


DE PROTEO E AUTOMAO
7.1 - Introduo
O advento da norma IEC 61850 veio possibilitar o uso de mensagens atravs de redes LAN
Ethernet com alta velocidade e elevada confiabilidade, permitindo o compartilhamento de informaes
entre os diversos IEDs, bem como a disponibilizao dessas informaes aos diferentes usurios do
sistema eltrico ou da indstria (operador local, operador do centro de controle, manuteno,
engenharia da proteo, anlise de faltas etc.). Alm disto, a norma citada veio solucionar o problema
das expanses dos sistemas digitalizados, oferecendo a garantia de interoperabilidade entre IEDs de
fabricantes diferentes, reduzindo drasticamente o custo do sistema expandido.
Entretanto, o correto funcionamento de um sistema utilizando a norma IEC 61850 e o
emprego de diferentes fabricantes requer a execuo de testes de conformidade e interoperabilidade
e a confirmao de que o conjunto integrado, rede de comunicao e IEDs, ir realizar adequadamente
as diversas funes de proteo e automao especificadas. O ponto mais crtico ser a verificao
das funes distribudas que envolvam fabricantes diferentes.
O presente trabalho enfoca o desenvolvimento de procedimentos para os testes citados e a
preparao de mtodos de avaliao de desempenho de funcionalidades de SAS, luz da norma IEC
61850. O trabalho considera um conjunto de IEDs de proteo compatveis com a norma IEC 61850,
fornecidos por fabricantes diferentes e interligados em rede.
No objeto deste trabalho descrever ou analisar os testes normalmente realizados durante a
fabricao de um IED de proteo especfico, como por exemplo os testes de tipo, os testes de fbrica
(FAT) e os testes de homologao do IED por uma terceira parte.

7.2 - Uso da Linguagem SCL


Para que a descrio precisa dos IEDs, incluindo as informaes fornecidas na especificao e a
configurao da rede possa ser interpretada por qualquer ferramenta computacional compatvel,
utilizada a Linguagem SCL (Substation Configuration description Language ou Linguagem de Descrio
da Configurao da Subestao). Esta linguagem baseada no modelo CIM-XML (Common Information
Model eXtended Markup Language), largamente utilizado em diversas aplicaes, inclusive na rea de
EMS (Energy Management System ou Sistema de Gerenciamento de Energia).
Um dos objetivos da Linguagem SCL a uniformizao da nomenclatura utilizada, atravs de
um modelo nico de descrio de dados, criando um vocabulrio comum que facilita a troca de

90

informaes entre programas. Outro objetivo possibilitar o desenvolvimento e reutilizao de


ferramentas computacionais que aperfeioem e agilizem o trabalho de engenharia. Utilizando-se uma
ferramenta computacional em linguagem SCL e incluindo as informaes sobre a configurao e
funcionalidades do SAS e caractersticas da rede de comunicao, obtm-se um arquivo que
representa todo o SAS, o qual denominado arquivo SSD (System Specification Description ou
Descrio da Especificao do Sistema).
Por outro lado, as diversas possibilidades e funcionalidades disponveis em um determinado
IED so representadas nesta linguagem atravs do arquivo ICD (IED Capability Description ou
Descrio das Possibilidades de um IED, em Linguagem XML), o qual preparado pelo fabricante do
IED e entregue como parte do fornecimento.
O arquivo SSD, juntamente com os arquivos ICD dos diversos IEDs, configurados, atravs do
emprego de uma ferramenta de engenharia adequada, de modo a atender aos requisitos
especificados para um determinado cliente, d origem ao arquivo SCD (Substation Configuration
Description ou Descrio da Descrio da Subestao em Linguagem XML). Este arquivo o
correspondente digital do conjunto de diagramas esquemticos e lgicos de uma subestao e deve
ser arquivado como parte da documentao desta subestao para consultas pelo pessoal de
manuteno e possibilitar futuras expanses. O arquivo de cada IED, depois de configurado para um
projeto especfico, passa a ser denominado arquivo CID (Configured IED Description ou Descrio da
Configurao do IED, em Linguagem XML) daquele IED.
O uso da Linguagem XML em todos os arquivos permite que sejam utilizadas ferramentas
padronizadas de engenharia de aplicao e comunicao. Isto reduz substancialmente o esforo de
engenharia necessrio e diminui os erros de programao.
A Figura 7.1 mostra a relao entre os diferentes tipos de arquivos.

Figura 7.1 Gerao Do Arquivo SCD

91

7.3 - A Norma IEC 61850


Esta norma foi desenvolvida tendo como objetivos principais integrar os diversos dispositivos
de uma subestao atravs de uma rede de comunicao nica utilizando linguagem padronizada,
atendendo aos requisitos funcionais e de desempenho. Esta linguagem padronizada dever
assegurar interoperabilidade entre os IEDs da subestao. A norma deve, tambm, suportar
desenvolvimentos tecnolgicos futuros sem requerer alteraes significativas no software e hardware
do SAS. Por interoperabilidade entende-se a capacidade de dois ou mais IEDs, fornecidos por um ou
vrios fabricantes, de se comunicarem entre si compartilhando informaes, utilizando estas
informaes para executar as funes especificadas.
Para alcanar os objetivos acima, o novo padro utiliza a abordagem orientada a objeto e
subdivide as funes em objetos denominados ns lgicos que se comunicam entre si. N lgico
um grupamento funcional de dados. tambm a menor parte de uma funo ou subfuno que pode
intercambiar dados com outros objetos. Cada n lgico possui seu prprio conjunto de dados. Os
dados so compartilhados entre os ns lgicos segundo regras que so chamadas de servios. Os
ns lgicos so agrupados em dispositivos lgicos (funes), os quais esto contidos em dispositivos
fsicos (IEDs).
A Norma IEC 61850 fornece modelos de objetos padronizados, modelos de servios, testes, e
protocolos para garantir nveis mais altos de interoperabilidade entre IEDs, incluindo as aplicaes.
Representa mais do que um protocolo de comunicaes adicional. Corresponde, na verdade, a um
novo patamar tecnolgico e a um novo padro mundial, que ir alterar profundamente a concepo,
projeto e implantao dos sistemas de proteo e automao de subestaes. Possui as seguintes
caractersticas:

Modelos de Objetos de Aplicaes

Servios abstratos (ACSI): relatrios, controles etc.

Mapeamento das informaes para TCP/IP e Ethernet

Uso da Linguagem de Configurao de Subestao (SCL)

Testes de Conformidade

Estas so as caractersticas de uma plataforma aberta de Automao de Subestaes,


independentemente dos fornecedores. Adicionalmente, as ferramentas de engenharia e configurao
podem ser reutilizadas com o emprego da Linguagem SCL. Os sinais do processo e de outros IEDs
(por exemplo, proteo, disjuntores, transformadores de corrente e tenso) esto definidos e os
modelos de funes e objetos so padronizados, otimizando a reutilizao, cpia e consistncia do
software aplicativo.
So considerados trs nveis hierrquicos na subestao: nvel estao, nvel vo e nvel
processo. As comunicaes podem ser verticais, realizadas entre nveis hierrquicos diferentes, ou
horizontais, que ocorrem dentro do mesmo nvel.

92

As informaes verticais, como as informaes de configurao e operacionais (SCADA), so


transferidas no modo cliente-servidor, diferentemente do modo mestre-escravo utilizado em outros
protocolos. Este modo de transmisso utiliza todas as camadas do modelo OSI, tem a vantagem de
ter desempenho determinstico, embora seja relativamente lento, com tempos de resposta da ordem
de 1 s. O servidor corresponde ao nvel vo ou do processo, que fornece dados aos clientes no nvel
estao ou em qualquer nvel remoto. Os dados so fornecidos pelo servidor, por solicitao do
cliente ou automaticamente (a partir de eventos predefinidos). O cliente , em geral, o computador da
estao ou um gateway (utilizado para converso para outro protocolo). Pode ser tambm um centro
de controle remoto. possvel, portanto, haver mltiplos clientes. Neste tipo de comunicao, o
cliente quem controla a troca de dados.
As comunicaes horizontais, por sua vez, incluem as mensagens GOOSE (Generic Object
Oriented Substation Event) ou GSSE (Generic Substation Status Event). Utilizam o modo editor assinante (publisher-subscriber), ou produtor/consumidor em que o editor distribui as informaes
na rede, no modo unicast ou multicast, o que significa que um nico ou mltiplos IEDs podem
receber a mensagem e utiliz-la ou no, conforme sua necessidade. O IED assinante, que pode ser
qualquer dos IEDs, recebe da rede aquelas informaes que lhe forem necessrias. A comunicao
no depende de um sinal de confirmao, sendo, porm, repetidas vrias vezes para aumentar sua
confiabilidade. O tempo de comunicao no determinstico, porm, na maior parte dos casos, fica
entre 4 ms e 8 ms.
A Figura 7.2 a seguir mostra uma arquitetura simplificada de um SAS que utiliza a norma IEC
61850, incluindo os equipamentos eltricos, IEDs, controlador de estao e console de engenharia,
mostrando tambm o barramento do processo.

Figura 7.2 Arquitetura Simplificada de um SAS Utilizando a Norma IEC 61850

A grande vantagem das mensagens GOOSE ou GSSE permitirem a interligao entre dois
ou mais IEDs atravs da rede, intercambiando mensagens com alta velocidade e com confiabilidade
adequada, substituindo as ligaes fsicas por meio de cabos ou fios. Isto ir possibilitar uma drstica
reduo dos cabos que devem ser utilizados em uma subestao.

93

7.4 - Equipamento de Teste para Rels Microprocessados


Convencionais
Para facilitar o teste da operao de um rel microprocessado ou digital , normalmente,
utilizado um equipamento de teste, tambm chamado de mala de teste. Este equipamento deve ser
capaz de provocar a operao das diversas funes do rel, atravs da injeo das correntes e
tenses de falta e registrar com exatido os instantes de operao destas funes. O instante de
operao do rel verificado atravs de entradas digitais do equipamento de teste. Adicionalmente,
este equipamento de teste deve poder simular a ao da bobina de disparo e dos contatos auxiliares
do disjuntor e de outros dispositivos que possam afetar o desempenho do teste. A Figura 7.3, a seguir
mostra as conexes tpicas de um rel sob teste, ligado ao equipamento de teste.

Figura 7.3. Ligaes de um Rel Digital Convencional ao Equipamento de Teste

7.5 - Equipamento de Teste para IEDs de Proteo Baseados


na Norma IEC 61850
Este tipo de equipamento, alm de possuir as conexes convencionais, conforme mostrado
acima, capaz de se conectar ao IED sob teste por meio de uma rede LAN Ethernet. Atravs desta
rede so enviadas mensagens GOOSE, executando a mesma funo que a cabeao
convencional. A Figura 7.4, a seguir, mostra as ligaes para este tipo de equipamento de teste.

94

Figura 7.4 - Equipamentos Para a Realizao de Testes de Conformidade e Funcionais

Com este arranjo ser possvel realizar os testes de forma hibrida, isto , simultaneamente,
via cablagem metlica convencional e via rede Ethernet. Com isto, ser possvel comparar os tempos
de operao para cada funo do IED pelo cabo metlico e via rede.

7.6 - Testes de Conformidade


A norma IEC 61850, em sua Parte 10, estabelece os requisitos para os testes de conformidade
a serem realizados em um IED ou em um SAS. O objetivo destes testes verificar se o dispositivo sob
teste (Device Under Test DUT) obedece aos requisitos de comunicao definidos pela norma IEC
61850. No presente trabalho sero considerados apenas os testes de conformidade realizados em um
determinado SAS. Admite-se que os testes de conformidade especficos para cada um dos IEDs que
fazem parte do SAS so da responsabilidade do respectivo fabricante e, em geral, so realizados por
uma organizao independente. O

certificado de homologao deve ser fornecido como parte da

documentao do IED. Adicionalmente, devem tambm ser fonecidos pelo fabricante do IED os
arquivos MICS (Model Implementation Conformance Statement), PICS (Protocol Implementation
Conformance Statement) e PIXIT (Protocol Implementation eXtra Information for Testing). Estes
arquivos so implementados em Linguagem SCL e contm informaes importantes sobre as
possibilidades de comunicao e teste dos IEDs, assim como sobre a arquitetura interna e o SCSM
(Specific Communication Service Mapping ou Servio Especfico de Mapeamento de Comunicao).
Para realizar os testes de conformidade e os testes funcionais necessrio dispor de um
conjunto de teste adequado, incluindo, pelo menos, um equipamento de teste baseado na norma IEC
61850, uma rede Ethernet, um computador e as ferramentas computacionais necessrias. As
conexes tpicas so conforme indicado na Figura 7.4.
O equipamento de teste deve ser capaz de simular a comunicao vertical, como, por
exemplo, as informaes de configurao e operacionais (SCADA), transferidas no modo clienteservidor, assim como a comunicao horizontal, incluindo as mensagens GOOSE ou GSSE, no modo
editor-assinante (publisher-subscriber). O editor realiza publicaes de mensagens, ou seja, envia

95

para o IED testado mensagens GOOSE. O assinante realiza subscries, ou seja, recebe e armazena
as mensagens enviadas pelo IED, avaliando os estados dos atributos nessas mensagens.
O sistema de teste composto por um equipamento capaz de simular o processo de um SAS,
com fontes de corrente, tenso e simulao de comandos do processo (bobina de disparo do
disjuntor, estado do disjuntor e chaves etc.). Este equipamento tambm deve possuir comunicao
Ethernet para interagir com a rede LAN sob teste. Ainda fazem parte do sistema um conversor eletrotico, switch para conexo dos componentes do sistema de teste simulando a rede LAN de operao
real do IED e um conjunto de ferramentas de teste para anlise e simulao, em Linguagem SCL.
Essas ferramentas devero ser integradas em um Interface Homem Mquina (IHM).
So objetivos dos Testes de Conformidade: reduzir os riscos de interoperabilidade a um nvel
aceitvel, fornecer o mximo de confiana ao cliente de que o dispositivo interoperar com outros
dispositivos certificados, alm de realizar um teste de tipo da interface de comunicao de um SAS.
sempre recomendvel realizar o Teste de Conformidade antes da integrao do sistema no
campo a fim de descobrir, ainda em tempo, possveis diferenas de interpretao e possveis erros de
software, bem como a exata funcionalidade da implementao do protocolo. Desta forma, o cliente
que est adquirindo o SAS evitar comportamentos inesperados na fase operacional e poupar tempo
e dinheiro nas fases de implementao e manuteno do sistema.
O Teste de Conformidade deve incluir o seguinte:

Documentao e controle de verso, conforme IEC 61850 Parte 4, contendo :

Arquivo PICS (Protocol Implementation Conformance Statement), que


corresponde ao resumo das possibilidades de comunicao do IED ou SAS a
ser testado.

Arquivo MICS (Model Implementation Conformance Statement), que detalha


o padro dos elementos do objeto de dados suportado pelo IED ou SAS a ser
testado.

Arquivo PIXIT (Protocol Implementation esXtra Information for Testing), que


contm informaes especficas relativas ao IED ou SAS a ser testado e que
esto fora do escopo da norma.

Configurao (SCL), conforme IEC 61850 Parte 6.

Modelo de objeto de dados, conforme IEC 61850 Partes 7-3 e 7-4.

Servios de comunicao, conforme IEC 61850 Partes 7-2, 8-1, 9-1 e 9-2

7.7 - Testes de Interoperabilidade


Para o teste de interoperabilidade devem ser conectados LAN dois ou mais IEDs, devendo
ser geradas e transmitidas mensagens no padro IEC 61850. Para isto, o equipamento de teste deve
ser capaz de simular estas mensagens. Quando possvel, uma soluo mais realista ser utilizar os
prprios equipamentos do SAS para gerar as mensagens, desde que se disponha de um analisador

96

compatvel com a norma IEC 61850 capaz de analisar as mensagens GOOSE e demais mensagens
geradas pelos IEDs.
Sabemos que no prtico nem possvel testar todas as possibilidades de comunicao de um rel
com todos os demais IEDs de um SAS, uma vez que o nmero de possibilidades muito grande e cresce
exponencialmente com o nmero de IEDs. O que prtico e pode ser realizado, de forma realsta,
estabelecer cenrios de teste provveis e que representem as condies mais desfavorveis esperadas. As
situaes de trfego carregado podero ser simuladas por um computador adicional conectado rede.
Diante da grande complexidade representada por um SAS com funes distribudas, sugere-se
comear pelas situaes mais simples e ir aumentando, pouco a pouco, o grau de complexidade.
Iniciar com dois IEDs, testando as funes distribudas menos complexas e com a rede sem trfego e
prosseguir com os testes at que todas as funes distribudas que envolvam os dois IEDs tenham
sido testadas. Somente ento acrescentar um terceiro IED e depois outro, at que todo o SAS tenha
sido testado. Lembrar que a situao mais crtica para a interoperabilidade ocorre quando temos IEDs
de fabricantes diferentes operando com funes distribudas.
Um conjunto de IEDs conectados a uma rede LAN, juntamente com simulador de mensagens
GOOSE, analisador de protocolo, IHM, armazenamento, captura e visualizao dos dados de teste,
alm de uma fonte controladora e geradora dos sinais analgicos est mostrado na Figura 7.5. O
equipamento de GPS, embora no mostrado, tambm faz parte do conjunto.

Figura 7.5 Sistema para Teste de Interoperabilidade de Vrios IEDs

Considera-se que cada IED tenha sido previamente testado com relao conformidade com
a norma e os requisitos funcionais e que a operao das funes no distribudas tenha sido tambm

97

previamente verificada, sendo observadas as mensagens geradas e recebidas pelo IED relativamente
a sinais de status, comandos, alarmes e informaes para IHM.
Como exemplo, pode-se simular uma falta envolvendo dois ou mais IEDs de proteo ou
controle e analisar as mensagens trocadas por estes IEDs, incluindo as mensagens verticais para o
IHM (status, alarmes e comandos) e as mensagens horizontais (GOOSE ou GSSE). Cada uma das
funes distribudas deve ser testada, simulando-se as diversas situaes que possam ocorrer. Os
IEDs futuros ou aqueles que no estiverem disponveis por ocasio do teste podem ser simulados por
uma ferramenta computacional adequada.
Mesmo considerando que todos os IEDs componentes de um SAS foram aprovados nos
testes de conformidade de responsabilidade do fabricante, podero ocorrer diferenas entre IEDs que
iro dificultar os testes de interoperabilidade. importante lembrar que a realizao dos testes
funcionais e de interoperabilidade no ambiente controlado de um laboratrio muito superior busca
de defeitos e sua correo no campo. No laboratrio, os testes so feitos passo a passo e se dispe
de ferramentas computacionais de anlise que facilitam a identificao de problemas. No campo,
podero aparecer defeitos simultneos que iro dificultar muito sua localizao e reparo, podendo
prolongar de forma no controlada o prazo de realizao dos testes de campo.

7.8 - Testes de Desempenho


Os testes de desempenho de um SAS destinam-se a verificar se o desempenho de cada
funo se mantm dentro dos limites especificados, mesmo quando a rede de comunicao
submetida a condies crticas de trfego de mensagens ou rudo. Aplicam-se tanto s funes
distribudas quanto s no distribudas. Durante os testes de desempenho so verificados os tempos
mximos de operao de funes, assim como os tempos mximos que cada mensagem
(especialmente as mensagens GOOSE) ir levar desde sua gerao em um IED at que seja
recebida pelos IEDs subscritores que iro utilizar a informao.

7.9 - Concluso
A utilizao de IEDs baseados na norma IEC 61850 requer o emprego de novos
equipamentos e metodologias de teste. O uso da Linguagem SCL para os IEDs de uma subestao
permite o desenvolvimento de novas ferramentas computacionais e a adaptao de outras j
existentes, facilitando enormemente e automatizando a realizao dos testes. Durante o teste de
funes distribuidas, os IEDs futuros ou no disponveis no momento do teste podem ser simulados
por ferramentas de teste.
Alm dos testes de conformidade de cada IED especfico, o teste do SAS completo, incluindo
IEDs de diferentes fabricantes interligados por uma rede de comunicao, devem ser realizados em
laboratrio, de modo a identificar e corrigir possveis problemas, tornando mais rpida a fase de testes
de campo e o incio da operao do SAS.

98

Tendo em vista a importncia e complexidade do assunto, os autores chamam a ateno para


a necessidade de preparao adequada dos tcnicos e engenheiros que estaro envolvidos com as
reas de proteo e controle de subestaes para que o padro IEC 61850 possa ser mais
rapidamente assimilado e utilizado nas diversas aplicaes.

99

BIBLIOGRAFIA

Livros e Norma
PHADKE, A.G., THORP, J.S., Computer Relaying for Power Systems. 1 ed. Research Studies Press
Ltd., 1988, Publisher: John Wiley & Sons Inc.
GIOZZA, W.F., ARAUJO, F.M., MOURA, J.A.B., et al., Redes Locais de Computadores Tecnologia e
Aplicaes. 1 ed. So Paulo, SP, Brasil, McGraw Hill Ltd., 1986.
LAGES, N.A.C., NOGUEIRA, J.M.S., Introduo aos Sistemas Distribudos. 1 ed. Campinas, SP,
Brasil, Editora da Unicamp, 1986.
JARDINI J.A., Sistemas Digitais para Automao da Gerao, Transmisso e Distribuio de Energia
Eltrica. 1 ed. So Paulo, SP, Brasil, Edio Universitria, USP, 1996.
MOORE, P.G., LN, C., AGGARWAL, R.K., et al., Power System Protection Vol. 4: Digital
Protection and Signalling. 1 ed. Exeter, England, Institution of Electrical Engineers, 1995.
ZIEGLER, G., Numerical Digital Protection Principles and Applications. 1 ed. Erlangen, Germany,
Die Deutsche Bibliothek CIP Einheitsaufnahme, Publicis-MCD-Verl., 1999.
ALLWORTH, S.T., Introduction to Real Time Software Design. 1 ed. London, Macmillan Press Ltd.,
1981.
NORMA: International Standard IEC 61850 Parts 1 to 10
CIGR SC B5 Report: The Introduction of IEC 61850 and Its Impacts on Protection and Automation
Within Substations

Artigos Tcnicos
ALEXANDRE, R.T.S., OLIVEIRA, C.D.,A Importncia do Workstatement em Projetos de Automao,
Controle e Proteo Digitais. XVII SNPTEE - Seminrio Nacional de Produo e Transmisso de
Energia Eltrica, GPC-24, Uberlndia, MG, Brasil, out. 2003.
ALLEN, G.R. e CHEUNG, R., Integration of Protection, Control and Monitoring Functions for
Transmission and Distribution Substations. In: IEEE Transactions on Power Delivery, v. 13, n. 1, pp.
96-101, Jan. 1998.
ALVES, M.B., Sistema Especialista para Comando, Controle, Proteo e Superviso de Usinas e
Subestaes,

X Encuentro Regional Latinoamericano de la CIGR - Encuentro Regional

Latinoamericano de la CIGR, CE-34, Puerto Iguaz, Argentina, mayo, 2003.

100

APOSTOLOV, A., Distributed Intelligence in Integrated Substation Protection and Control Systems,
CEPSI 2000, U.S.A., 2000.
APOSTOLOV, A., Vandiver, B.,Functional Testing of IEC 61850 Based Substation Automation
Systems, CIGR SC B5 Colloquium, Calgary, Canada, September 2005
BAASS, W. et al. The Automation of New and Existing Substations Why and How. Technical
Brochure no. 246, CIGR Working Group B5.07, April 2004
BRAND,K.P., BRUNNER,C. e WIMMER,W., Design of IEC 61850 Based Substation Automation
Systems According to Customer Requirements. CIGR Session 2004 B5-103, Paris,
August/September 2004.
BRAND,K.P., RIETMAN, P., MAEDA, T. e WIMMER, W., Requirements of Interoperable Distributed
Functions and Architectures in IEC 61850 Based SA Systems, CIGR Session 2006 B5-110,
CIGR Bienal, Paris, August 2006
BRIGHT, J.A., LEE, W.J., Integrated Monitoring, Protection and Control Systems for Industrial and
Commercial Power Systems", In: IEEE Trans. On Industry Applications. v. 36, Jan/Feb 2000.
CANALES,I., IBAES,P. et al., INTERUCA Project: Interoperability for Distributed Control Within
Electrical Substations. CIGR Session 2004 B5-204, Paris, August/September 2004.
CASCAES PEREIRA, A. et al., Procedimentos de Teste de Conformidade e Interoperabilidade Luz
da Norma IEC 61850 Aplicados a Subestaes, a ser apresentado no XIX SNPTEE, Rio de Janeiro,
Brasil. Out. 2007
CASCAES PEREIRA, A. et al., Rede de IEDs de Proteo Como Obter o Mximo Benefcio para
Proteo e Automao de Subestaes Utilizando a Norma IEC 61850, XII ERIAC, Foz do Iguau,
Maio, 2007
CASCAES PEREIRA, A. et al., Dispositivos Inteligentes de Aquisio de Dados para os Centros
Regionais de Operao e Sistemas Corporativos, X SEPOPE, Florianpolis, Brasil, Maio 2006
CASCAES PEREIRA, A. et al., Integrao dos Sistemas de Proteo, Controle e Automao de
Subestaes e Usinas Estado da Arte e Tendncias, XVIII SNPTEE, Curitiba, Brasil. Out. 2005
CASCAES PEREIRA, A., TARANTO, G.N., SAAD, J.J. et al. Integrao dos Sistemas Digitalizados
de Proteo com os Sistemas de Superviso, Controle e Automao de Subestaes e Usinas Uma
Tendncia Moderna. IX SEPOPE - Symposium of Specialists In Elctic Operational and Expansion
Planning, Rio de Janeiro RJ Brasil, maio 2004.
CASCAES PEREIRA, A., AZEVEDO, F.R., Aspectos da Digitalizao de Subestaes Novas e
Existentes, com Uso de Proteo Convencional ou Digital. XII SNPTEE - Seminrio Nacional de
Produo e Transmisso de Energia Eltrica, GPC-02, Recife, PE, Brasil,1993.
CASCAES PEREIRA, A., et al., Modelagem do Processo e Identificao de Possveis Estruturas de
Sistemas Digitais para Controle de Processos de Usinas e Subestaes - Modulo IV, GADUS,
ELETROBRS, 1989.
CASCAES PEREIRA, A., et al., Requisitos Funcionais de Um Sistema Digital de Controle e
Superviso de Subestaes . VIII SNPTEE - Seminrio Nacional de Produo e Transmisso de
Energia Eltrica, GPC-13, So Paulo, SP, Brasil, 1986.

101

CASCAES PEREIRA, A. et al., Aspectos Favorveis da Digitalizao dos Sistemas de Controle e


Superviso de Subestaes. VIII SNPTEE - Seminrio Nacional de Produo e Transmisso de
Energia Eltrica, GPC-14, So Paulo, SP, Brasil, 1986.
CEREZO A. et al., The University City SAS. First Project Within Iberdrola Group Using IEC 61850 for
a Complete Substation, CIGR Bienal, Paris, August 2006
DE BEM, R.P.,FEIO, P.,Automao de Subestaes e Centros de Controle. IV CIERTEC - IV
CIERTEC Seminrio Internacional Sobre Automao de Redes de Distribuio Eltrica e Centros de
Controle, So Paulo, SP, Brasil, set. 2002.
DE VOS, A., WIDERGREEN, S.E., ZHU, J., XML for CIM Model Exchage, 0-7803-6681-6/01.
IEEE,2001,
DIOGO, A.C.T., ASANO, M., DE CASTRO, G.L. et al., Monitoramento de Subestaes A
Experincia da CESP. XV SNPTEE - Seminrio Nacional de Produo e Transmisso de Energia
Eltrica, GPC-19, Foz do Iguau, PR, out. 1999.
FAY, E. Subestaes Integradas Como Obter Benefcios do Protocolo IEC-61850 Hoje. V
SIMPASE, Recife, PE, Brasil, abril 2003 e XVII SNPTEE - Seminrio Nacional de Produo e
Transmisso de Energia Eltrica, GPC-20, Uberlndia, MG, Brasil, out. 2003.
FERNANDES, R.C.R., Experincia de ESCELSA na Implantao de Sistema Aberto e Integrado de
Superviso, Proteo e Controle para Subestao. XVI SNPTEE - Seminrio Nacional de Produo e
Transmisso de Energia Eltrica, GPC-02, Campinas, So Paulo, SP, Brasil, out. 2001 e IV CIERTEC
Seminrio Internacional Sobre Automao de Redes de Distribuio Eltrica e Centros de Controle,
BR-52, So Paulo, setembro 2002.
FERNANDES, R.C.R., VIVALDI, A., MERIZIO, M. et al., Experincia da ESCELSA na Implantao de
Automatismos em Unidade Terminal Remota (UTR) de Subestaes. XV SNPTEE - Seminrio
Nacional de Produo e Transmisso de Energia Eltrica, GPC-19, Foz do Iguau, PR, out.1999.
FERREIRA, L.R.C., CROSSLEY, P.A., ALLAN, R.N. et al., The Impact of Functional Integration on
the Reliability of Substation Protection and Control Systems. In: IEEE Transactions on Power
Delivery, v. 16, n. 1, pp. 83-88, Jan. 2001.
GIOVANINI, R. e COURY, D.V., Sistemas Agentes Remotos Aplicados Melhoria da Proteo Local e
de Retaguarda, XV CBA - Congresso Brasileiro de Automtica, Gramado, RS, Brasil, Set. 2004.
HAMAMATSU, K. et al., A New Approach to the Implementation of Internet Based Measurement and
Monitoring. IEE DPSP Conference, pp. 102-105, Amsterdam, April 2001.
HERRMANN H. J. et al., Implementation Experience With IEC 61850-Based Substation Automation
System, SC B5 102, CIGR Bienal, Paris, August 2006
HOHLBAUM,F., HOSSENLOPP,L. e WONG,G, Concept and First Implementation of IEC 61850.
CIGR Session 2004 B5-110, Paris, August/September 2004.
HOSSENLOP, L., Substation Automation: Beyond Communication Standardization.

Colquio do

CIGR SC-B5 Protection and Automation, October 2003 e Revue ELECTRA n. 211, December 2003.
HOSSENLOPP, L..and GUIMOND D E., IEC 61850: Impact on Substation Automation Products and
Architectures, CIGR Bienal, Paris, August 2006

102

HOSSENLOPP, L., COSTE, T. and LAMBERT, E., IEC 61850: System Configuration Including
Harmonization with IEC 61970/IEC 61968, CIGR Bienal, Paris, August 2006
KAMERS, D.M., MUSSALTO, R., MIBIELI, A., et al., Sistema de Monitorao Integrados nas Unidades
de Processamento de Reguladores Digitais de Tenso e Velocidade de Geradores de Energia. XVI
SNPTEE - Seminrio Nacional de Produo e Transmisso de Energia Eltrica, GPC-26, Campinas,
So Paulo, SP, Brasil, out. 2001.
KIRKMAN, R. WWW Technology for Substation Automation. Monitor Your Substation Via The Intranet
/ Internet Display Substation Data Using Your Web Brouser. CIGR Report 34-211,2002
KOBAYASHI, T. , STELZER, G. e VIEIRA, J.F., Sistema Digital do Superviso, Controle e Proteo
Integrada da SE Itarar II Concepo, Desenvolvimento e Implantao. V SIMPASE - Simpsio e
Automao de Sistemas Eltricos, Recife, PE, Brasil, abril 2003.
LAEDERACH S. et al., Experience With IEC 61850 in the Refurbishment of an Important European
380 kV Substation, CIGR Bienal, Paris, August 2006
LAUZIRIKA, I., DE CARLOS, C., Evolucin, Anlisis Actual y Futuro de los Sistemas Integrados de
Proteccin y Control, X ERLAC - Encuentro Regional Latinoamericano de la CIGR, CE-34, Puerto
Iguaz, Argentina, mayo, 2003.
MARQUES, D.C., KRAUS, C.C., FRISCH, A.C., et al. A Experincia da COPEL na Integrao de
Protees Digitais ao Sistema de Automao de Subestaes. V SIMPASE, Recife, PE, Brasil, abril
2003 e XVII SNPTEE - Seminrio Nacional de Produo e Transmisso de Energia Eltrica, GPC-22,
Uberlndia, MG, Brasil, out. 2003.
MARQUEZ D.C.et al., A Experincia da COPEL na Integrao de Protees Digitais ao Sistema de
Automao de Subestaes, XVII SNPTEE GPC-22, Uberlndia, Out. 2003.
MCCALLEY, J.D., FU, W., Reliability of Special Protection Systems. In IEEE Transactions on Power
Systems, v.14, n. 4, pp. 1400-1406, Ames, Iowa, Nov. 1999.
MCDONALD, J., CCERES, D., BORLASE, S. et al. Standardized Design of Transmission Substation
Automation System, Argentine Engineering Congress, Buenos Aires, Argentina, December 1998.
MESMAEKER I., How to Use IEC 61850 in Protection and Automation, Revista Electra n 222,
October, 2005, pp. 11-21
MESSING, L. AABO, Y et al., Extracting Information from Data Collected by Relays and Other
Monitoring Devices, Revista Electra n 215, August, 2004, pp. 25-36
MESSING, L., AABO, Y. et al., Extracting Information from Data Collected by Relays and Other
Monitoring Devices. CIGR Task Force B5.05 - Revue ELECTRA n. 215, pp 25-35, August 2004,
NERY, E., ALVES, J., Mecanismo de Implementao de Sistemas Digitais Integrados de Proteo e
Controle Local Engenharia de Projeto/Engenharia da Concepo Da Concepo Realidade. XI
SNPTEE - Seminrio Nacional de Produo e Transmisso de Energia Eltrica, GPC-02/03, Rio de
Janeiro, RJ, 1991.
PALMA, J.R.P., SANTANA, F.J.R., Integrao do Sistema de Automao da COELBA com a Rede
Corporativa. V SIMPASE - Simpsio e Automao de Sistemas Eltricos, Recife, PE, Brasil, abril
2003.

103

PAULINO, M. E. C. Testes de IEDs Operando com Redes de Comunicao Baseados na IEC


61850, a ser apresentado no XII ERIAC Encontro Regional Ibero-americano do CIGR, Foz do
Iguau, Maio 2007
PAULINO, M. E. C. Avanos Recentes em Testes de Rels Digitais Operando em Redes e Sistemas
de Comunicao em Subestaes Eltricas, CIGR SC B5 Colloquium, Calgary, Canada, September
2005
PAULINO, M. E. C Testes de Conformidade em Rels Multifuncionais Baseados na IEC 61850 VIII
STPC, Rio de Janeiro, junho 2005.

PONTES, J.R.M., XAVIER, A.P., et al., O Processo de Automao dos Sistemas de Transmisso e
Distribuio da COSERN Desafios, Custos e Benefcios. V SIMPASE - Simpsio de Automao de
Sistemas Eltricos, Recife, PE, Brasil, abril 2003.
PUPPI, L.V.S., Otimizao de Funcionalidades de Rels Numricos em Sistemas de Proteo e
Controle Integrados A Experincia da COPEL. XVII SNPTEE - Seminrio Nacional de Produo e
Transmisso de Energia Eltrica, GPC-23, Uberlndia, MG, Brasil, out. 2003.
RIETMAN, P., KIRKMAN, R., HYVARINEN, M. Et al. Guidelines for Specification and Evaluation of
Substation Automation Systems. CIGRE Study Commitee B5, Report B5/WG18-DFR-r1, April 2003.
ROCKEFELLER, G.D., Fault Protection with a Digital Computer. IEEE Power Apparatus and
Systems, 1969.
ROCKEFELLER, G.D., UDREN, E.A., High Speed Distance Relaying Using a Digital Computer. In:
IEEE Power Apparatus and Systems, 1972.
SAAD J. Jr., SOLLERO, R.B., CASCAES PEREIRA, A.C., et al., Sistema de Aquisio e
Processamento de Dados para a Integrao da Superviso, Controle e Proteo. V SIMPASE Simpsio de Automao de Sistemas Eltricos, Recife, PE, Brasil, abril 2003.
SCHEER, G.W. E DOLEZILEC, D.J., Comparando a Confiabilidade de Topologias de Redes Ethernet
nas Redes de Superviso e Controle de Subestaes, Schweitzer Engineering Laboratories, Inc.,
Campinas, So Paulo, SP, Brasil, 2001.
SOLERO, R.B., et al., Sistema Integrado de Superviso, Controle e Proteo de Subestaes e
Usinas Hidroeltricas. XI SNPTEE - Seminrio Nacional de Produo e Transmisso de Energia
Eltrica, GPC-01, Rio de Janeiro, RJ, Brasil, 1991.
SZCZUPAK, J., JARDIM, J.C., et al., Projeto e Instalao de um Prottipo para Superviso e
Controle de Subestaes. VIII SNPTEE - Seminrio Nacional de Produo e Transmisso de Energia
Eltrica, GPC-07, So Paulo, SP, Brasil, 1986.
ZIEGLER, G. Protection and Substation Automation State of the Art and Development Trends
State of the Art Paper. Revista ELECTRA n. 206, pp. 14-23, CIGR, SC-34, Fev. 2003.

104

Das könnte Ihnen auch gefallen