Sie sind auf Seite 1von 14

Experiment no: - 1

Objective:- To study analog link of optical fiber.


Theory: - Fiber optic links can be used for transmission of digital as well as analog signals.
Basically a fiber optic link contains three main elements, a transmitter, an optical fiber and a
receiver. The transmitter module takes the input signal in electrical form and then transforms it
into optical (light) energy containing the same information. The optical fiber is the medium
which takes the energy to the receiver. At the receiver light is converted back into electrical form
with the same pattern as originally fed to the transmitter.
Transmitter:-Fiber optic transmitters are typically composed of a buffer, driver and optical
source. The buffer provides both an electrical connection and isolation between the transmitter &
the electrical system supplying the data. The driver provides electrical power to the optical
source. Finally, the optical source converts the electrical currentto the light energy with the same
pattern. Commonly used optical sources are lightemitting diodes (LED s) and Laser beam.Simple
LED circuits, for digital and analog transmissions are shown below.
1. Function Generator
2. Frequency Modulator&
3. Pulse Width Modulator block.
The Function Generator generates the input signals that are going to be used as information to
transmit through the fiber optic link. The output voltage available is 1 KHz sinusoidal signal of
adjustable amplitude, and fixed amplitude 1 KHz square wave signal. The modulator section
accepts the information signal and converts it into suitable form for transmission through the
fiber optic link.
The Fiber Optic Link: - Emitter and Detector circuit on board form the fiber optic link. This
section provides the light source for the optic fiber and the light detector at the far end of the
fiber optic links.The optic fiber plugs into the connectors provided in this part of the board. Two
separate links are provided.
The Receiver :- The comparator circuit, low pass filter, phase locked loop, AC amplifier circuits
form receiver on the board. It is able to undo the modulation process in order to recover the
original information signal. In this experiment the trainer board is used to illustrate one way
communication between digital transmitter and receiver circuits.
Procedure:
1. Connect the power supply to the board.
2. Ensure all switches faults are off.
3. Make the following connections as shown in figure 1.a
(a) Connect the 1 KHz sine wave output to emitter 1s output.
(b) Connect the fiber optics cable between emitter output and detector input.
(c) Connect the detector 1s output to the amplifier 1 input.
4. On the board, switch emitter 1s driver to analog mode.
5. Switch on the power supply.
6. Observe the input to the emitter 1(TP5) with the output from AC amplifier 1(TP28) and
note that the two signals are same.
.

Fig: 1.a
Result: - Fiber optics analog link has been studied and perform on kit.

Experiment no: -2
Objective :- To study the digital link of optical fiber. .
Theory:- Study of an 650nm fiber optic digital link. In this experiment you will study the
Relationship between the input signal and received signal.

figure 2.1
The figure 2.1 shows a simple drive circuit for binary digital transmission consisting
a common emitter saturated switch.

Procedure:1. Connect the power supply to the board.


2. Ensure that all switched faults are off.
3. Make the following connections (as shown in figure 2.a).
a. Connect the 1 KHz square wave output to emitter l's input.
b. Connect the fiber optic cable between emitter output and detectors input.
c. Detector 1's output to comparator 1s input.
d. Comparator l's output to AC amplifier l's input.
4. On the board, switch emitter 1's driver to digital mode.
5. Switch on the power.
6. Monitor both the inputs to comparator 1 (TP13 & 14). Slowly adjust the Comparators bias
preset, until DC Level on the input (TP13) lies mid way between the high and low level of the
signal on the positive input (TP14).
7. Observe the input to emitter 1 (TP 5) with the output from AC amplifier 1(TP28) and note that
the two signals are same.

Fig: -2.a
Result: - Fiber optics digital link has been studied and perform on kit.

Experiment no: -3
Objective: - To study the propagation losses in Optical Fiber.
Theory:-Attenuation is loss of power. During transit, light pulse lose some of their photons, thus
reduce their amplitude. Attenuation for a fiber is usually specified in decibels per kilometer. For
commercially available fibers attenuation ranges from 1 dB / km for premium small-core glass
fibers to over 2000 dB / Km for a large core plastic fiber. Loss is by definition negative decibels.
In common usage, discussions of loss omit the negative sign. The basic measurement for loss in
a fiber is done by taking the logarithmic ratio of the input power (Pi) to the output power (Po).

Where is Loss in dB / Meter


Procedure:
1. Connect the power supply to the board.
2. Make the following connection as shown in figure (3.a)
(a) Connect function generators 1 KHz sine wave output to input 1 socket of emitter 1
circuit via 4 mm lead.
(b) Connect 0.5m optical fiber between emitter 1output and detector 1s input.
(c) Connect detector 1 output to the amplifier1 input socket via 4 mm lead.
3. Switch on the power supply.
4. Set the oscilloscope channel 1 to 0.5 V/div and adjust 4-6 div amplitude by using X1
probe with the help of variable port in function generator block at input 1 of emitter 1.
5. Observe the output signal from the detector TP10 on CRO.
6. Adjust the output of the received signal same as that of transmitted one with the help of
gain adjust potentiometer in AC amplifier block. Note this amplitude and name it V1.
7. Now replace the FG cable with 1 m cable without disturbing any previous setting.
8. Measure the amplitude at the receiver side again at output of amplifier 1 socket TP28.
Note this value and name it V2
Calculate the propagation loss with the help of following formula
Where
= loss in nepers/meter
1 neper =8.686 dB
L1= Length of shorter cable (o.5 m)
L2=Length of longer cable (1 m)

Fig: - 3.a
Result: - Propagation loss in optical fiber has been studied and performs on kit.

Experiment 4
Objective :- To study bending loss in optical fiber.
Theory :- Whenever the condition for angle of incidence of the incident light is violated the
losses are introduced due to refraction of light. This occurs when fiber is subjected to bending.
Lower the radius of curvature more is the loss.
Procedure:
1. Connect the power supply to the board.
2. Make the following connection as shown in figure (4..a)
(a) Connect function generators 1 KHz sine wave output to input 1 socket of emitter
1 circuit via 4 mm lead.
(b) Connect 0.5m optical fiber between emitter 1output and detector 1s input.
(c) Connect detector 1 output to the amplifier1 input socket via 4 mm lead.
3. Switch on the power supply.
4. Set the oscilloscope channel 1 to 0.5 V/div and adjust 4-6 div amplitude by using X1
probe with the help of variable port in function generator block at input 1 of emitter 1.
5. Observe the output signal from the detector TP10 on CRO.
6. Adjust the output of the received signal same as that of transmitted one with the help
of gain adjust potentiometer in AC amplifier block. Note this amplitude and name it
V1.
7. Wind the optical fiber cable on the mandrel and observe the AC amplifier output on
the CRO. It will be gradually reducing showing loss due to bends.

Fig: -4.a
Result: - Fiber optics Binding losses has been studied and perform on kit.

Experiment-5
Objective: Write a VHDL program for the Half adder.
Software used: ModelSim SE EE 5.4 a
Program Code:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- This is the VHDL program for the half adder
entity ha is
Port ( a,b : in std_logic;sum,carry : out std_logic);
end ha;
architecture ha_arch of ha is
begin
sum <= a xor b;
carry <= a and b;
end ha_arch;
Output Waveform:

Result: -We have performed VHDL program for half adder.


Experiment No.6

Objective: -Write a Vhdl program for the Full Adder.


Software used: - ModelSim SE EE 5.4 a
Program Code:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity FA is
Port ( a,b,c : in std_logic;sum, carry : out std_logic);
end FA;
architecture FA_arch of FA is
begin
sum <= a xor b xor c;
carry <= (a and b) or (b and c) or (c and a);
end FA_arch;
Output waveform:

Result: -We have performed VHDL program for the Full Adder.
Experiment no: -7

Objective: Write a VHDL program for the 4:1 multiplexer.


Software used: - ModelSim SE EE 5.4 a
Program code: library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity multiplexer is
Port ( i: in std_logic_vector(3 downto 0);s : in std_logic_vector(1 downto 0);y :
out std_logic);
end multiplexer;
architecture MUL_arch of multiplexer is
begin
process (i,s)
begin
case s is
when "00" =>
y <= i(0);
when "01" =>
y <= i(1);
when "10" =>
y <= i(2);
when "11" =>
y <= i(3);
when others => null;
end case;
end process;
end MUL_arch;

Output waveform: -

Result:- We have performed VHDL program for the 4:1 multiplexer.

Experiment no.-8
Objective: Write a VHDL program for the 8:3 Decoder
Software used: ModelSim SE EE 5.4 a

Program code:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity decoder is
port(A,B,ENABLE:in BIT;Z:out BIT_VECTOR(0 to 3));
end decoder;
architecture Decoder1 of decoder is
begin
process(A,B,ENABLE)
variable ABAR:BIT;
variable BBAR:BIT;
begin
ABAR:=not A;
BBAR:=not B;
if ENABLE = '1' then
Z(3)<=not(A and B);
Z(0)<=not(ABAR and BBAR);
Z(2)<=not(A and BBAR);
Z(1)<=not(ABAR and B);
else
Z<="1111";
end if;
end process;
end Decoder1;

Output waveform:

Result: We have performed VHDL program for the 8:3 decoder.

Das könnte Ihnen auch gefallen