Sie sind auf Seite 1von 13

FUNDAMENTOS DEL PLD Dispositivos Lgicos Programables

TERMINOLOGA DE LA MEMORIA
Celda de Memoria .- Dispositivo o circuito elctrico que se usa para almacenar un solo
bit(0 o 1), ejemplos de celdas de memoria son: un flip-flop, un capacitor con carga, y un
solo canal en cinta o en disco magnticos.
Palabra de Memoria .- Grupo de bits(celdas) en una memoria que representa
instrucciones o datos de algn tipo.
Byte .- Trmino especial que se usa para una palabra de 8 bits.
Capacidad .- Forma de especificar cuntos bits pueden almacenarse en un dispositivo
de memoria particular o bien en un sistema de memoria completo.
Direccin .- Nmero que identifica la localidad de una palabra en la memoria. Cada
palabra almacenada en un dispositivo de memoria o sistema de memoria tiene una
direccin nica. Las direcciones siempre se especifican como un nmero binario,
aunque algunas veces se utilizan nmeros octales, hexadecimales, y decimales por
conveniencia.
Operacin de lectura .- La operacin con la cual la palabra binaria almacenada en una
localidad (direccin) especfica de la memoria es captada y despus transferida a otro
dispositivo.
Operacin de escritura .- Operacin por medio de la cual se coloca una nueva palabra
en cierta localidad de la memoria. Tambin se llama operacin de almacenar.
Tiempo de acceso .- Es la cantidad de tiempo que se requiere para realizar una
operacin de lectura(medida de la velocidad).
Memoria voltil .- Cualquier tipo de memoria que requiere la aplicacin de energa
elctrica a fin de almacenar informacin.
Memoria de acceso aleatorio (RAM) .- Memoria en la cual la localizacin fsica real
de una
palabra de la memoria no tiene efecto sobre tiempo que se tarda en leer de esa localidad
o bien escribir en ella.
Memoria con acceso secuencial (RAM) .- Tipo de memoria en la cual el tiempo de
acceso no es constante, sino que varia segn la localidad de la direccin.
Memoria de lectura y escritura (RWM) .- Cualquier memoria de la que se puede leer
informacin o bien escribir en ella con la misma facilidad.
Memoria slo de lectura (ROM) .-Extensa clase de memorias de semiconductor
diseadas para aplicaciones donde la proporcin de operaciones de lectura a

operaciones de escritura es muy alta. En trminos tcnicos, en una ROM slo puede
escribirse(programarse) una vez y esta operacin normalmente se efecta en la fbrica.
Dispositivo de memoria esttica .- Dispositivos de memoria de semiconductor en los
cuales los datos almacenados se quedarn permanentemente guardados en tanto se
aplique energa, sin necesidad de escribir peridicamente los datos en memoria.
Dispositivos de memoria dinmica .- Dispositivos de memoria de semiconductor en
los cuales los datos almacenados no se quedarn permanentemente guardados aun con
energa aplicada.
Memoria interna .- Tambin recibe el nombre de memoria principal de la
computadora. En ella se guardan las instrucciones y datos sobre los que el CPU trabaja.
Memoria secundaria .- Este tipo de memoria tambin se conoce como memoria
auxiliar. Almacena grandes cantidades de informacin externa a la memoria interna de
la computadora.
OPERACIN GENERAL DE LA MEMORIA
Aunque cada tipo de memoria es diferente en su operacin interna, ciertos principios
bsicos de operacin son los mismos para todos los sistemas de memoria.
Todo sistema de memoria requiere varios tipos diferentes de lneas de E/S para
desempear las funciones siguientes:
Seleccionar la direccin de la memoria a la que se quiera tener acceso para una
operacin de lectura o escritura.
Seleccionar una operacin de lectura o bien de escritura para ser efectuada.
Proporcionar los datos de entrada para ser almacenados en la memoria durante una
operacin de escritura.
Retener los datos de salida que viene de la memoria durante una operacin de
lectura.
Habilitar (o deshabilitar) la memoria de manera que responda (o no) a las entradas
de direccin y al comando de lectura /escritura.
MEMORIA DE SOLO LECTURA (ROM).
Las memorias de solo lectura son un tipo de memoria de semiconductor que estn
diseadas para retener datos que son permanentes o que no cambian con mucha
frecuencia. Durante la operacin normal, no pueden escribirse nuevos datos en
una ROM pero si se puede leerse informacin de ella.
El proceso de grabar datos se conoce como programacin de la ROM .

Las ROMs se utilizan para almacenar datos e informacin que no cambiara durante la
operacin normal de un sistema. Ya que todas las ROM son voltiles, estos programas
no se pierden cuando la microcomputadora es desconectada. Cuando se enciende el
programa de inmediato puedes empezar a ejecutar el programa almacenado en ROM.
DIAGRAMA DE BLOQUES EN ROM
Tiene tres conjuntos de seales: entradas de direccin, entrada(s) de control y salidas de
datos.
Las salidas de datos de muchos circuitos integrados de ROM son salidas de tres estados
para permitir la conexin de muchos circuitos ROM al mismo canal de datos para lograr
la expansin de la memoria.
La entrada de control CS significa seleccin de C.I . Esta es esencialmente una entrada
de habilitacin que habilita o deshabilita la salida ROM.
LA OPERACIN DE LECTURA
Afin de leer una palabra una palabra de datos de la ROM necesitamos hacer dos cosas:
aplicar las entradas de direccin adecuadas y luego activar las entradas de control.
ARQUITECTURAS DE LA ROM
La arquitectura (estructura) de un CI ROM es muy compleja, y no necesitamos conocer
todos sus detalles. Sin embargo, es constructivo observar un diagrama simplificado de la
estructura interna.
ARREGLOS DE REGISTROS
El arreglo de registros almacena los datos que han sido programados en la ROM. Cada
registro contiene un numero de celdas de memoria que es igual al tamao de la palabra .
En este caso, cada registros almacena una palabra de 8 bits. Los registros se disponen en
un arreglo de matriz cuadrada que es comn a muchos circuitos de semiconductor.
Las 8 salidas de datos de cada registro se conectan a un canal de datos internos que
corre a travs de todo el circuito. Cada registro tiene dos entradas de habilitacin (E);
ambas tienen que ser ALTAS a fin de que los datos del registros sean colocados en el
canal.
DECODIFICADORES DE DIRECCIONES
El cdigo de direccin aplicado A3 A2 A1 A0 determina que registros ser habilitado
para colocar su palabra de datos en 8 bits en el canal. Los bits de direccin A1 A0 se
alimentan a un decodificador 1 de 4 que activa una lnea de seleccin de rengln, y los
bits de direccin A3 A2 se alimentan a un segundo decodificador 1 de 4 que activa una
lnea de seleccin de columna. Solamente un registro estar en el rengln y la columna
seleccionados por las entradas de direccin y este estar habilitado.
BUFFERS DE SALIDA

El registro habilitado por las entradas de seleccin coloca el dato que tiene sobre el
canal de datos. Estos datos entran en los buffers de salida, mismos que se encargan de
trasmitirlos hacia la salida externas siempre y cuando CS este en BAJO. Si esta en
ALTO, los buffers de salida se encuentran en el estado de alta mpedencia, con lo que
D7 hasta D0 estar flotando.
TIPOS DE ROMs
Ahora que tenemos un conocimiento general de la arquitectura interna y operacin
externa de los dispositivos ROM, veremos brevemente los diversos tipos de ROMs para
observar como difieren en la forma en que son programados, borrados y reprogramados.
ROM PROGRAMADA PARA MASCARILLA
Este tipo de ROM tiene sus localidades de almacenamiento escritas (programadas ) por
el fabricante segn las especificaciones del cliente. Se utiliza un negativo fotogrfico
llamado mascarilla para controlar las conexiones elctricas en el circuito.
La ROM tendra que ser reemplazada por una nueva con el programa deseado escrito en
ella. Se han creado varios tipos de ROMs programables para el usuario, para superar
esta desventaja sin embargo, las ROMs programadas por mascarilla todava representan
el enfoque ms econmico cuando se necesita una cantidad considerable de ROMs
identificadamente programadas.
Las MROMs bipolares se encuentran disponibles en varias capacidades, todas ellas
pequeas. Una de las mas populares es la 74.187, la cual se encuentra organizada como
una memoria de 256*4, y tiene un tiempo de acceso de 40 ns.
ROM programables (PROM) .
Una ROM programable por mascarilla es muy costosa y no se utilizara excepto en
aplicaciones de grandes volmenes, donde el costo ser repartido sobre muchas
unidades.
Los fabricantes han creado PROMs con conexin fusible, que no se programa durante el
proceso de fabricacin si no que son programadas por el usuario.
La estructura de la PROM con conexiones fusibles es muy semejante ala MROM en
cuanto que ciertas conexiones quedan intactas o bien son abiertas a fin de programar
una celda de la memoria como 1 o 0 respectivamente.
Una vez que se han programado todas las direcciones deseadas de esta manera, los datos
estarn permanentemente almacenados en la PROM y podrn ser ledos una y otra vez
la direccin apropiada.
El proceso de programar una PROM y verificar que los datos almacenados son
correctos, es raramente hecho a mano; en lugar de ser asi, se hace automticamente por
un aparato especial llamado programador de PROMs.

Los datos a ser almacenados dentro de la PROM , son ingresados al programador desde
un teclado.
ROM programable y borrable ( EPROM )
. Una EPROM puede ser programada por el usuario y tambin puede borrarse y
reprogramarse tantas veces como se desee.
El proceso de programacin generalmente es efectuado por un circuito especial de
programacin que esta separado del circuito en el cual la EPROM eventualmente
trabajara.
En una EPROM las celdas de almacenamiento son transistores MOSFET que tienen una
compuerta de silicio sin ninguna conexin elctrica( es decir una compuerta flotante).
Una vez que se ha programado una celda de la EPROM, se puede borrar su contenido
exponiendo la EPROM ala luz ultravioleta (UV), la cual se aplica a travs de la ventana
que se encuentra sobre el encapsulado del circuito.
La luz ultravioleta produce una fotocorriente que va desde la compuerta flotante hacia el
sustrato de silicio; La luz ultravioleta(UV) borra todas las celdas al mismo tiempo por lo
que en una EPROM borrada almacena solamente unos lgicos.
Las EPROM se encuentran disponibles en el mercado en una amplia gama de
capacidades y tiempos de acceso; es comn encontrar dispositivos con una capacidad de
128K * 8 y un tiempo de acceso de 45ns.
Las EPROM se disearon originalmente para ser empleadas en aplicaciones de
investigacin y desarrollo, donde es muy comn la necesidad de alterar cierto nmero
de veces el programa almacenado.
Tambin tiene sus inconvenientes que son:
1.-hay que sacarlas de su circuito para borrarlas y reprogramarlas;
2.-la operacin de borrado borra todo el microcircuito no hay forma de seleccionar solo
ciertas direcciones que se desean borrar.
3.-el trabajo tpico de borrar y reprogramar puede requerir de 20 minutos o mas.
PROM elctricamente borrable (EEPROM)
Las ventajas de la EPROM se eliminaron con la produccin de la PROM elctricamente
borrable (EEPROM)que fue una mejora con respecto de la EPROM. La EEPROM
conserva la estructura de una compuerta flotante de la EPROM pero con la inclusin de
una regin muy delgada encima del electrodo de drenaje de la celda de memoria
MOSFET.
Otra ventaja de la EEPROM con respecto de la EPROM es la facilidad de borrar y
volver a programar elctricamente bytes individuales (palabra de 8 bits) en la matriz de

memoria. Esta facilidad de borrar memoria bytes facilita mucho efectuar cambios en los
datos almacenados en una EEPROM.
Las primeras EEPROMS, como las 2816 de Intel, requeran un circuito adecuado de
soporten en el exterior de los microcircuitos de memoria.
La facilidad para borrar bytes de la EEPROM y su alto grado de integracin viene
acompaados de dos aspectos negativos: densidad y costos. La complejidad de las
celdas de memoria y los circuitos de soporte en el microcircuito integrado hacen que las
EEPROMs vayan muy a la zaga de la EPROM en capacidad de bits por milmetro
cuadrado de silicio.
Para escribir (programar) en una localidad de la memoria, se deshabilitan los buffers de
salida a fin de que los datos que se van a escribir se puedan aplicar como entradas a las
terminales de E/S.
La 2864 tiene un modo de escritura acrecentado, que permite al usuario escribir hasta 16
bytes de datos en la memoria intermedia de flip-flop, donde es retenida mientras el
circuito de la EEPROM borre las localidades de la memoria.
Luego, los 16 bytes de datos se transfieren a la matriz de EEPROM para ser
almacenados en esas localidades. Este proceso tambin requiere alrededor de
5 ms.
MEMORIAS INSTANTNEAS
Las EPROMs no son voltiles, ofrecen tiempos cortos para acceso (tpicamente 120 ms)
y tiene alta densidad y bajo costo por bit. No obstante, es necesario extraerlas de sus
sistemas de circuitos para borrarlas y reprogramarlas.
El reto para los ingenieros especialistas en semiconductores fue fabricar una memoria
no voltil, con la facilidad para borrar con electricidad en el circuito de las EPROMs,
reteniendo a la vez el acceso de alta velocidad para lectura de ambas La respuesta a este
reto fue la memoria instantnea o de rfaga.
Las memorias instantneas o de rfaga se llaman as por sus muy cortos tiempos para
borrar y escribir. La mayor parte de los microcircuitos para estas memorias incluyen una
operacin de borrado masivo en la que todas las celdas del microcircuitos se borran en
forma simultanea.
Una memoria instantnea tpica tiene un tiempo de escritura de 10 us por byte
comparada con 100us para la EPROM mas avanzada y 5ms para EEPROM ( que
incluye tiempo para borrado automtico de un byte ala vez)
EL CI 28F256A CMOS de memoria instantnea
Las entradas de control CE , OE, WE manejan lo que ocurre en las terminales de datos
casi en la misma forma que para la EEPROM 2864, estas terminales de datos por lo
general estn conectados a un canal de datos. Durante una operacin de escritura, se

transfieren los datos por el canal, por lo general desde el microprocesador y hacia
adentro del microcircuito.
Durante una operacin de lectura, los datos del microcircuito se transfieren por el canal
de datos, generalmente al microprocesador.
El funcionamiento del microcircuito de esta memoria instantnea podr comprenderse
mejor si se examina su estructura interna. La lgica del control del estado examina el
contenido de este registro y genera seales lgicas y de control para el resto de los
circuitos en el microcircuito para ejecutar los pasos de la operacin. Ahora veamos
cmo esto se aplica para algunos de los comandos u ordenes.
COMANDO DE LECTURA
Para preparar el microcircuito para operaciones de lectura, primero es necesario escribir
todos los ceros (000000002 = 0016 ) en el registro de comandos. Esto se ha aplicado
0016 a las terminales de datos y aplicando pulsos a WE para que se vaya BAJA
mientras que CE=BAJA y OE=ALTA. Los datos de la memoria se leen en la forma
usual: (1) aplique la direccin de la cual se lera; (2) coloque WE=ALTA y CE=BAJA y
aplique pulsos a OE para que se vaya a baja afn de habilitar las memorias intermedias
de salida para que pasen los datos desde la matriz de la celda hasta las terminales de
salida de datos.
COMANDOS DE ESTABLECER BORRADO Y BORRAR.
Para borrar todo el contenido de la matriz se requieren dos pasos: (1) escribir el cdigo
2016 en el registro de comandos a fin de establecer el microcircuito para la operacin de
borrar y (2) volver a escribir el cdigo 2016 en el registro de comandos para iniciar la
operacin de borrado.
COMANDO DE COMPROBAR BORRADO
Despus de la operacin de borrado es necesario comprobar que se hayan borrado todas
las celdas de memoria; es decir, que todos los bytes= 111111112 = FF16. Esta operacin
de comprobar el borrado se debe efectuar en cada direccin de byte. Para iniciarla se
escribe AO16 en el registro de comandos.
ESTABLECER PROGRAMA / COMANDOS PARA ELPROGRAMA.
El dispositivo se puede establecer para programacin por bytes si se escribe el cdigo
4016 en el registro de comando. Esto contina, escribiendo los datos que se van a
programar en la direccin deseada.
COMANDO DE COMPROBAR EL PROGRAMA.
Despus de haber programado un byte en una direccin, se debe comprobar el contenido
de la direccin para tener la certeza de que se ha programado exitosamente. Para ello,
primero se escribe el cdigo CO16 en el registro de comandos para iniciar la operacin
de comprobacin, luego se continua con una operacin de lectura, afin de poder
comprobarlos con los datos deseados.

APLICACIONES DE LA ROM
Las ROM pueden utilizarse en cualquier aplicacin que requiera almacenamiento de
datos no voltil, donde estos rara vez o nunca tengan que ser alterados:
Almacenamiento de programas en microcomputadoras programacin en
firme(firmware)
Las microcomputadoras personales y de empresas usan ROM para almacenar sus
programas de sistema operativo y sus intrpretes de lenguaje (BASIC). Los
productos que tienen una microcomputadora para controlar su operacin utilizan
ROM para almacenar programas de control. Los programas de
microcomputadora que se almacenan en ROM se conocen como programas
firme(firmware) ya que no estn sujetos a cambios.
MEMORIA DE ARRANQUE
Muchas microcomputadoras y la mayor parte de las computadoras grandes, no guardan
sus programas de sistema operativo en ROM. En lugar de ello, dichos programas estn
guardados en memoria externa, por lo general en disco magntico. En la ROM se
guarda un pequeo programa de aranque. Cuando se prende la PC, sta ejecuta las
instrucciones que se encuentran en el programa.
TABLAS DE DATOS
Las ROM se usan para almacenar tablas de datos que no varan. Algunos ejemplos
de stas son las tablas trigonomtricas(sen, cos, etc).
CINVERTIDOR DE DATOS
El circuito convertidor de datos toma un dato expresado en cierto cdigo y produce
como salida el mismo dato pero en otro cdigo. Por ejemplo, la conversin de cdigo
se necesita cuando una computadora saca datos en cdigo binario y se desea
convertirlo en BCD a fin de exhibirlo en exhibiciones LED de 7 segmentos.
GENERADORES DE CARACTERES
Si el lector alguna vez ha observado de cerca caracteres alfanumricos (letras,
nmeros, etc) impresos en el monitor de una PC, seguro a notado que cada uno se
forma en trminos generales, con un grupo de puntos.
Una ROM generadora de caracteres almacena los cdigos del modelo de puntos por
cada carcter ubicado en una direccin correspondiente al cdigo ASCII de ese
carcter.
GENERADOR DE FUNCIONES
El generador de funciones es un circuito que produce formas de onda tales como ondas
senoidales, diente de sierra, triangulares y cuadradas.

La ROM guarda 256 distintos valores de ocho bits que corresponden cada uno a un
valor diferente de la forma de onda(a un punto con diferente voltaje sobre la onda).
DISPOSITIVOS LOGICOS PROGRAMABLES
Los diseadores lgicos tienen una amplia gama de CIs disponibles con numerosas
funciones lgicas y arreglos de circuitos sobre el mismo CI.
Sin embargo existen algunos problemas con los diseos de circuitos y sistemas que
utilizan solo Cis estndares. Algunos diseos pueden requerir de cientos o miles de estos
Cis.
La reduccin de los Cis empleados en un diseo pueden tener varias ventajas: menos
espacio sobre la tarjeta, lo que significa menos espacio sobre la tarjeta, lo que significa
menos tarjetas de circuito impreso y gabinetes mas pequeos.
Para reducir el numero de Cis utilizados en un diseo, es necesario colocar cada vez
mas funciones lgicas sobre un CI. Esto por supuesto se hace con tecnologas LSI y
VLSI para funciones estndar tales como las memorias, microprocesadores,
sintetizadores de voz, calculadoras y haci sucesivamente.
El reciente desarrollo de los dispositivos lgicos programables (PLDs) ofrece a los
diseadores lgicos una manera de remplazar varios Cis estandares con un solo CI.
Se dice que el CI es programable porque la funcin especifica que este realice en una
determinada aplicacin esta determinada por la interrupcin selectiva de algunas de las
conexiones mientras que al mismo tiempo se dejan otras intactas.
IDEA BASICA
Esta muestra un arreglo de compuertas AND y otro de compuertas OR que se pueden
conectar entre si para generar cuatro salidas, cada una de las cuales puede ser cualquier
funcin lgica de las dos variables de entrada AyB.
Cada entrada alimenta dos buffers, uno no inversor y otro inversor para producir las
formas verdaderas y negada de cada variable. estas son las lneas de entrada hacia el
arreglo de compuertas AND.
Cada una de las lneas producto esta conectada, mediante una conexin fusible, a una de
ls cuatro entradas que tiene cada compuerta OR cuando todas las condiciones iniciales
estn intactas la salida de cada compuerta OR ser un 1 constante.
SIMBOLOGIA DEL PLD
Usted se puede imaginar la complejidad del diagrama correspondiente a un PLD que
tiene una cantidad mayor de entradas.
Las conexiones que vienen de las lneas de entradas para variables y que van hacia la
compuerta AND estn sealadas con una X o con un punto. Una X representa una
conexin fusible intacta. Un punto representa una conexin alambrada ( es decir, que no

puede cambiarse). La ausencia de cualquiera de estos dos smbolos significa que no


tiene conexin.
ARQUITECTURA DEL PLD--- la PROM
Existen varias arquitecturas comunes utilizadas para los PLD.
Escriba los productos AND para aquellos casos donde la salida es 1, la salida0 es la
suma de OR de estos productos. De este modo, quedaran intactas solo aquellas
conexiones fusibles en las entradas de las demas compuertas OR.
La PROM puede generar cualquier cualquier funcin lgica posible de las variables de
entrada debido a que genera todos los trminos AND posibles.
Un ejemplo de una PROM real que se emplea con frecuencia como PLDes la
AM27S13, que es una PROM de 512 * 4 fabricada con tecnologa Schottky TTL de alta
velocidad dado que 512=2^9, esta PROM tiene nueve entradas para las direcciones y
cuatro para dar salida a los datos.
LOGICA EN UN ARREGLO PROGRAMABLE (PAL)
La arquitectura de una ROM es muy apropiada donde se requiere cualquier
combinacin de las entradas para generar las salidas.
Ejemplo de esto son los convertidores de cdigo y las tablas para almacenar datos
La PAL tiene los mismos arreglos AND y OR que las PROMs pero en la PAL son
programables las entradas de las compuertas AND mientras que las compuertas OR son
alambradas.
Esto significa que se puede programar cualquier compuerta AND para generar cualquier
producto deseado de las cuatro variables de entrada junto con sus respectivos
complementos.
El primer paso es expresar esta salida como la suma lgica de cuatro terminos debido a
que las compuertas OR tienen cuatro entradas. Esto lo hacemos poniendo los ceros.
El siguiente paso es determinar como programar las entradas de las compuertas AND
1,2,3 y 4 de forma que estas proporcionen los productos lgicos correctos para las
compuertas OR numero tres.
Las entradas a las dems compuertas AND se programan de manera similar para generar
otras funciones lgicas de salida, en particular. Observese que las entradas de muchas de
las compuertas AND tienen todas sus conexiones fusibles intactas, debido a que es
necesario que dichas compuertas generan ceros.
ARREGLOS LGICOS PROGRAMABLES (PLAs)
Una PLA combina las caractersticas de la PROM y el PAL proporcionado tanto un
arreglo OR programable como un arreglo AND tambin programable.

FUSIBLE DE POLARIDAD
Muchos PLDs incluyen una caracterstica de polaridad programable de salida que
brinda al diseador la opcin de invertir cualquiera de las salidas.
OTRAS CARACTERSTICAS DE LOS PLD
Muchos PLDs incluyen uno o mas de los siguientes componentes como parte de su
arquitectura. FFs, registros bsicos, requiere de entraday registros de salida. Lo anterior
da al diseador lgico una mayor flexibilidad en el diseo de contadores y otros
circuitos lgicos secuenciales. Algunas veces este tipo de PLD recibe el nombre de
secuenciador lgico programable.
PROGRAMACIN
Cuando los PLDs fueron introducidos por primera vez, el diseador lgico tenia que
desarrollar un mapa de conexiones fusibles que mostraba las conexiones que deba
quemarse y lo enviaba al fabricante de la PROM o FPLA.
El dispositivo que va a programarse se coloca en la base del programador: este
programa y prueba que el dispositivo este de acuerdo con los datos que fueron
proporcionados por el usuario.
La programacin junto con los datos de prueba se desarrollan utilizando para ello
software disponible en el mercado que se pueda ejecutar una computadoras
personales estandar.
PLDs BORRABLES
Los PLDs estudiaron hasta este momento son programados por la quema de conexiones
fusibles.
Una vez que el fusible esta quemado, no es posible volverlo a conectar. De este modo, si
usted comete un error en la programacin o si desea cambiar el diseo, el diseo ya no
tendr utilidad y tendr que desecharse.
Estos dispositivos, denominados dispositivos lgicos programables borrables (EPLDs),
se programan y borran igual que las EEPROMs
ARQUITECTURA DE LA RAM
Como sucede con la ROM, la RAM consta de varios registros, cada uno de los cuales
almacena una sola palabra de datos y con una direccin nica. Las RAM comnmente
vienen con capacidades de palabras de 1K, 4K, 8K, 16K,32K, 64K, 128K, 256K y
tamaos de palabras de 1, 4 u 8 bits.
Operacin de lectura .- El cdigo de direccin selecciona un registro del circuito de
memoria para leer o escribir. A fin de leer el contenido del registro seleccionado, la
entrada LECTURA /ESCRITURA(R/ ) debe ser un 1. La entrada CS(SELECCIN DE
CI) debe ser activada.

Operacin de escritura.- Para escribir una palabra de 4 bits en el registro seleccionado se


requiere que R/ = 0 y CS = 1. Esta combinacin habilita los buffers de entrada de
manera que la palabra de cuatro bits aplicada a las entradas de datos se cargar en el
registro seleccionado.
Seleccin de CI .- Muchos circuitos de memoria tienen una o ms entradas CS que usan
para habilitar o deshabilitar al circuito en su totalidad.
Terminales comunes de entrada /salida .- La entrada R/ controla la funcin de estas
terminales E/S. Durante una operacin de lectura, las terminales E/S actan como
salidas de datos que reproducen el contenido de la localidad de direccin. Durante una
operacin de escritura, las terminales E/S actan como entradas de datos.
RAM ESTATICA (SRAM)
La memoria RAM esttica es aquella que puede almacenar datos mientras se aplica
energa al circuito.
Las RAM estticas (SRAM) se encuentran disponibles en tecnologas bipolar y MOS,
aunque la vasta mayora de las aplicaciones hacen uso de RAM NMOS o bien CMOS.
Temporizacin de la RAM esttica .- Los CI de la RAM son los que ms
frecuentemente se utilizan como la memoria interna de una computadora. Los circuitos
de memoria que se conectan con la CPU tienen que ser lo suficientemente rpidos para
responder a los comandos de lectura y escritura de la CPU.
Ciclo de lectura .- El ciclo de lectura comienza en el tiempo t0. Las entradas de
direcciones tendrn la que se encuentre sobre el canal de direcciones, la cual
corresponde a la de la operacin previa. Dado que la entrada de seleccin de Cl de la
RAM no est activa, sta no responder a la anterior direccin. En t0 la CPU enva
una nueva direccin a las entradas de la RAM; sta es la direccin de la localidad donde
se realizar la operacin se estabilicen, se activa la lnea CS.
Ciclo de escritura.- En t1, durante el intervalo de tiempo de escritura, la CPU enva
sobre el canal de datos el dato vlido que va a escribirse en la RAM. Los datos tienen
que mantenerse en la entrada RAM por lo menos por un intervalo de tiempo tDS previo
a, y por lo menos un intervalo tDH despus de, la desactivacin de las seales. El
intervalo tDS recibe el nombre de tiempo de establecimiento del dato mientras que tDH
se denomina tiempo de retencin del dato.
El ciclo de escritura completo termina cuando la CPU cambia el estado de las lneas de
direcciones para colocar ellas una nueva direccin.
Circuito real SDRAM .- Un ejemplo de un CI real SDRAM es la CMOS 6264 que es
una memoria de 8K x 8 con ciclos de lectura y escritura de 100 ns y un consumo de
potencia 0.1 mW en el estado de espera.
RAM DINAMICA

Las RAM dinmicas se fabrican con tecnologa MOS y se caracterizan por su gran
capacidad, bajos requerimientos de consumo de potencia y velocidad de operacin
media.
A diferencia de las RAM estticas, las cuales guardan informacin en FF, las RAM
dinmicas guardan los unos y ceros como cargas sobre pequeos capacitores MOS.
Dada la tendencia que tienen estas cargas a fugarse despus de cierto tiempo, las RAM
dinmicas requieren de la carga peridica de las celdasde memoria; este proceso recibe
el nombre de refresco de la memoria RAM dinmica.

Das könnte Ihnen auch gefallen