Sie sind auf Seite 1von 16

UNIVERSIDAD TECNICA FEDERICO SANTA MARIA

DEPARTAMENTO DE ELECTRONICA
ELO211 Sistemas Digitales

15.4 Diseo de Contadores.


Es una componente bsica de diseo de mquinas digitales.
En los diagramas de estados de contadores, no suelen anotarse las entradas, el cambio de estado se
produce con el canto del reloj (es decir cuenta los cantos del reloj).
La asignacin de estados se elige de tal manera que el estado refleje la cuenta; son mquinas de Moore.
Los diseos se efectan con JK, y tambin con D. Las ecuaciones con flip-flops de tipo D pueden
implementarse directamente con lgica programable.
Pueden clasificarse segn la forma de contar: en binario, en bcd, o en secuencias especiales. Tambin
pueden ser progresivos o regresivos( cuentan en forma ascendente o descendente).
Segn el tipo de implementacin pueden clasificarse en sincrnicos o asincrnicos. En los primeros, el
estado de todos los flip-flops cambia con el reloj. Algunos tienen las seales de clear y reset tambin
sincrnicas con el reloj.
Se vern a continuacin algunos contadores sincrnicos binarios mdulo potencia de dos.
Contador mdulo 4.
Especificacin a travs de una matriz de transiciones:
Estado Presente Prximo estado
00
01
01
10
10
11
11
00
Efectuando un mapa:

Q1

Q0

01
0

10
0

1
0
2

11
0
00
0

1
3

Q1+ Q0+
Si la cifra menos significativa es Q0 y la ms significativa es Q1, se tienen:
Q1+ = Q0Q1' + Q0'Q1 = J1Q1' +K1'Q1 = D1
Q0+ = Q0'
= J0Q0' +K0'Q0 = D0
Las que implican, para flip-flops JK:
J1 = Q0K1 = Q0
J0 = 1
K0 = 1
Y para flip-flops D:
D1 = Q0Q1' + Q0'Q1
D0 = Q0'

Prof. Leopoldo Silva Bijit.

21-08-2016

244

UNIVERSIDAD TECNICA FEDERICO SANTA MARIA


DEPARTAMENTO DE ELECTRONICA
ELO211 Sistemas Digitales

Contador mdulo 8.
Especificacin a travs de una matriz de transiciones:
Estado Presente Prximo estado
000
001
001
010
010
011
011
100
100
101
101
110
110
111
111
000
Si la cifra menos significativa es Q0 y la ms significativa es Q2, se tienen:
reset

Q2Q1
00
Q0

01
0

001

010

011

11
2
3

100

111

000
111

10
6
7

000

101
110

001

4
5

010

110

Q2+ Q1+ Q0+

101

011
100

Notar que en el diagrama de estados, no hay seal de entrada asociada a las transiciones. El cambio de
estado se produce con el canto del reloj. La salida es el estado, y el diagrama corresponde a un modelo
de Moore.
Resultan:
Q2+ = Q1Q0Q2' + Q2(Q1' + Q0') = J2Q2' +K2'Q2 = D2
Q1+ = Q0Q1' + Q0'Q1
= J1Q1' +K1'Q1 = D1
Q0+ = 1Q0' + 0 Q0
= J0Q0' +K0'Q0 = D0
En el caso de emplear flip-flops de tipo D, los programas resultan por simple lectura del mapa de la
matriz de transiciones. Ya que: Di = Qi+
Para diseo con JKs, conviene leer los mapas buscando los factores de Qi y Qi'. Y luego comparar los
coeficientes de las ecuaciones caractersticas para encontrar los Ji y Ki'.

Prof. Leopoldo Silva Bijit.

21-08-2016

245

UNIVERSIDAD TECNICA FEDERICO SANTA MARIA


DEPARTAMENTO DE ELECTRONICA
ELO211 Sistemas Digitales

Se logran:
J2 = Q1Q0
J1 = Q0
J0 = 1

K2 = Q1Q0
K1 = Q0
K0 = 1

Usando flip-flops JK, el diseo puede representarse en un esquemtico:


+V

rst'

J
CP
K

Q
_
Q

Q2

Q1

Q0

Q
J
CP _
K Q
R

J
CP
K

Q
_
Q

clk
clk

Notar que los flip-flops operan con el canto de bajada del reloj.
Se destaca un reset asincrnico: cuando la seal rst' (de lgica negativa) tiene un canto de bajada, se
activa. Entonces, en ese momento, todos los flip-flops van a cero(1), y se tiene al contador en el estado
inicial. Permanece en ese estado hasta que se desactiva la seal rst'; es decir cuando rst' = 1, luego de lo
cual, en el prximo canto de bajada del reloj, se pasar al prximo estado. El pequeo crculo que est
en la entrada de reset, indica que es una seal de lgica negativa; y es convencional describirla con el
nombre negado: rst'.
Las formas de ondas muestran el tiempo de propagacin de los flip-flops (tf); es decir el tiempo que
transcurre desde el canto hasta que se tiene salida estable en las patas Q de los flip-flops.
Ntese que todas las salidas cambian en el mismo momento, y que permanecen estables entre cantos de
bajada del reloj.
rst'
clk
Q2
Q1
Q0
tf

Prof. Leopoldo Silva Bijit.

21-08-2016

246

UNIVERSIDAD TECNICA FEDERICO SANTA MARIA


DEPARTAMENTO DE ELECTRONICA
ELO211 Sistemas Digitales

Contador mdulo 16.


Si la cifra menos significativa es Q0 y la ms significativa es Q3, se tienen:

Q1Q0

Q3Q2
00

01

11

10

12

13

15

11

00 0001 0101 1101 1001

01 0010 0110 1110 1010


11 0100 1000

0000 1100
14

10

10 0011 0111 1111 1011


Q3+ Q2+ Q1+ Q0+
Resultan:
Q3+ = Q1Q0Q2Q3' + (Q2'+ Q1' + Q0') Q3 = J3Q3' +K3'Q3 = D3
Q2+ = Q1Q0Q2' + Q2(Q1' + Q0')
= J2Q2' +K2'Q2 = D2
Q1+ = Q0Q1' + Q0'Q1
= J1Q1' +K1'Q1 = D1
Q0+ = Q0'
= J0Q0' +K0'Q0 = D0
Las que implican los programas de los flip-flops JK:
J3 = Q2Q1Q0
K3 = Q2Q1Q0
J2 = Q1Q0
K2 = Q1Q0
J1 = Q0
K1 = Q0
J0 = 1
K0 = 1
El esquema siguiente ilustra el diseo sincrnico. Debe notarse que aumentan las cargas de Q0 y que se
requiere una compuerta de mayor fan-in en la entrada del flip-flop ms significativo. El perodo
mnimo del reloj queda dado por: tf + tc + tsu. Con tf, el tiempo de propagacin desde el flanco del
reloj hasta la salida; tc tiempo de propagacin a travs de la compuerta; tsu tiempo de set-up del flipQ1
flop.
Q2
Q3
Q0
+V

rst'

Q
_
Q

J
CP
K
R

J
CP
K

Q
_
Q

J
CP
K

Q
_
Q

J
CP
K

Q
_
Q
R

clk
clk

Prof. Leopoldo Silva Bijit.

21-08-2016

247

UNIVERSIDAD TECNICA FEDERICO SANTA MARIA


DEPARTAMENTO DE ELECTRONICA
ELO211 Sistemas Digitales

Las formas de ondas de Q0, Q1, Q2, Q3, clk y reset' se ilustran a continuacin:

Puede variarse el diseo, liberando tener un flip-flop (el menos significativo) con mayor fan-out, y una
compuerta de mayor fan-in en la entrada del flip-flop ms significativo, del modo siguiente:
Q1

Q0

Q2

Q3

+V

Q
_
Q

J
CP
K

rst

J
CP
K

J
CP
K

Q
_
Q

J
CP
K

Q
_
Q

Q
_
Q

clk

clk

CP1 Q1
CP2 Q2

Este diseo, sigue siendo sincrnico, pero aumenta el perodo mnimo que puede tener el reloj de Q3.
Ahora se tiene que el perodo mnimo queda dado por: tf +2 tc + tsu, el cual implica una frecuencia
mxima menor que la del diseo anterior.
Contador binario de ripple, con salida asincrnica.
El siguiente diseo, basado en la experiencia e intuicin, es el contador que requiere menos hardware.
Los flip-flops JK estn conectados simulando un flip-flop T, y se emplea la capacidad de este flip-flop
de dividir por dos la frecuencia del reloj. Se muestra un esquema a continuacin:
Q0
+V

rst

Q1
+V

J
Q
CP _
K
Q
R

Q2
+V

Q
_
Q

J
CP
K
R

Q3
+V

J
CP
K

Q
_
Q
R

J
CP
K

Q
_
Q
R

clk

Prof. Leopoldo Silva Bijit.

21-08-2016

248

UNIVERSIDAD TECNICA FEDERICO SANTA MARIA


DEPARTAMENTO DE ELECTRONICA
ELO211 Sistemas Digitales

sta es la estructura interna del 7493. Donde Q0 es la salida Qa (pin 12), y se muestra conectada esta
salida al reloj de Q1 (Input B, en pin 1)
La propagacin de la transicin de la cuenta 15 a la cuenta cero, limita el perodo mximo del reloj, se
tiene para el mximo perodo: 4 tf +tsu. A continuacin se muestran las formas de ondas. Los tiempos
en que este contador permanece en cada estado no son regulares.
clk
Q0
Q1
Q2
Q3
rst'

Contador de anillo.
El siguiente esquema ilustra un registro de desplazamiento a la derecha, mquina secuencial que se
ver ms adelante, que se emplea como contador.
Q1

Q0

rst

S
J
Q
CP _
K Q
R
+V

J
Q
CP _
K
Q
R

Q2

J
Q
CP _
K Q
R

Q3

J
Q
CP _
Q
K
R

clk
clk

Debe notarse que el primer flip-flop requiere un set asincrnico para iniciar el funcionamiento del
contador. Adems como puede observarse en las formas de ondas los estados por los que pasa el
contador estn restringidos a una secuencia determinada. Pasa por: 0001, 0010, 0100, 1000
Q0
Q1
Q2
Q3
clk
rst

Una variacin, que permite duplicar los estados del "ring counter", es el contador de Johnson.

Prof. Leopoldo Silva Bijit.

21-08-2016

249

UNIVERSIDAD TECNICA FEDERICO SANTA MARIA


DEPARTAMENTO DE ELECTRONICA
ELO211 Sistemas Digitales

Debe notarse que Q3 se conecta a K0, y que Q3' se conecta a J0. Este contador pasa por ocho estados:
0000, 0001, 0011, 0111, 1111, 1110, 1100, 1000.
El contador de anillo y el de Johnson tienen salidas sincrnicas. El contador de Johnson tiene seal de
reset comn, y no requiere del inicio especial del de anillo. Para ambos se tiene que el perodo mnimo
queda dado por tf + tsu. Logrando mayores frecuencias de operacin.

rst

Q
_
Q

J
CP
K
R

Q2

Q1

Q0

J
CP
K

Q
_
Q
R

J
CP
K

Q
_
Q
R

Q3

J
CP
K

Q
_
Q
R
clk

clk
CP1 Q1
CP2 Q2

Con las siguientes formas de ondas:


Q0
Q1
Q2
Q3
clk
rst

En la familia TTL existen diversos tipos de contadores. Uno muy verstil es el 74163, que tiene seales
de carga y clear sincrnicas. Lo cual permite cargar un valor de cuenta inicial y tambin dejar en cero
en forma sincrnica con el reloj. Tambin se dispone de una seal que est alta cuando se est en el
estado ms alto; es decir cuando pasa al estado 1111, la seal se denomina RCO (ripple carry output).
Los controles anteriores permiten disear contadores especiales.
Por ejemplo uno que cuente desde un valor inicial hasta 1111 ( se logra conectando rco a la seal load).
Otro contador es uno que cuente desde 0000 hasta un valor dado; para ello se requiere decodificar el
estado y con esto alimentar clear.
No es recomendable emplear las entradas asincrnicas de los contadores para diseos especiales, como
los descritos antes, y debe restringirse su uso solamente para establecer el estado inicial.

Prof. Leopoldo Silva Bijit.

21-08-2016

250

UNIVERSIDAD TECNICA FEDERICO SANTA MARIA


DEPARTAMENTO DE ELECTRONICA
ELO211 Sistemas Digitales

74LS163A
74LS163A
CEP MR
MR
CET
CP
TC
PE
TC
Q3
D3
Q3
Q2
D2
D1
Q1
Q1
Q0
D0
Q0

CP1 Q1
CP2 Q2

Contador mdulo 32.


Por induccin, se tienen:
J4 = Q3Q2Q1Q0
J3 = Q2Q1Q0
J2 = Q1Q0
J1 = Q0
J0 = 1

RCO

Q3

Q2

Q1

Q0

K3 = Q3Q2Q1Q0
K3 = Q2Q1Q0
K2 = Q1Q0
K1 = Q0
K0 = 1

Q4+ = Q1Q0Q2Q3Q4' + Q4(Q3' + Q2'+ Q1' + Q0')


Q3+ = Q1Q0Q2Q3' + Q3(Q2'+ Q1' + Q0')
Q2+ = Q1Q0Q2' + Q2(Q1' + Q0')
Q1+ = Q0Q1' + Q0'Q1
Q0+ = Q0'

=
=
=
=
=

D4
D3
D2
D1
D0

A continuacin se ilustran contadores binarios sincrnicos que no son mdulo una potencia de dos.
Contador mdulo 7.
Si la cifra menos significativa es Q0 y la ms significativa es Q2, se tienen:

Q2Q1
00
Q0
0 001
1

010

01
0
1

011
100

11
2
3

000

000

10
6
7

101
110

4
5

Q2+ Q1+ Q0+

111

110

101

El estado 111 (7) se trata como superfluo.

Prof. Leopoldo Silva Bijit.

001

010

011
100

21-08-2016

251

UNIVERSIDAD TECNICA FEDERICO SANTA MARIA


DEPARTAMENTO DE ELECTRONICA
ELO211 Sistemas Digitales

Resultan:
Q2+ = Q1Q0Q2'+Q1'Q2
Q1+ = Q0Q1' + Q2'Q0'Q1
Q0+ = (Q2'+Q1')Q0'
Las que implican:
J2 = Q1Q0
J1 = Q0
J0 = Q2'+Q1'

= J2Q2' +K2'Q2 = D2
= J1Q1' +K1'Q1 = D1
= J0Q0' +K0'Q0 = D0
K2 = Q1
K1 = Q2 + Q0
K0 = 1

Contador mdulo 6.
Si la cifra menos significativa es Q0 y la ms significativa es Q2, se tienen:

Q2Q1
00
Q0

000

01
0

001

010

011
100

11
2
3

10
6
7

101
000

001

4
111

110

010

5
101

Resultan:
Q2+ Q1+ Q0+
Q2+ = Q1Q0Q2'+Q0'Q2
= J2Q2' +K2'Q2 = D2
Q1+ = Q2'Q0Q1' + Q0'Q1
= J1Q1' +K1'Q1 = D1
Q0+ = Q0' + 0 Q0
= J0Q0' +K0'Q0 = D0
Las que implican:
J2 = Q1Q0
K2 = Q0
J1 = Q2'Q0
K1 = Q0
J0 = 1
K0 = 1

011
100

En la familia TTL existen contadores asincrnicos como el 7493 que pueden contar en mdulo 8 16.
Y el 7490 que puede contar en mdulo 5 o por dcadas(Mdulo 10).

Prof. Leopoldo Silva Bijit.

21-08-2016

252

UNIVERSIDAD TECNICA FEDERICO SANTA MARIA


DEPARTAMENTO DE ELECTRONICA
ELO211 Sistemas Digitales

15. 5 Registros de desplazamiento.


Se denomina registro a una serie de flip-flops agrupados, con reloj y seales de control comn. En los
de desplazamiento se transfiere informacin de una celda( o flip-flop) hacia la adyacente, dentro del
mismo registro. Esta operacin se llama corrimiento(shift). El corrimiento puede ser a la izquierda o a
la derecha.
Debe considerarse en forma especial los bordes. Indicando con qu se llena el hueco que se produce; y
especificando que se hace con el bit que sale del registro.
Algunos de sus principales usos son:
a) Operaciones aritmticas.
Permite multiplicar y dividir por dos el contenido interpretado como binario de un registro. Esta
operacin puede producir rebalse y tambin truncamiento. Esto en caso que el resultado del producto
no pueda representarse en el registro; o en caso de una divisin de un nmero impar, respectivamente.
b) Operaciones lgicas.
Permite posicionar un bit cualquiera en otra posicin del registro. Esto favorece las operaciones
orientadas al bit. Una variante de esta operacin es la rotacin, en la cual lo que sale del registro se
introduce en el lugar vacante.
c) Conversin serie a paralelo.
Permite pasar una informacin binaria en serie a paralelo y vice-versa.
d) Generador de secuencias.
Si se conecta como registro de rotacin, puede generarse una secuencia de largo n, donde n es el
nmero de celdas.
e) Lnea de retardo.
Un valor en la entrada, sale n pulsos ms tarde en la salida.
f) Reconocedor de secuencias.
Si se conecta en las salidas de los flip-flops un decodificador puede reconocerse una secuencia cada
vez que se presente en la entrada.
g) Generador de cdigos de chequeo.
Se emplean para agregar informacin de chequeo a paquetes con informacin, de tal modo de
transmitir la informacin con datos de control, que permitan verificar la correcta recepcin del paquete.
h) Generador de secuencias binarias pseudo-aleatorias(PRBS).
Se emplean como generadores de ruido.
Ejemplo de diseo.
Se desea efectuar un corrimiento a la derecha. Es decir que con el canto del reloj el contenido del flipflop Q0 pase a Q1. Alternativamente: que el prximo estado de Q0 sea el valor actual de Q1.
x

J0
K0

Q0
Q0'

Entonces, se desea que:


Expandiendo en Q1 se logra:
Comparando con la ec. caracterstica:
Se logran: J1 = Q0; K1 = Q0'

J1

Q1

K1

Q1'

Q1+ = Q0 = D1
Q1+ = Q0Q1' +Q0Q1
Q1+ = J1Q1' +K1'Q1

Si se desea ingresar x a Q0, se debe cumplir: Q0+ = x = D0


En este caso se tendr: Q0+ = xQ0' +xQ0 = J0Q0' +K0' Q0

Prof. Leopoldo Silva Bijit.

21-08-2016

253

UNIVERSIDAD TECNICA FEDERICO SANTA MARIA


DEPARTAMENTO DE ELECTRONICA
ELO211 Sistemas Digitales

Se logran: J0 = x; K0 = x'
A continuacin se ilustra un registro de largo 4, en base a JK:
x
Data 8
Seq 7
6
5
4
3
CP1
2
CP2
1

rst

J
CP
K

Q
_
Q
R

Q2

Q1

Q0

Q
_
Q

J
CP
K
R

Q
J
CP _
K Q
R

Q3

Q
J
CP _
K
Q
R

clk
clk

Se muestran las formas de ondas cuando se aplica la secuencia x = 01101110


Debe notarse como el valor de x pasa a Q0, el valor de Q0 pasa a Q1, el de Q1 a Q2, etc..
x
rst
clk
Q3
Q2
Q1
Q0

Disponibles en el paol:
La componente 7491 es un 8-bit shift register, serial-in serial out gated input.
El 7494 es de shift register de 4 bits. Entrada paralela y salida serial. Adems tiene entrada serie.
EL 7495, es de 4 bits, tiene entrada serial, carga de entrada en paralelo, salida paralela, adems de
controles para corrimiento a la izquierda y a la derecha.
74164, 74165, 74166 son de 8 bits.
74194, 74195 y 74198 se denominan universales ( de 4 y 8 bits) y tienen carga paralela y corrimiento
en ambas direcciones.
Algunos de ellos estn disponibles en el simulador CircuitMaker. (95, 96, 164, 165, 166, 194, 195,
199)
Para el 74194 se analizan sus ecuaciones.
Con: SRSI shift right serial input; SLSI shift left serial input; A, B, C, D entrada paralela;
QA, QB, QC, QD salida paralela. S0 y S1 entradas de control.
S0=1 y S1= 1 generan la seal de carga paralela.
S0=0 y S1 =1 corrimiento izquierdo ( QA+ = QB, QB+ = QC, QC+ = QD, QD+ = SLSI )
S0=1 y S1 =0 corrimiento derecho ( QA+ = SRSI, QB+ = QA, QC+ = QB, QD+ = QC )

Prof. Leopoldo Silva Bijit.

21-08-2016

254

UNIVERSIDAD TECNICA FEDERICO SANTA MARIA


DEPARTAMENTO DE ELECTRONICA
ELO211 Sistemas Digitales

S0=0 y S1 =0 se inhibe el reloj, el registro mantiene su estado (hold).


El registro tiene control asincrnico de clear, que lo coloca en estado 0000. Y el evento que sincroniza
las transferencias es el canto de subida.
Asumiendo flip-flops D, se tienen las ecuaciones:
DA = (SRSI S1' +(S0' +S1')' A + QB S0' )'
DB = ( QA S1' +(S0' +S1')' B + QC S0' )'
DC = ( QB S1' +(S0' +S1')' C + QD S0' )'
DD = ( QC S1' +(S0' +S1')' D + SLSI S0' )'
Las ecuaciones anteriores permiten disear el registro usando un dispositivo programable.
Registros.
Coleccin de flip-flops con lgica y controles similares. Suelen compartir el reloj, y las lneas
asincrnicas de set y clear.
El siguiente esquema, es un registro de almacenamiento, que muestrea 4 bits disponibles en las lneas
de entrada, los captura en un canto del reloj y los mantiene en las salidas hasta el prximo canto del
reloj.
OUT1

OUT2

OUT3

OUT4

"0"
R
D

R S
D Q

S
Q

R S
D Q

R S
D Q

CLK
IN1

IN2

IN3

IN4

El siguiente esquema es un registro de desplazamiento a la derecha. Almacena los ltimos 4 bits de la


secuencia de entrada.
OUT1

OUT2

OUT3

OUT4

"0"
IN

R S
D Q

R
D

S
Q

R S
D Q

R S
D Q

CLK
Si se agrega un decodificador, que tenga como entradas a las salidas del registro, puede generarse un
detector de una secuencia de 4 bits, cada vez que se presente.

Prof. Leopoldo Silva Bijit.

21-08-2016

255

UNIVERSIDAD TECNICA FEDERICO SANTA MARIA


DEPARTAMENTO DE ELECTRONICA
ELO211 Sistemas Digitales

15.6 Alternativas de Diseo de Mquinas Secuenciales.


a) Almacenar el estado en un registro, e implementar las funciones de prximo estado en una ROM.
Registro
ROM
Contenidos
Direcciones

Este esquema se emplea para disear unidades de control microprogramadas de procesadores.


La informacin del prximo estado se almacena en la ROM.
b) Usar un dispositivo programable con salidas de registro. Con esta arquitectura, las realimentaciones
del estado son internas.
PLD
Salidas
Entradas

Este esquema se emplea para disear unidades de control en firmware, o de lgica alambrada.
c) Una variante del esquema a), es emplear para registrar el estado un contador sincrnico con
funciones de carga paralela, clear e incrementar. Y programar la funcin de prximo estado en funcin
de estas seales.
Este esquema se denomina de microsecuenciacin y se emplea en diseos de la unidad de control de
procesadores.

Prof. Leopoldo Silva Bijit.

21-08-2016

256

UNIVERSIDAD TECNICA FEDERICO SANTA MARIA


DEPARTAMENTO DE ELECTRONICA
ELO211 Sistemas Digitales

Las funciones de las seales de control del contador son:


Cero:
llevar al estado inicial.
Inc:
pasar al estado siguiente.
Load:
presetear un estado determinado
i

Cero

Inc

0000

Load

i+1

Ejemplo.
Disear con un secuenciador el siguiente diagrama de estados.

0000
inc
0001

load

load
x= 0
0100

inc

load
0110

inc

x=1

load

x= 2

load
x= 3

load

1000

1011

inc

inc

1001

1100

x= 5

x= 4
0011

0010

inc
0101

0111

1010
Cero

Cero

Cero

Cero

Cero

Cero

El contador registra el estado, y sus salidas estn conectadas a las direcciones de la memoria. El
contenido de la memoria son: los bit C, I, L que estn conectadas a las seales Cero, Incrementar y
Cargar del registro; adems pueden grabarse en la memoria, los diversos bits de salida que se requieran
asociados a cada estado (esquema de Moore).
A continuacin se presenta la tabla de transiciones, o programa del secuenciador, notando que la
informacin del prximo estado no se almacena en la memoria, se incorpora en la tabla slo con fines
aclaratorios:

Prof. Leopoldo Silva Bijit.

21-08-2016

257

UNIVERSIDAD TECNICA FEDERICO SANTA MARIA


DEPARTAMENTO DE ELECTRONICA
ELO211 Sistemas Digitales

Estado
0000
0001
0010
0011
0100
0110
1000
1011
1001
1100
0101
0111
1010

Prximo
0001
0000
0000
0101
0111
1001
1100
1010
0000
0000
0000
0000

C
0
0
1
1
0
0
0
0
0
1
1
1
1

I
1
0
0
0
1
1
1
1
1
0
0
0
0

L
0
1
0
0
0
0
0
0
0
0
0
0
0

Salidas

Ntese que los nombres binarios de los estados se eligen para ocupar lo ms eficientemente que sea
posible la seal de cuenta o incremento del contador.
Al estado 0000, se llega despus del reset inicial. Y si la naturaleza del proceso secuencial es
repetitivo, al terminar los diferentes recorridos de estados se retorna al estado inicial. Para esto se
emplea la seal Cero.
Estando en el estado 0001, de acuerdo a la entrada se discierne cul es el prximo estado. En el
ejemplo existe slo un estado para el cual existen mltiples bifurcaciones. Se requiere almacenar la
informacin de los prximos estados de acuerdo a los valores de las entradas, y cuando se active la
seal Load. Esta informacin se denomina Tabla de Despacho.
Entrada x
000
001
010
011
100
101

Prximo estado.
0100
0110
1000
1011
0011
0010

Esta informacin puede codificarse en una ROM pequea, o implementarse mediante un PLD.
Debe notarse que en la ROM del secuenciador no se almacena el prximo estado. Esto puede significar
un ahorro importante de memoria, si existe un pequeo nmero de estados con mltiples bifurcaciones.
En caso de existir varios nodos con bifurcaciones, es preciso definir otras seales de carga, una por
cada nodo con bifurcaciones, y tambin igual nmero de tablas de Despacho. La seal Load del
contador es activada por el or de las seales de carga de las tablas de despacho.

Prof. Leopoldo Silva Bijit.

21-08-2016

258

UNIVERSIDAD TECNICA FEDERICO SANTA MARIA


DEPARTAMENTO DE ELECTRONICA
ELO211 Sistemas Digitales

Un esquema general de la arquitectura para diseo de mquinas secuenciales en base a un


secuenciador, se ilustra a continuacin.
ROM

Prximo Estado
Contador
Tabla de
Despacho

Carga Paralela
L

Contenidos

Inc

I C L
Salidas

Entradas

Prof. Leopoldo Silva Bijit.

Direcciones

21-08-2016

259

Das könnte Ihnen auch gefallen