Sie sind auf Seite 1von 11

SENA. Snchez. Decodificador BCD a Display de 7 Segmentos.

DECODIFICADOR DECIMAL CODIFICADO EN BINARIO (BCD) A DISPLAY


DE 7 SEGMENTOS.
Servicio Nacional de Aprendizaje SENA
Jhon Michael Snchez Murillo
866235 Programacin de Software
e-mail: jmsanchez037@misena.edu.co
Marzo 18 de 2015
Bogot, D.C

SENA. Snchez. Decodificador BCD a Display de 7 Segmentos.

SUMMARY: For this lab we Decoder BCD to 7


segments, which can have normal outputs 7448 or
denied 7447 outputs (in this case the decoder 7447 was
used) and the 7-segment display, which can be common
cathode or common anode (in this case the 7-segment
display common anode d was used) Requires eight
330 resistors and four LEDs that turn on or off
depending on the information sent to them.

El Decodificador 7447 tiene salida con lgica


negativa por lo que enviar un cero al segmento que se
desea encender. Esto quiere decir que manejan Display
7 segmentos de nodo comn. Ambos son Open
Collector (bueno para el manejo de corriente necesario
en algunos casos) y se diferencian nicamente en la
salida que pueden manejar (30v para el 7446 y 15v para
el 7447).

KEYWORDS: Decoder BCD to 7 segment, 7 segment


display.

RESUMEN: Para este laboratorio contamos con


el decodificador BCD a 7 segmentos, que puede tener
salidas normales 7448 o salidas negadas 7447, (en este
caso se us el decodificador 7447) y el display de 7
segmentos, que puede ser de ctodo comn o de nodo
comn (en este caso se us el display de 7 segmentos d
nodo comn), se requiere de ocho resistencias de
330 y cuatro LEDs, que enciende o apaga
dependiendo de la informacin que se les enve.
PALABRAS CLAVE: Decodificador
segmentos, display de 7 segmentos.

BCD

1 INTRODUCCIN
El decodificador de BCD a siete segmentos es un
circuito combinacional que permite un cdigo BCD en
sus entradas y en sus salidas activa un display de 7
segmentos para indicar un dgito decimal; El
decodificador requiere de una entrada en cdigo decimal
binario BCD y siete salidas conectadas a cada segmento
del display. (Vase Figura 1)

Figura 1. Decodificador de BCD a 7 segmentos


(74LS47).
En el caso el display de nodo comn todos los
nodos (+) de los LEDs comparten la conexin. Estos
display requieren un cero (a tierra) a la entrada de cada
segmento para encenderlo. Estos display requieren un
uno (Vcc) a la entrada de cada segmento para
encenderse. Todas las conexiones deben ser hechas a
travs de una resistencia para regular la cantidad de
corriente que pasa a travs de los LEDs.

El display de 7 segmentos es un dispositivo usado


para presentar informacin de forma visual. Esta
informacin es especficamente un dgito decimal del 0
(cero) al 9 (nueve), por lo que se intuye que el cdigo
BCD est involucrado. El caso que nos atae consta de
7 LED's (Light Emisor Diode), uno por cada segmento,
que se encendern o apagarn dependiendo de la
informacin que se les enve. (Vase Figura 2)

Existen casos donde aparece un octavo segmento


que suele usarse como punto decimal o DP (Vase
Figura 2), los pines 3 y
8 son el nodo comn o
el ctodo comn (en
este caso usamos el pin
3)
y
aunque
regularmente
es
indiferente cul de ellos
conecten existen casos
de modelos de display
en los que, por sus
especificaciones,
se
requieren
ambos
conectados (o porque
requieran
cumplir
alguna condicin de
manejo de corriente en
su circuito).

2 OBJETIVOS
Comprobar el funcionamiento del decodificador de
BCD a un display de 7 segmentos.
Realizar el montaje del decodificador de BCD a 7
segmentos en la protoboard usando una compuerta
combinacional 7447 y el display de 7 segmentos (nodo
comn).
Comprobar que el decodificador de BCD a un
display de 7 segmentos arroje el resultado
correspondiente. (Vase Figura 0)
3 MARCO

TEORICO

SENA. Snchez. Decodificador BCD a Display de 7 Segmentos.

Figura 4. Implementacin usada para la prueba del


display de 7 segmentos.
El display mostrar el dgito decimal que
corresponda con el nmero binario seleccionado por los
interruptores 1, 2, 3 y 4 del dip switch, en el caso de cero
encender cuando su nmero en binario sea 0000, para
uno encender cuando su notacin binaria sea 0001 y
as se aplica para el resto de nmeros binarios hasta el
nueve, es decir, 0010, 0011, 0100, 0101, 0110, 0111,
1000 y 1001. (Vase Figura 5, Figura 6, Figura 7, Figura
8, Figura 9, Figura 10, Figura 11, Figura 12, Figura 13,
Figura 14)

Figura 2. Display de 7 segmentos (nodo comn).


Comparte una caracterstica, esperan a la entrada
un nmero en BCD y es para cada una de ellas que
desplegarn el dgito decimal correspondiente. En el
siguiente dibujo se muestran las salidas reflejadas en los
display de 7 segmentos para todas las combinaciones
binarias de 4 bits posibles. (Vase Figura 3)

De ah en adelante no mostrara un digito en decimal


puesto que excede el valor del mismo, aparte de los
dgitos decimales, se ven las salidas para cuando el
decodificador tiene entrada de 1010, 1011, 1100, 1101,
1110 y 1111. Este ltimo caso apaga todos los
segmentos y por ello no se ve nada. (Vase Figura 15,
Figura 16, Figura 17, Figura 18, Figura 19, Figura 20)

Figura 3. Salida del Display 7 Segmentos

4 EJECUCIN LABORATORIO
A partir del diagrama de un decodificador BCD a 7
segmentos (Vase Figura 4), se realiza el montaje en la
protoboard, simplemente seguimos las instrucciones y
las realizamos como lo indica dicho diagrama, para el
dato de entrada A se conecta en el pin seis
correspondiente a la entrada cero, el dato de entrada B
se conecta en el pin 2, el dato de entrada C se conecta
en el pin 1, y finalmente se conecta la entrada D en el
pin 7, se realiza el cableado correspondiente, para luego
utilizar las resistencias, en el caso del pin b, f y g se
usaron las resistencias directamente, para el caso de a,
c, d y e se utilizaron las resistencias y cableado en su
respectiva entrada, para a que parte del pin 13 de la
compuerta 7447 hasta el pin 7 del display, para b que
parte del pin 12 de la compuerta 7447 hasta el pin 6 del
display, para c que parte del pin 11 de la compuerta
7447 hasta el pin 4 del display, para d que parte del pin
10 de la compuerta 7447 hasta el pin 2 del display, para
e que parte del pin 9 de la compuerta 7447 hasta el pin 1
del display, para f que parte del pin 15 de la compuerta
7447 hasta el pin 9 del display y g que parte del pin 14
de la compuerta 7447 hasta el pin 10 del display.

Figura 5. Decodificador BDC a display de 7 Segmentos


(0, 0, 0, 0).

Figura 6. Decodificador BDC a display de 7 Segmentos


(0, 0, 0, 1).

SENA. Snchez. Decodificador BCD a Display de 7 Segmentos.

Figura 10. Decodificador BDC a display de 7 Segmentos


(0, 1, 0, 1).

Figura 7. Decodificador BDC a display de 7 Segmentos


(0, 0, 1, 0).

Figura 11. Decodificador BDC a display de 7 Segmentos


(0, 1, 1, 0).

Figura 8. Decodificador BDC a display de 7 Segmentos


(0, 0, 1, 1).

Figura 12. Decodificador BDC a display de 7 Segmentos


(0, 1, 1, 1).

Figura 9. Decodificador BDC a display de 7 Segmentos


(0, 1, 0, 0).

SENA. Snchez. Decodificador BCD a Display de 7 Segmentos.

Figura 13. Decodificador BDC a display de 7 Segmentos


(1, 0, 0, 0).

Figura 16. Decodificador BDC a display de 7 Segmentos


(1, 0, 1, 1).

Figura 14. Decodificador BDC a display de 7 Segmentos


(1, 0, 0, 1).

Figura 17. Decodificador BDC a display de 7 Segmentos


(1, 1, 0, 0).

Figura 15. Decodificador BDC a display de 7 Segmentos


(1, 0, 1, 0).

Figura 18. Decodificador BDC a display de 7 Segmentos


(1, 1, 0, 1).

SENA. Snchez. Decodificador BCD a Display de 7 Segmentos.

En efecto el montaje realizado en la protoboard del


decodificador de BCD a un display de 7 segmentos
arrojo el resultado esperado.

6 REFERENCIAS
[1] Decodificador BCD a display de 7 segmentos (2015, Marzo 18).
[En
lnea]
Disponible
en:
http://sergioc2005.tripod.com/arqcomp1/Pract5DecBCDa7Seg.p
df
[2] Decodificadores BCD a 7 Segmentos (2015, Marzo 18). [En lnea]
Colombia:
Universidad
Nacional.
Disponible
en:
http://www.virtual.unal.edu.co/cursos/ingenieria/2000477/leccion
es/030301.htm

Figura 19. Decodificador BDC a display de 7 Segmentos


(1, 1, 1, 0).

Figura 20. Decodificador BDC a display de 7 Segmentos


(1, 1, 1, 1).

5 CONCLUSIONES
Se hace uso y se distingue un display de 7
segmentos de nodo comn y de ctodo comn.
Comprobar el funcionamiento del decodificador de
BCD a un display de 7 segmentos.

SENA. Snchez. Decodificador BCD a Display de 7 Segmentos.

PRODUCCIN TEXTUAL

JHON MICHAEL SNCHEZ MURILLO

SENA
CENTRO DE ELECTRICIDAD, ELECTRONICA Y TELECOMUNICACIONES
PROGRAMACION DE SOFTWARE
BOGOTA D.C
2015
6

SENA. Snchez. Decodificador BCD a Display de 7 Segmentos.

PRODUCCIN TEXTUAL

JHON MICHAEL SNCHEZ MURILLO

INFORME TECNICO

LNDERMAN SALAZAR ALARCN


INGENIERO INDUSTRIAL

SENA
CENTRO DE ELECTRICIDAD, ELECTRONICA Y TELECOMUNICACIONES
PROGRAMACION DE SOFTWARE
BOGOTA D.C
2015
7

SENA. Snchez. Decodificador BCD a Display de 7 Segmentos.

INTRODUCCIN

Resolver y entregar trabajos o documentos para alguien ms es una tarea compleja y se


torna complicada a la vez, se debe efectuar una secuencia si se quiere entregar un
excelente escrito, ms si es para demostrar una investigacin o consulta sobre un tema
determinado y con un propsito de ante mano, as que para la realizacin de este trabajo,
se tiene un plan de mejoramiento que busca solucionar y resaltar de manera apropiada
dicho escrito, primero se debe tener claridad y conocimiento sobre el tema del que se va a
hablar o escribir, en este caso, el tema era de libre eleccin, luego es recomendable tomar
la idea principal del tema con la ayuda de una lluvia de ideas, posteriormente seguir con
un mapa conceptual, con el fin de extraer los temas de ms relevancia, y finalmente seguir
las indicaciones o requerimientos de la norma con la que se fuese a realizar el mismo,
aunque es importante destacar que en la mayora de normas o tipos de documentos estn
compuestos por una portada, introduccin, conclusin, etc.

SENA. Snchez. Decodificador BCD a Display de 7 Segmentos.

MAPA CONCEPTUAL DE CODIGO DECIMAL CODIFICADO EN BINARIO(BCD)

SENA. Snchez. Decodificador BCD a Display de 7 Segmentos.

CONCLUSIONES

Tener la habilidad y capacidad de realizar un documento o trabajo bajo cualquier concepto


y sobre un tema de libre eleccin o determinado por alguien ms, teniendo en cuenta las
normas y el proceso que toma elaborarlo, en este caso, en la norma IEEE para el tema
seleccionado y en normas ICONTEC para el tema que est en curso.

10

Das könnte Ihnen auch gefallen