Sie sind auf Seite 1von 6

Oscar Ignacio Botero H.

SIMULADOR PROTEUS MDULO


MEMORIA EPROM VISUALIZACIN EN 8 DISPLAY ALFANUMRICOS
DIAGRAMA DE BLOQUES
Seal
de reloj

2 Memorias
EPROM
2732

2 Memorias
EPROM
2732

2 Memorias
EPROM
2732

Contadores
Hexadecimales
IC 74LS191

2 Memorias
EPROM
2732

2 Memorias
EPROM
2732

Circuito
Reset

2 Memorias
EPROM
2732

2 Memorias
EPROM
2732

2 Memorias
EPROM
2732

Direccin de desplazamiento

2
74191 CONTADOR SNCRONO UP/DOWN CON MODO DE CONTROL

Dato 0, 1, 2, 3 (A, B, C, D pines 15-1-10-9 respectivamente) = datos de


entrada que sirve como nmero de inicio.
Load (pin 11) = con nivel bajo se carga el dato de inicio.
Para contar hacia arriba (Count Up):
o Pines Down/Up (pin 5) y Enable en bajo (pin 4)
o Flanco positivo del reloj (pin 14).
Para contar hacia abajo (Count
Down):
o Pin Down/Up (pin 5) en
alto y Enable en bajo (pin
4)
o Flanco positivo del reloj
(pin 14).
La salida Ripple/Clock (pin 13)
genera
un
pulso
para
contadores en cascada, de 0 a
14 est en nivel alto, en el 15
baja y en el 0 sube nuevamente
generando el pulso para el
siguiente contador (15
0).
Para la conexin en cascada se
conecta Ripple/Clock (pin 13) al
Clock (pin 14) del siguiente
contador 74LS191.

Oscar Ignacio Botero H.3.


Circuito de Reset para el diseo
Para resetear los contadores y que inicien nuevamente para que se repita el
mensaje grabado, se toma el ltimo valor decimal de cualquiera de las memorias
(todas tienen el mismo valor final) y por medio de la compuerta lgica NAND se
realizar el circuito de reseteo.

Por ejemplo, el nmero 163D


Contador
Salidas
Peso
Estados

SEGUNDO

QD2
128
1

QC2
64
0

QB2
32
1

PRIMERO

QA2
16
0

QD1
8
0

QC1
4
0

QB1
2
1

QA1
1
1

Va a los pines
11
de
los
contadores

ENUNCIADO DEL PROBLEMA


Se disear un circuito con 16 MEMORIAS EPROM y 8 DISPLAY
ALFANUMRICOS de ctodo comn donde se visualizarn sus NOMBRES
COMPLETOS, sus DOS APELLIDOS y el nmero del CARNET de la institucin, el
mensaje se desplazar de derecha a izquierda. El circuito igualmente tendr un
contador hexadecimal en cascada con los circuitos integrados 74LS191 y una
seal de reloj que har que con cada pulso se desplace el mensaje.
Cree los cdigos en sistema binario o en sistema hexadecimal de sus NOMBRES
COMPLETOS y sus DOS APELLIDOS separados todos entre s por un espacio
(direccin vaca), despus del segundo apellido deja 2 espacios (direcciones
vacas) y a continuacin codifique el nmero de su CARNET, finaliza la
generacin de datos con cinco espacios vacos (direcciones vacas).
Con el software Hex Editor Neo 4.82 generar un archivo con los cdigos en
sistema binario o hexadecimal, ese archivo luego ser cargado (grabado) en una
MEMORIA EPROM Ref 2732 que en sus respectivas salidas tendr conectado un
display alfanumrico de ctodo comn (16SEG-MPX1-CC-RED). Al archivo
colquele la extensin .BIN

Oscar Ignacio Botero H.4.

Cada display alfanumrico es manejado por 2 memorias simultneamente, una


memoria maneja los segmentos A1, A2, B, C, D1, D2, E, F (los 8 segmentos
externos) y la otra memoria maneja los segmentos H, I, J, G2, K, L, M, G1 (los 8
segmentos internos).
Genere el archivo
con el programa
para la primera
MEMORIA (la que
maneja el display
del
extremo
derecho); para la
segunda MEMORIA
el programa es el
mismo solo que se
digita desplazado
una direccin de
memoria, o sea a
partir
de
la
direccin 01, para
la
tercera
MEMORIA a partir de la direccin 02, as sucesivamente hasta la octava
MEMORIA (direccin 07). A la ltima direccin del programa grabado en la
LTIMA MEMORIA que maneja los segmentos externos (octava memoria)
adicinele 5 direcciones vacas y esa ser la direccin de referencia para el reset
del sistema, que igualmente ser la misma direccin para la LTIMA MEMORIA
que maneja los segmentos internos (decimo sexta memoria).
El software Hex Editor Neo 4.82 permite copiar el programa en otras 7 hojas
(pestaas) y as completar las 8 (una para cada MEMORIA que maneja los
segmentos externos), desplazado una direccin y guardado en archivos con
nombres independientes (recuerde colocarle la extensin .BIN) para que el
Proteus reconozca el formato y lo pueda ejecutar. La presentacin de su mensaje

Oscar Ignacio Botero H.5.


se debe desplazar de derecha a izquierda y ser cclica debido al circuito
combinacional de REINICIO (Reset).
Nuevo

8 pestaas para los 8 archivos

5 direcciones vacas
El procedimiento para generar los archivos para las memorias que manejan los
segmentos internos con el software Hex Editor Neo 4.82 es el mismo explicado
anteriormente, no olvide colocarle la extensin .BIN para que el Proteus reconozca
el formato y lo pueda ejecutar.
Condiciones del Diseo
Cambie el tamao de la hoja de trabajo a A3 (15 pulgadas x 10 pulgadas).

Oscar Ignacio Botero H.6.

Utilizar buses para unir el Contador a las Memorias y estas con los Display
Alfanumricos correspondientes.
Utilizar las 8 salidas (D0D7) de cada Memoria para manejar los
segmentos externos y los segmentos internos del display.
Diligencie completa y correctamente el BLOQUE DE TTULOS.
Organice su diseo para que quede estticamente bien presentado
(alambrado, buses y dems).
PLANTILLA DE LETRAS Y NMEROS

Das könnte Ihnen auch gefallen