Sie sind auf Seite 1von 30

1. Prctica No.

5
2. Nombre: Montaje y comprobacin de circuitos contadores
asncronos y sncronos.
3. Objetivo: Analizar el comportamiento de los circuitos contadores
sncronos y asncronos.

4. Introduccin:
4.1. Armar circuito contador asncrono ascendente
El circuito contador asncrono ascendente est formado por flip flopsJK y
permite contar los pulsos de reloj que llegan al circuito contador; ingresando al
primer flip flopque corresponda al bit menos significativo indicado como LSB.
Los flip flopsJK, cuando sus entradas JK estn conectadas a un nivel lgico
J=K=1; con cada flanco correspondiente, negativo en este caso, complementa
el valor de su salida Q. En el contador asncrono; cada flip floppuede cambiar el
nivel de su salida Q, despus del cambio de la salida Q del flip flopanterior;
porque su entrada CLK recibe los niveles de la salida Q del flip flopanterior.
Un decodificador o descodificador es un circuito combinacional que convierte
un cdigo binario de entrada (natural, BCD, etc.) de N bits de entrada y M
lneas de salida (N puede ser cualquier entero y M es un entero menor o igual a
2N), tales que cada lnea de salida ser activada para una sola de las
combinaciones posibles de entrada. Estos circuitos, normalmente, se suelen
encontrar como decodificador / demultiplexor. Esto es debido a que un
demultiplexor puede comportarse como un decodificador.
El circuito descendente cuenta los pulsos de reloj que recibe el
circuitocontador; disminuyendo desde el valor mximo del contador; un valor
por cada pulso recibido. En el contador descendente la entrada del CLK del
primer flip floprecibe la seal de pulsos externa; y los dems flip flop
reciben
en suentrada CLK; la seal que tiene la salida Q del flip
flopanterior.En esta prctica no se implementara fsicamente.

Un tipo de decodificador muy empleado es el de siete segmentos. Este circuito


decodifica la informacin de entrada en BCD a un cdigo de siete segmentos
adecuado para que se muestre en un visualizador de siete segmentos.
El visualizador de siete segmentos(display) es una forma de representar
nmeros en equipos electrnicos. Est compuesto de siete segmentos que se
pueden encender o apagar individualmente. Cada segmento tiene la forma de
una pequea lnea.
Es un componente que se utiliza para la representacin de nmeros en muchos
dispositivos electrnicos, debido en gran medida a su simplicidad. Aunque
externamente su forma difiere considerablemente de un led tpico,
internamente estn constituidos por una serie de ledes con unas determinadas
conexiones internas, estratgicamente ubicados de tal forma que forme un
nmero '8'.
Muchas veces aparece un octavo
ingls decimal point, punto decimal).

segmento

denominado dp.

(Del

Los ledes trabajan a baja tensin y con pequea potencia, por tanto, podrn
excitarse directamente con puertas lgicas. Normalmente se utiliza un
codificador (en nuestro caso decimal/BCD) que activando una sola pata de la
entrada del codificador, activa las salidas correspondientes mostrando el
nmero deseado
Un contador sncrono realiza el cambio de los niveles de sus salidas Q,todas
al mismo tiempo cuando recibe el flanco correspondiente. El contador sncrono
binario up/downpermite el conteo ascendente y descendente dependiendo de
la terminal por donde se ingresa los pulsos; ascendente si seingresa por count
upy descendente por count down.

Para controlar un visualizador de siete segmentos normalmente se emplean


circuitos integrados especialmente diseados para este fin y que simplifican
mucho el diseo del circuito. Un ejemplo de ellos es el circuito integrado
74LS47; con este circuito integrado podemos formar los nmeros del 0 al 9
segn conectemos las cuatro patas principales al polo positivo o negativo de
nuestra fuente de alimentacin.

CI LS7447
El circuito integrado 7447 es un circuito integrado que convierte el cdigo
binario de entrada en formato BCD a niveles lgicos que permiten activar un
display de 7 segmentos de nodo comn en donde la posicin de cada barra
forma el nmero decodificado.
Las salidas del circuito hacia los segmentos del display son en colector abierto.
Pudiendo de esta manera controlar display que consuman 40 mA mximo por
segmento.
Las funciones LT, RBI y BI/RBO. Como indican los crculos del smbolo lgico,
todas las salidas son activas a nivel bajo, al igual que lo son LT (Lamp Test),
RBI (Ripple Blanking Input) y BI/RBO (Blanking Input/Ripple Blanking Output).
Cuando se aplica un nivel bajo a la entrada LT y la entrada BI/RBO est a nivel
alto, se encienden todos los segmentos del display. La entrada de
comprobacin se utiliza para verificar que ninguno de los segmentos est
fundido.

CI TEMPORIZADOR 555
El temporizador IC 555 es un circuito integrado (chip) que se utiliza en una
variedad de aplicaciones y se aplica en la generacin de pulsos y de
oscilaciones. El 555 puede ser utilizado para proporcionar retardos de tiempo,
como un oscilador, y como un circuito integrado flip-flop. Sus derivados
proporcionan hasta cuatro circuitos de sincronizacin en un solo paquete.
Introducido en 1971 por Signetics, el 555 sigue siendo de uso generalizado
debido a su facilidad de uso, precio bajo y la estabilidad. Lo fabrican muchas
empresas en bipolares y tambin en CMOS de baja potencia. A partir de 2003,
se estimaba que mil millones de unidades se fabricaban cada ao.

GND (normalmente la 1): es el polo negativo de la alimentacin,


generalmente tierra (masa).

Disparo (normalmente la 2): Es donde se establece el inicio del tiempo


de retardo si el 555 es configurado como monoestable. Este proceso de
disparo ocurre cuando esta patilla tiene menos de 1/3 del voltaje de
alimentacin. Este pulso debe ser de corta duracin, pues si se mantiene
bajo por mucho tiempo la salida se quedar en alto hasta que la entrada de
disparo pase a alto otra vez.

Salida (normalmente la 3): Aqu veremos el resultado de la operacin


del temporizador, ya sea que est conectado como monoestable, astable u
otro. Cuando la salida es alta, el voltaje ser el voltaje de alimentacin (Vcc)
menos 1.7 V. Esta salida se puede obligar a estar en casi 0 voltios con la
ayuda de la patilla de reinicio (normalmente la 4).

Reinicio (normalmente la 4): Si se pone a un nivel por debajo de 0.7


Voltios, pone la patilla de salida a nivel bajo. Si por algn motivo esta patilla
no se utiliza hay que conectarla a alimentacin para evitar que el
temporizador se reinicie.

Control de voltaje (normalmente la 5): Cuando el temporizador se


utiliza en el modo de controlador de voltaje, el voltaje en esta patilla puede
variar casi desde Vcc (en la prctica como Vcc -1.7 V) hasta casi 0 V
(aprox. 2 V menos). As es posible modificar los tiempos. Puede tambin
configurarse para, por ejemplo, generar pulsos en rampa.

Umbral (normalmente la 6): Es una entrada a un comparador interno


que se utiliza para poner la salida a nivel bajo.

Descarga (normalmente la 7): Utilizado para descargar con efectividad


el condensador
funcionamiento.

externo

utilizado

por

el

temporizador

para

su

Voltaje de alimentacin (VCC) (normalmente la 8): es la patilla donde


se conecta el voltaje de alimentacin que va de 4.5 V hasta 16 V.

Un contador (en ingls, counter) es un circuito secuencial construido a partir


de biestables y puertas lgicas capaz de almacenar y contar los impulsos (a
menudo relacionados con una seal de reloj), que recibe en la entrada
destinada a tal efecto, asimismo tambin acta como divisor de frecuencia.

Normalmente, el cmputo se realiza en cdigo binario, que con frecuencia ser


el binario natural o el BCD natural (contador de dcadas).

Contador Digital
El diagrama mostrado a continuacin muestra las conexiones para crear el
contador digital. Para esto se usan 3 circuitos integrados, el 555 funcionando
como reloj. El 74LS90 es un contador de dcadas y el 74LS47 es un
decodificador BCD a 7 segmentos.
Con cada seal de reloj recibida el display muestra la secuencia de nmeros
del 0 al 9 y reinicia la cuenta.

En esta prctica se efecta una explicacin a fondo de algunas simulaciones


realizadas en la clase de Circuitos Elctricos y Electrnicos, enfocadas al tema
de contadores, este tipo de prcticas se utilizan mucho en la vida cotidiana es
por eso que es importante el alumno las realice para darse una idea de lo que
se utiliza en el campo de trabajo.

El codificador con prioridad es un C.I. que tiene M entradas y produce un


cdigo de N salidas, cdigo que corresponde a cada una de las entradas.
Cuando se presiona dos o ms entradas al mismo tiempo, el codificador con
prioridad, codifica el valor que corresponde a la entrada de mayor valor.
El circuito decodificador BCD a 7 segmentos, permite activar varias salidas a la
vez, de las 7 salidas que tienen para conectarse a un displayde 7
segmentos.Las salidas se activan de acuerdo al cdigo en 7 segmentos, en
concordancia al cdigo BCD de 4 bitsque recibe en sus entradas, y que
corresponde a la forma del smbolo que presentara en el display. El cdigo
BCD permite representar los nmeros decimales en 4 bits.

CONTADORES:
Objetivo particular:
Aprende y conoce el funcionamiento de un circuito contador ascendente de
manera digital utilizando decodificador y display a nivel ms bajo como en un
sistema binario, implementado un sistema de 4 bits con flip-flops jk.

METODOLOGA:
Un contador es un circuito en el que sus salidas siguen una secuencia fija que
cuando acaba vuelve a empezar, o circuitos que reciben sus datos en forma
serial ordenado en distintos intervalos de tiempo.
Los contadores pueden ser sncronos o asncronos. Los asncronos son
aquellos en los que las entradas de reloj que los gobiernan no actan
simultneamente en todos los flip-flops sino secuencialmente, es decir, los
impulsos a contar no se aplica a las entradas de reloj de todos los flip-flops a la
vez, sino generalmente slo a la del primero, y las entradas de reloj del resto
son gobernadas por las salidas del Biestable precedente. Los sncronos son
aquellos en los que los impulsos a contar se aplican a todas las entradas de
reloj de todos los Biestable a la vez. En general los contadores sncronos son
ms rpidos que los asncronos, pero ms complejos, adems los asncronos
presentan el problema de adquirir transitoriamente estados indeseados.

DESARROLLO:
Utilizando FF J-K se toman todas las entradas de los FF como 1 = J = K para
que se complemente a cada pulso de reloj como lo muestra el diagrama. Junto
con el CI

Contadores asncronos en CI son el TTL 74LS93 y el 74LS193 (contador


binario 4 bits)

Decodificador a siete segmentos: La Mayora de los equipos digitales cuentan


con un medio para desplegar visualmente la informacin de manera que la
entienda el usuario u operador. Esta informacin puede ser datos numricos o
alfanumrica, usualmente se utilizan display de 7 segmentos los cuales estn
constituidos por leds en cada segmento para formar caracteres o dgitos
iluminando aquellos que tengan un valor en alto como indicacin.

CONCLUSIN:
El desarrollo de esta prctica de laboratorio debe ser de gran utilidad al
estudiante, pues los conocimientos tericos se comprueban mediante el
desarrollo de cada uno de los circuitos implementados en Protoboard. Se
concluye destacando los puntos principales aprender mediante esta prctica de
laboratorio:
Se conoce y practica el manejo de la tableta Protoboard, que es indispensable
para probar el funcionamiento de los circuitos combinacionales y secuenciales
en el desarrollo de un contador binario.
Ha sido posible entender la manera en que los Flip-Flops permiten almacenar
valores en memoria. Las tablas de verdad han sido utilizadas como

herramientas para obtener conclusiones respecto al funcionamiento u


operacin del circuito realizado. Analizado e interpretado correctamente los
datos resultantes en las tablas de verdad, da lugar a importantes aplicaciones
prcticas sobre el uso del circuito mostrado. El desarrollo del contador binario
con el display de 7 segmentos ha permitido visualizar una aplicacin importante
de los circuitos en la vida cotidiana.

ARMADO EN EL PROTOBOARD:
1. Contador Binario con FF J-K

2. Contador Digital con decodificador y display 7 Segmentos

5. La Prctica de los contadores sncronos y asncronos: se


refieren al captulo 4 de Circuitos lgicos del subtema 4.3 y 4.4 de Circuitos
lgicos secuenciales y tiene correlacin con los registros y memorias.

6. Material y equipo necesario:


1.- 1 Fuentes de Voltaje
2.- 3 Protos
3.- 2 Dswpk_4

4.- 2 Dswpk_2
5.- 1 Capacitor
6.- 1 CI LS 7442
7.- 2 CI LS 7447
8.- 1 CI LS 7490
9.- 1 CI Temporizador 555
10.- Leds
11.- 2 Display
12.- Pinzas de punta y corte
13.- Varias resistencias varias valores
14.- 1 74LS47 FF-JK
15.- 2 74LS47 Decodificador
16.- 3 74LS193 contador asncrono
17.- 1 7476
18.- 1 7442
19.- 5 Resistencias 330
20.- 3 CI 555
21.- 1 Display 7 segmentos
22.- 8 Resistencia 2200
23.- 1 Condensador 0.01 f
24.- 1 Capacitor 100 f
25.- 2 Resistencias Variables
26.- 1 Potencimetro de 1K o segn clculos
27.- 2Capacitores segn valor propuesto en su circuito.
28.- 1 Capacitor electroltico segn clculos
29.- 1 Generador de funciones.
30.- 1 Osciloscopio de 2 canales.

7. Metodologa:
7.1. Proceso de operacin.
1 PASO: identifique las terminales de C.I. 74LS76 en su manual de
componentes electrnicos ECG o NTE.
2 PASO: arme el circuito de la figura 1 en su protoboard.
3 PASO: limpie las salidas Q del contador para obtener un nivel lgico 0 en
cada salida, esto se logra con el pulsador reset.

Figura 1. Circuito de prueba de contador asncrono ascendente.

Tabla I. Tabla de datos de contador asncrono ascendente.


ENTRADA
ACCION/PULSO
Clear
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15

Q3
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1

Q2
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1

SALIDAS
Q1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1

Q0
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1

4 PASO: conecte a la entrada CLK del FF0, un generador estable con


unafrecuencia de 1Hz.

5 PASO: verifique el funcionamiento del circuito y el cumplimiento de la


tablade valores, tabla I.

9.1. Armar circuito contador sncrono binario


9.1.2. Proceso de operacin
1 PASO: identifique las terminales del C.I. 74LS193 en su manual ECG o
NTE.
2 PASO: arme el circuito de la figura 2 en su protoboard.
3 PASO: limpie las salidas Q del contador para obtener un nivel lgico 0en
cada una, esto se logra pulsado el pulsador reset.
4 PASO: conecte count downa un nivel lgico 1 y un generador estable
con una frecuencia de 1Hz a la entrada count up, verifique el funcionamiento
del circuito y el cumplimiento de la tabla de valores, tabla II.

Figura 2. Circuito de prueba de contador sncrono binario ascendente.


Tabla II. Tabla de datos de contador sncrono binario ascendente.
ENTRADA
ACCION/PULSO
Clear
1
2
3
4
5
6
7
8
9

QD
0
0
0
0
0
0
0
0
1
1

QC
0
0
0
0
1
1
1
1
0
0

SALIDAS
QB
0
0
1
1
0
0
1
1
0
0

QA
0
1
0
1
0
1
0
1
0
1

10
11
12
13
14
15

1
1
1
1
1
1

0
0
1
1
1
1

1
1
0
0
1
1

0
1
0
1
0
1

5 PASO: ahora realice las siguientes modificaciones al circuito de la figura 1,


desconecte la entrada count upy conctela a un nivel lgico 1, desconecte la
entrada count downy conctela a un generador estable a una frecuencia de
1Hz, verifique el funcionamiento del circuito con el cumplimiento de la tabla de
valores,tabla III.

Tabla III. Tabla de datos de contador sncrono binario descendente.


ENTRADA
ACCION/PULSO
Preset
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15

QD
1
1
1
1
1
1
1
1
0
0
0
0
0
0
0
0

QC
1
1
1
1
0
0
0
0
1
1
1
1
0
0
0
0

SALIDAS
QB
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0

QA
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0

Primero lemos el tema de decodificadores, tratar de comprender las


caractersticas de los circuitos integrados ls7442, 7447, y 7490 y sus
caractersticas. Despus vimos algunos ejemplos donde se incluan estos
circuitos. Luego de eso pasamos a realizar las simulaciones en el Multisim
para poderlos realizar en el proto.

8. Sugerencias Didcticas:

Recomendamos que el alumno vaya a manuales e investigue los Flip Flop ms


comerciales, los compare con circuitos integrados solicitados en el material
requerido. Tambin se sugiere que el alumno desarrolle lo que se propone en
los siguientes pasos en la investigacin:

Contador binario:
En electrnica digital, Un contador (en ingls, counter) es un circuito secuencial
construido a partir de biestables y puertas lgicas capaz de almacenar y contar
los impulsos (a menudo relacionados con una seal de reloj), que recibe en la
entrada destinada a tal efecto, asimismo tambin acta como divisor de
frecuencia. Normalmente, el cmputo se realiza en cdigo binario, que con
frecuencia ser el binario natural o el BCD natural (contador de dcadas).
Para esta prctica se realiza el contador binario, lo cual ser una
representacin de cmo es que acta una computadora para hacer un conteo
en un proceso o tarea recomendada.

Material
Protoboard

Circuito integrado 74ls47

4 focos leds

Jumpers (cable utp)

Resistencia 330

Dip Switch

Fuente de alimentacin (9v)

Descripcin:
Antes de comenzar con el armado del circuito, el primer paso que realizamos
fue realizar la simulacin de dicho circuito en el programa de multisim.
Una vez que obtuvimos la simulacin en multisim, el siguiente paso fue realizar
la prctica en fsico, tomando en consideracin que se contara con el material
requerido para la prctica.

Funcionamiento:
El contador es un circuito, en el que en sus salidas siguen una secuencia fija,
que cuando termina vuelve a empezar, y as sucesivamente.
Este es el objetivo de la prctica verificar el movimiento o la forma de ir
intercalndose cada uno de los focos leds. Aclarando que va depender de la
seal recibida por el Dip switch, lo que generara que prendan o se apaguen
otros, dependiendo la combinacin que se realice en el circuito.

Resultados:

Conclusin:

Al realizar este circuito el alumno ha simulado cuanto es el tiempo que puede


tardar en desarrollar un proceso nuestra computadora.
De acuerdo a las observaciones que tienen que hacer el estudiante, de que el
tiempo que tarda en desarrollar un ciclo los focos leds es bastante rpido, por
lo que puede imaginar que en cuestiones de industria y procesos productivos
el tiempo es un factor indispensable para la eficiencia y productividad del
producto, por lo que mientras ms rpido sea, mas ganancia es para el usuario.
Por lo tanto, lo que expongan los equipos, se puede mencionar que conforme
avanza la tecnologa tanto el espacio de almacenamiento, como la velocidad de
nuestro computador se sigue incrementndo cada vez ms rpido nuestro
contador, provocando que los procesos sean cada vez ms acelerados y con el
factor de tiempo menos posible.
El desarrollo de esta prctica, sirve para mejorar y practicar los circuitos
integrados tanto en el software de multisim, como a la hora de armarlo en
fsico. Logrando as que cada vez los estudiantes de Tecnologas de la
informacin del Instituto Tecnolgico de Len se comiencen a familiarizar cada
vez ms, con estos componentes de compuertas lgicas.

9.- Reporte del Alumno:


A.- Arma todos los circuitos que a continuacin se muestran, comprobando
tablas de verdad solicitadas as como realiza todas las simulaciones en
Multisim y reporta las evidencias obtenidas con fotografas de los circuitos:
1 PASO: identifique las terminales del C.I. 74LS47 y del displayde nodo
comn, en el manual o gua de componentes electrnicos ECG o NTE.
2 PASO: arme el circuito de la figura 3 en su protoboard.

Figura 3. Circuito de prueba decodificador excitador BCD a 7 segmentos.

Al pulsar el pulsador, lamp test o LT correspondiente a la terminal 3 del


circuito de la figura 3, todos los segmentos del display se encienden.
3 PASO: variar los estados lgicos con lo switch de las entradas A, B, C y
D, para obtener diferentes indicaciones numricas en el display de la figura 3.
4 PASO: verifique el cumplimiento de la tabla IV con el circuito de la
figura 3, cerrando y abriendo los switch.
El circuito contador asncrono ascendente est formado por flip flops JK y
permite contar los pulsos de reloj que llegan al circuito contador; ingresando al
primer flip flop que corresponda al bit menos significativo indicado como LSB.
Los flip flops JK, cuando sus entradas JK estn conectadas a un nivel lgico
J=K=1; con cada flanco correspondiente, negativo en este caso, complementa
el valor de su salida Q. En el contador asncrono; cada flip flop puede cambiar
el nivel de su salida Q, despus del cambio de la salida Q del flip flop anterior;
porque su entrada CLK recibe los niveles de la salida Q del flip flop anterior.
Desarrollo:
Elaborar las prcticas del contador utilizando los circuitos integrados 7476 y
7447. Ya que el uso de circuitos contadores es importante por lo que son
muy tiles a la hora de la elaboracin de circuitos. A parte de que aprende
cmo usar un display, as como la conexin de cada uno de sus pines.

1.- CONTADOR CON TEMPORIZADOR 555 Y DISPLAY:

4.2. CONTADOR AUTOMTICO.


4.2.1. Proceso de operacin
1 PASO: identifique las terminales del C.I. 74LS47 y del display de nodo
comn, en el manual o gua de componentes electrnicos ECG o NTE.
2 PASO: arme el circuito de la figura 4 en su protoboard.

Figura 4. Circuito de prueba Contador excitador BCD a 7 segmentos


Al pulsar el pulsador, lamp test o LT correspondiente a la terminal 3 del circuito
de la figura 4, todos los segmentos del display se encienden.
3 PASO: variar los estados lgicos con lo switchde las entradas A, B, C y D,
para obtener diferentes indicaciones numricas en el display de la figura 4.
4 PASO: verifique el cumplimiento de la tabla IV con el circuito de la
figura 4, cerrando y abriendo los switch.

Tabla IV

CONTADOR MANUAL BCD:

CONTADOR AUTOMTICO DECIMAL:

Al elaborar los distintos circuitos solicitados, los alumnos comprenden de la


mejor manera del uso de algunos dispositivos. Aprenden , que es necesario
saber interpretar muy bien los diagramas ya que si no se hace, lo ms probable
es que daen los componentes o puedan suceder accidentes, lo ms comn
es que haga corto y se vuelvan inservibles ciertos componentes.
Adems saben que es necesario realizar pruebas antes de soldar los
componentes para evitar fallas o detectar componentes que pudieran no
funcionar. Aunque en un principio les cueste mucho trabajo el comprender
como es que van a armar estos Circuitos, poco a poco, al ir analizando cada
uno de los dispositivos y la forma en que estn conectados en el diagrama, se
darn cuenta que no ser algo tan difcil como lo que se haban imaginado.
Entienden que con un poco de paciencia y esfuerzo pueden terminar los
proyectos.

10. Bibliografa Preliminar:


1. Electrnica Teora de circuitos.
https://es.scribd.com/.../electro

teo-de-circuitos-boylestad-10ed-pdf17

feb.

2014 - BOYLESTAD, ROBERT L. Dcima Edicin.


2. Principios de Electrnica
Ed. Mc. Graw Hill .Malvino Bates/ Sptima
Edicin/2011
3. Prcticas de Electrnica
Ed. Mc. Graw Hill. Angulo, Muoz, Pareja. /quinta edicin/2012
4. Amplificadores Operacionales y Circuitos Integrados Lineales.
Ed. Prentice Hall. Cuarta Edicin. /Coughlin Discoll. /2012
http://agamenon.tsc.uah.es/Asignaturas/ittse/asc/apuntes/Tema3.pdf/2009
http://www.unicrom.com/Art_AmpOpIni_naciydesa.asp/2011
http://www.unicrom.com/Tut_amplificador_diferencial.asp/2012
http://es.wikipedia.org/wiki/Amplificador_operacional/2015
http://www.qi.fcen.uba.ar/materias/iqi/opamp1.html#El diferenciador/2015
http://www.educarchile.cl/ech/pro/app/detalle?id=218931/2015
http://es.wikipedia.org/wiki/Circuito_integrado_555/2015
http://electronica-teoriaypractica.com/circuito-7490-ttl/2015
http://es.wikipedia.org/wiki/Decodificador/2013
https://www.google.com.mx/?
gws_rd=ssl#safe=off&q=circuito+integrado+ls+7442/2014
http://electronica-teoriaypractica.com/circuito-7442-ttl/2015
http://www.electronica-basica.com/7490.html/2012
http://electronica-teoriaypractica.com/circuito-7404-ttl/2015
https://www.google.com.mx/search?
q=CIRCUITO+INVERSOR+7404&sa=X&tb/2015m=isch&tbo=u&source=univ&e
i=s1r6U87lMNS98QGD7ICICw&ved=0CC8QsAQ&biw=1366&bih=633/2015
http://sergiorendain.blogspot.mx/2011/02/familias-logicas-ttl-y-cmos.html/2012
http://www.ladelec.com/teoria/tutoriales-de-electronica/53-eltemporizador/2014circuito-fundamental-en-el-control-electronico/2014
http://www.ea1uro.com/eb3emd/Temporizadores_sencillos.htm/2015

Das könnte Ihnen auch gefallen