Sie sind auf Seite 1von 23

5

Project Name :B10IE01 Rev:A


Platform : PineView D / M + TigerPoint

M/B Schematic Version Change List


PAGE

Release
Date

CONTENT

09/23

1. INDEX
2. SYSTEM BLOCK DIAGRAM

Version

PCB P/N

PCB Description

PCBA P/N

Note

71-MB
71R-B10IE0-T8A0PCB,M/B,B10IE01,143.774*140.35mm,4,
Rev.A0,Trendtronic
71R-B10IE0-9HA0
71-MB PCB,M/B,B10IE01,L:143*W:140*H:1.2,4,Rev.A0,hannstar

3. POWER DIAGRAM & SEQUENCE


4. GPIO & Power Consumption

CPU

TIGER-POINT

5.

CPU PineView 1/2

6.

CPU PineView 1/2

7.

TPT - PCI&USB&HOST 1/3

8.

TPT - Audio&SPI&GPIO 2/3

9.

TPT - POWER 3/3

10.

CLOCK GEN (ICS9LPR3165B)

11.

DDR2 SODIMM

12.

CRT/LVDS

13.

USB/CCD/HDD/ODD/3G+MODEM/IO

14.

Mini Card/TP+LED

15.

CODEC(IDT92HD81) / SPK

16.

GXT CONN.

17.

DC IN / FAN

18.

EC IT8500 / BIOS / LID

19.

VCC SW

20.

BATT IN / CHARGER (OZ8602)

21.

CPU CORE (OZ8291)

22.

+1.5V/+1.05V(8116)/3VA/0.9VS

23.

+1.8VS/+5VA (OZ815)

24.

Appendix Ver.B History

25.

Appendix Ver.C History

Daughter Board Schematic Version Change List


Release Date

Version

PCB P/N

PCB Description

PCBA P/N

Note

hexainf@hotmail.com
GRATIS - FOR FREE

SHUTTLE
Title

INDEX

Size

Document Number

Date:

5025
Tuesday, December 22, 2009

Rev
A

Sheet

of

27

SYSTEM BLOCK DIAGRAM


THERMAL SENSOR
05

EMC1402

CPU and NB
D

1366 * 768 one channel


DDR2 RAM BUS
2GB MAX MEMORY
667/800MHZ (P-D)
667MHZ (P-M)

SO-DIMM0
200 Pin

PINEVIEW-D&M

LVDS

LED
12

30 Pin

RGB

11

CRT

12

SMBUS
05 , 06

HP Jack x 1
MIC Jack x 1
INT A-Mic x 1

DMI
X4 (P-D)
X2 (P-M)

RTC
32.768KHZ

Codec
AZALIZA

IDT92HD81

Internal SPK

48 Pin LQFP

4 1.5W x 2

RJ11

PCIE1

13

AIO VGA CONN.

16

Modem

CRYSTAL

MB USB

PCIE2

USB0

LAN 10/100+Cardreader

13

JMC261
USB1

3G

25MHZ

RJ45
16

64 Pin QFN

13

Mini Card

USB2

TIGHTER POINT

GXT CONN.

16

14

PCIE4

USB3

WEBCAM

PCIE3

Mini Card

14

13

USB4

IO USB

13

AIO USB

USB5
16

SATA0

GXT USB
MB USB

HDD

USB6

13

16

SATA1

USB7
13

AIO ODD CONN 16

07,08,09

LPC
SPI

Flash ROM
Winbon W25X16

18

Embedded Controller (EC)


OZ8602

PS/2

T/P

GPIO

Charger

12 Pin 14

ITE8500

20

K/B Matrix

FAN

AIO useing

FAN Driver

3 PIN 17

Internal K/B
24 Pin

18

G990 17

SMBUS 1

18
A

SMBUS 0
B10IE01

GXT CONN

CPU Thermal Sensor


EMC1402

16

Clock Gen
05

CRYSTAL

ICS9LPR3165B 10
3

14.318MHZ

Battery
9 Pin

Title

SYSTEM BLOCK DIAGRAM

20

Size

Document Number

Date:

5025
Tuesday, December 22, 2009

Rev
A

Sheet

of

27

POWER BLOCK DIAGRAM

VID0
VID1
VID2
VID3
VID4
VID5
VID6

System Poewr On Sequence

VIN
Adaptor or Battery
PWRSW

OZ8291
P1203BV

10A

* +3.3VS_ON

+CPU_CORE
IMVP-6

+3VA / +5VA

+5VS

P1203BV x2

+3.3VS ,+1.8VS,+1.05VS

3.3VS_ON_HV

1ms

3.3VS_ON_HV

+0.9VS
5ms

RSMRST#

*
* PWRBTN#

20ms
100ms

VIN

** PM_SLP_S4#
** PM_SLP_S3#

+1.8VS
7A

+0.9VS

5.03A

10ms

* +5V_ON
P1203BV

FP6137C_2A
+1.5V

P1203BV

1.43A

+5V

5V_ON_HV

+3.3V

5V_ON_HV

+1.5V ,+5Vref

OZ8033_5A

1ms

1ms
1ms

5V_ON_HV

1ms

+1.05V

0Z815

1ms

+1.8V

+1.8V

0.514A

P1203BV

* VCORE_ON

+5VA
VIN

+CPU_CORE
tpt->cpu

9A

CPUPWRGD

100ms

* PWROK
+3.3VA

TBD

3.636A

P1203BV

PCIRST# / PLTRST#

FP6137C_2A

CPURST#

P1203BV
+3.3VS

??A

P1203BV

* EC
** EC

Control Pin (O/P)


Control Pin(I/P)

+3.3V

1.516A

P1203BV

+5VS
4.25A

P1203BV

+5V

2.3A

P1203BV
VIN
+1.05V
P1203BV

8A

0Z8116
A

hexainf@hotmail.com
GRATIS - FOR FREE

P1203BV

SHUTTLE
Title

POWER DIAGRAM & SEQUENCE

Size

Document Number

Date:

5025
Tuesday, December 22, 2009

Rev
A

Sheet

of

27

TPT

ITE8512E

GPIO
D

GPIO0
GPIO1
GPIO2
GPIO3
GPIO4
GPIO5
GPIO6
GPIO7
GPIO8
GPIO9
GPIO10
GPIO11
GPIO12
GPIO13
GPIO14
GPIO15
GPIO17
GPIO18
GPIO19
GPIO20
GPIO21
GPIO22
GPIO24
GPIO25
GPIO26
GPIO27
GPIO28
GPIO29
GPIO30
GPIO31
GPIO32
GPIO33
GPIO34
GPIO35
GPIO36
GPIO37
GPIO38
GPIO39
GPIO40
GPIO41
GPIO42
GPIO43
GPIO48

PM_BM_BUSY#
EC_EXTSMI#
INT_PIRQE#
INT_PIRQF#
INT_PIRQG#
INT_PIRQH#
BIOS_REC
EC_EXTSMI#

N.C
N.C
N.C
SMB_ALERT#
N.C
N.C
N.C
N.C
SB_SPI_EN#

STPPCI#
SATA1GP
STPCPU#
SATA0GP
PCI_REQ#4
NC
NC
NC
BIOS_REC
NC
USB_OC#5
USB_OC#6
USB_OC#7
PM_CLKRUN#
NC
NC
NC
SATA2GP
SATA3GP
NC
NC
USB_OC#1
USB_OC#2
USB_OC#3
USB_OC#4
GNT4#

GPA0
GPA1
GPA2
GPA3
GPA4
GPA5
GPA6
GPA7
GPB0
GPB1
GPB2
GPB3
GPB4
GPB5
GPB6
GPB7
GPC0
GPC1
GPC2
GPC3
GPC4
GPC5
GPC6
GPC7
GPD0
GPD1
GPD2
GPD3
GPD4
GPD5
GPD6
GPD7
GPE0
GPE1
GPE2
GPE3
GPE4
GPE5
GPE6
GPE7
GPF0
GPF1
GPF2
GPF3
GPF4
GPF5
GPF6
GPF7
GPG0
GPG1
GPG2
GPG6
GPH0
GPH1
GPH2
GPH3
GPH4
GPH5
GPH6

Default

GPIO

Pull/Mode

P_ID0
P_ID1
PWR_LED#
Wirelan_B/T_ON
BTL_BEEP
LED1
PM_RSMRST#
EC_BL_PWM
PM_SLP_S4#
PM_SLP_S3#
+1.05VS_ON
BAT_SMBCLK
BAT_SMBDAT
H_A20GATE
H_RCIN#
LED2
+1.5V_on
SMB_CLK
SMB_DAT

UP / GPI

N.C

Dn / GPI

LCDSW0

Dn / GPI

N.C

Dn / GPI

LCDSW1
N.C
ADAP_IN
PWRBTN#
EC_LPCRST#

Dn / GPI

N.C

UP / GPI

EC_EXTSMI#
N.C
CHG_ON#
EC_BL_EN
LID#
SET_V
PWROK
Vcore_ON
PWRSW
CHG_G_LED
CHG_R_LED
MUTE
COYTOE_RDY
SB_SPI_EN#
Coyote_VDDP_on
N.C
TP_CLK
TP_DATA
N.C
N.C
EC_WDOG OK

UP / GPI

UP / GPI
UP / GPI
UP / GPI
UP / GPI
UP / GPI
UP / GPI
UP / GPI
UP / GPI
UP / GPI
Dn / GPI
/ GPI
/ GPI
/ GPO

ITE8512E
GPIO
GPI0
GPI1
GPI2
GPI3
GPI4
GPI5
GPI6
GPI7
GPJ0
GPJ1
GPJ2
GPJ3
GPJ4
GPJ5

BATT_TEMP
ADAPTOR_I
BAT_V
DDR2_TEMP
BAT_I
SLP_S3_COY
EC_BSEL0
SYS_TEMP
EC_BRGHT
CHG_I
FAN_CTRL0
CHG_REF

N.C
PM_THROTTING#

PINEVIEW-D

Default
Pull/Mode
/GPI/ADC

CPU CORE(V) ICC(A)

TEMP()

IMVP-6+

/GPI/ADC

/GPI/ADC
/GPI/ADC
/GPI/ADC
/GPI/ADC
/GPI/ADC
/GPI/ADC
/GPI/DAC
/GPI/DAC

ITE8500E

/GPI/DAC

VCC
+3.3V

/GPI/DAC
/GPI/DAC

TPT

/GPI/DAC

CPU CORE(V) ICC(A)

UP / Func1

mW
330

TEMP()
70

TEMP()

IMVP-6+

Dn / GPI

ICC(mA)
100

CLOCK GENERATOR

Dn / GPI

VCC
+3.3V

/ GPI
/ GPI

ICC(mA)
250

mW
825

TEMP()
70

EMC1402
VCC
+3.3V

ICC
170uA

mW
0.56

TEMP()
150

mW
825

TEMP()
70

mW
825

TEMP()
70

UP / GPI
UP / GPI

CODEC

UP / GPI
UP / Func1

VCC
+3.3V

ICC(mA)
250

UP / GPI

JMC261

Dn / GPI
Dn / GPI

VCC
+3.3V

Dn / GPI

ICC(mA)
250

Dn / GPI
Dn / GPI
Dn / GPI
UP / GPI
Dn / GPI
Dn / GPI
UP / GPI
UP / GPI
B

UP / GPI
UP / GPI
UP / GPI
UP / GPI
UP / GPI
UP / GPI
UP / GPI
Dn/GPO/TM
Dn/GPO/ID7

FLFRAME#
WEBCAN_ON
Dn/GPI/ID0

+1.8VS_ON
SENBAT_V
3G_ON
+5V_ON

Dn/GPI/ID1
Dn/GPI/ID2
Dn/GPI/ID3
Dn/GPI/ID4
Dn/GPI/ID5

RF_LED_ON

Dn/GPI/ID6

SHUTTLE
Title

GPIO & Power Consumption

Size

Document Number

Date:

5025
Tuesday, December 22, 2009

Rev
A

Sheet

of

27

DPRSTP# / DPSLP#- This function is not


supported for Pineview-D.
PROCHOT# -will go active when the processor
temperature monitoring sensor(s) detects that the
processor has reached its maximum safe operation
temperature.when
in: refer to system thermal
output: int thermal enable
(pull 750ohm??)

PINEVIEW

+CPU_CORE

RA1-RB_15

.1U-10-04R-K

VCCP

0-06

VCCP_1
VCCP_2

0.305A

+1.05V_VCCDDPL

EMI
V11
C146

T30

VCCACRTDAC

+3.3V

ICH
CPU
B

313.5uA

Q27
E

3.5uA

H_VID4

FMBT3904
C

3.5uA

H_VID5

FMBT3904
C

310uA

R68

R70

OP

R331

ADM1032

0R

0R

10k

W83L771AWG

0R

0R

10k

VCCSFR_AB_DPL

VCCALVD
VCCDLVD

+1.05V

10K-1-04

+3.3V

310uA

V30
W31

+1.05V

VCC_GIO
VCCRING_EAST
VCCRING_WEST_1
VCCRING_WEST_2
VCCRING_WEST_3
VCC_LGI_VID

VCCA_DMI_1
VCCA_DMI_2
VCCA_DMI_3
RSVD_17
VCCSFR_DMIHMPLL

T1
T2
T3

BD-QT1608RL-30

E2

+1.05V

5 OF 6

C365

C366

C369

100K-1-04

+1.05V

R99

10K-1-04

+3.3V

310uA

CPU_H_VID5 18

V_1P8_PLLSFR INCLUDE
1.VCCSFR_AB_DPL
2.VCCSFR_DMIHMPLL
3.VCCALVD
4.VCCDLVD
5.VCCACRTDAC

N/A
R103 R98 Q29 R102
R100 Q28 R101 R99 Q27

close to
pin

85mA

R104
1

VCCACRTDAC
C138

C371

2
BD-QT1608RL-30

R299
VCCAD_LVD 1

2
B

C368

C367

.1U-16-04Y-Z

.1U-16-04Y-Z

BD-QT1608RL-30

0.43A MAX
VCCSFR_DMIHMPLL

R107
1

C143

2
BD-QT1608RL-30

.1U-16-04Y-Z

.1U-10-04R-K

R297

+CPU_CORE

+1.05V

VDD_7421

68-06

Place near EXTBGREF ,


GTLREF pins
Trace L<500mil
+1.05V
,W>4mil,S>15mil

+1.05V
R76

2.2U-6.3-06R-K
100K-04

C
SMBCLK_EC 10,18

PM_THRMTRIP#

R67

1K-04

C101
.1U-16-04Y-Z

W83L771AWG
R331
VDD_7421
10K-1-04

2
BD-QT1608RL-30

.1U-16-04Y-Z

R324

C111

1K-1-04

C102

C386

RA-RB14
The V1_05_core Linear Voltage Regulator will source to Tiger Point
core Voltage and Pineview-D uncore voltage. V_1p8_PLLSFR will then need to be
turned off before V_1p05_CORE start to ramp down.

R319
976-1-04

BD-QT1608RL-30
C397

Q24

ALERT#

.1U-10-04R-K

AUX_OFF 23

SMBDAT_EC 10,18

@2.2U-6.3-06R-K

ALERT

2.2U-10-06X-Z

SCLK

.22U-16-04Y-Z

D-

C364

VCCRING
56-04
ADATA

THERM#

D+

FMBT3904

THERMDC
2200P-50-04X-K

VDD

U3
THERMDA

C100

GND

CLOSE TO IC
0-04

B35

R73

VCCSFR_AB_DPL

+1.05V

H_EXTBGREF

H_GTLREF

0.7
C105
.1U-16-04Y-Z

R320
3.32K-1-04

C395
.1U-16-04Y-Z

R311

0-04

T29

6 OF 6

10U-6.3-08R-K10U-6.3-06R

+1.8VS

C387

R70

C360

CPU Thermal Sensor

THRMDC

VSS_154

+1.8V

BD-QT1608RL-30

R68

GND

F24
F28
F4
G15
G17
G22
G27
G31
H11
H15
H2
H21
H25
H8
J11
J13
J15
J4
K11
K13
K19
K26
K27
K28
K30
K4
K8
L1
L13
L18
L22
L24
L25
L29
M28
M3
N1
N13
N18
N24
N25
N28
N4
N5
N8
P13
P14
P16
P18
P19
P21
P3
P4
R25
R7
R8
T11
U22
U23
U24
U27
V14
V16
V18
V28
V29
W13
W2
W23
W25
W26
W28
W30
W4
W5
W6
W7
Y28
Y3
Y4

Pineview D510

RA-RB19

10.4.2.6.1
VCCACRTDAC are very sensitive
use of a linear VR voltage source is strongly recommended

R289

THRMDA

VSS_74
VSS_75
VSS_76
VSS_77
VSS_78
VSS_79
VSS_80
VSS_81
VSS_82
VSS_83
VSS_84
VSS_85
VSS_86
VSS_87
VSS_88
VSS_89
VSS_90
VSS_91
VSS_92
VSS_93
VSS_94
VSS_95
VSS_96
VSS_97
VSS_98
VSS_99
VSS_100
VSS_101
VSS_102
VSS_103
VSS_104
VSS_105
VSS_106
VSS_107
VSS_108
VSS_109
VSS_110
VSS_111
VSS_112
VSS_113
VSS_114
VSS_115
VSS_116
VSS_117
VSS_118
VSS_119
VSS_120
VSS_121
VSS_122
VSS_123
VSS_124
VSS_125
VSS_126
VSS_127
VSS_128
VSS_129
VSS_130
VSS_131
VSS_132
VSS_133
VSS_134
VSS_135
VSS_136
VSS_137
VSS_138
VSS_139
VSS_140
VSS_141
VSS_142
VSS_143
VSS_144
VSS_145
VSS_146
VSS_147
VSS_148
VSS_149
VSS_150
VSS_151
VSS_152
VSS_153

Close pin 100 mil

CPU_H_VID4 18

R101

VSS_2
VSS_3
VSS_4
RSVD_NCTF_1
RSVD_NCTF_2
RSVD_NCTF_3
RSVD_NCTF_4
VSS_5
VSS_6
VSS_7
VSS_8
VSS_9
VSS_10
VSS_11
VSS_12
VSS_13
VSS_14
VSS_15
VSS_16
VSS_17
VSS_18
VSS_19
VSS_20
VSS_21
VSS_22
VSS_23
VSS_24
VSS_25
VSS_26
VSS_27
VSS_28
VSS_29
VSS_30
VSS_31
VSS_32
VSS_33
VSS_34
VSS_35
VSS_36
VSS_37
VSS_38
VSS_39
VSS_40
VSS_41
VSS_42
VSS_43
VSS_44
VSS_45
VSS_46
VSS_47
VSS_48
RSVD_NCTF_5
VSS_49
VSS_50
RSVD_NCTF_6
RSVD_NCTF_7
VSS_51
RSVD_NCTF_8
RSVD_NCTF_9
VSS_52
VSS_53
RSVD_NCTF_10
VSS_54
RSVD_NCTF_11
RSVD_NCTF_12
RSVD_NCTF_13
VSS_55
VSS_56
VSS_57
VSS_58
VSS_59
RSVD_NCTF_14
RSVD_NCTF_15
VSS_60
VSS_61
RSVD_NCTF_16
VSS_62
VSS_63
VSS_64
VSS_65
RSVD_NCTF_17
VSS_66
RSVD_NCTF_18
VSS_67
VSS_68
VSS_69
VSS_70
VSS_71
VSS_72
VSS_73

Pineview D510

VCCCK_DDR

+3.3V

B34

0.48A

VCCA_DMI

P2
AA1 VCCSFR_DMIHMPLL

P-M

N/A

VCCAD_LVD

VCCACRTDAC

CPU_H_VID3 18
100K-1-04

R103 R98 Q29 R102

SETTING

.1U-16-04R-K
.1U-16-04R-K

VCCP_3

R100

mount R100 Q28 R101 R99 Q27


IC

T31
J31
C3
B2
C2
A21

C140

No device can be connect to ground

+3.3V

R102

P-D

0.005A

+1.05V

+1.05V

2.2U-6.3-06R-K

313.5uA

Q28
E

VCCD_HMPLL

+1.05V

B4
B3

.1U-16-04Y-Z

313.5uA

10K-1-04

VCC_SENSE_CPU 21
VSS_SENSE_CPU 21
+1.5V

D4

.1U-16-04Y-Z

H_VID3

R98
FMBT3904
C

AC31

VCCSFR_AB_DPL

VCCRING

Q29
E

C114 C116 C113 C123

have internal PLL filter

C29
B29
Y2

C112

0.514A

Pineview D510

100K-1-04

VCCD_AB_DPL

H_EXTBGREF

4 OF 6

R103

AA19

LVDS

B16
+1.05V

dual core second


thermal test point

3.5uA

VCCSENSE
VSSSENSE
VCCA

VCCACK_DDR_1
VCCACK_DDR_2

C124

0.08A

L7
D20
H13
D18
K9
D19
K7

AA10
AA11

21
21
21
21
21
21
21

VCCA_DDR_1
VCCA_DDR_2
VCCA_DDR_3
VCCA_DDR_4
VCCA_DDR_5
VCCA_DDR_6
VCCA_DDR_7
VCCA_DDR_8
VCCA_DDR_9
VCCA_DDR_10
VCCA_DDR_11

DMI

H_VID0
H_VID1
H_VID2
H_VID3
H_VID4
H_VID5
H_VID6

.1U-10-04R-K

CPU_BSEL0 10,18
CPU_BSEL1 10

H30
H29
H28
G30
G29
F29
E29

C130

C118

A11
A16
A19
A29
A3
A30
A4
AA13
AA14
AA16
AA18
AA2
AA22
AA25
AA26
AA29
AA8
AB19
AB21
AB28
AB29
AB30
AC10
AC11
AC19
AC2
AC21
AC28
AC30
AD26
AD5
AE1
AE11
AE13
AE15
AE17
AE22
AE31
AF11
AF17
AF21
AF24
AF28
AG10
AG3
AH18
AH23
AH28
AH4
AH6
AH8
AJ1
AJ16
AJ31
AK1
AK2
AK23
AK30
AK31
AL13
AL19
AL2
AL23
AL29
AL3
AL30
AL9
B13
B16
B19
B22
B30
B31
B5
B9
C1
C12
C21
C22
C25
C31
D22
E1
E10
E19
E21
E25
E8
F17
F19

@.1U-10-04R-K

CLK_CPU_BCLK# 10
CLK_CPU_BCLK 10

C128

C115

@.1U-10-04R-K

RSVD_TP_13
RSVD_TP_14
EXTBGREF

H10
J10
K5
H5
K6

C127

VCCCK_DDR_1
VCCCK_DDR_2

C396

@.1U-10-04R-K

THRMDA_1
THRMDC_1
THRMDA_2
THRMDC_2

EMI C488

L6
E17

.1U-16-04Y-Z

TP1
TP2

RSVD_13
RSVD_14
RSVD_15
RSVD_16

THERM

D30
E30
C30
D31

THRMDA
THRMDC

TESTINB
TDI
TDO
TCK
TMS
TRST_B

U10
U5
U6
U7
U8
U9
V2
V3
V4
W10
W11

EXP\CRT\PLL

XDP_TCK
XDP_TMS
XDP_TRST#

debug port

VID_0
VID_1
VID_2
VID_3
VID_4
VID_5
VID_6

AK7
AL7

V_SM_1
V_SM_2
V_SM_3
V_SM_4
V_SM_5
V_SM_6
V_SM_7

C110

100p-50-04N-J

close to CPU

G5
D14
D13
B14
C14
C16

BCLKN
BCLKP
BSEL_0
BSEL_1
BSEL_2

BD-QT2012RL-120

2.2U-6.3-06R-K

XDP_TESTIN_N
XDP_TDI

BPM_2B_0
BPM_2B_1
BPM_2B_2
BPM_2B_3

1.32A

B15
+1.05V

4.7U-25-08R-K

56-04

BPM_1B_0
BPM_1B_1
BPM_1B_2
BPM_1B_3

VCCCK_DDR

+1.05V_VCCADDR

H_GTLREF

2.2U-6.3-06R-K

R89

B18
B20
C20
B21

DEBUG XDP

+1.05V

RSVD_11
RSVD_12

AK13
AK19
AK9
AL11
AL16
AL21
AL25

C106

100p-50-04N-J

GTLREF
VSS_1

100K-04
100K-04

G11
E15
G13
F13

FERR#

EC_PROCHOT# 18

R326 @100-04

CPUPWRGD 8

C173

PINEVIEW

REV = 1.1

2.2U-6.3-06R-K

R97
R96

HPROCHOT#
S>15mils

A13
H27

C160

U14F

2.2U-6.3-06R-K

NB_LCD_BL_EN
NB_LCD_PWR_EN

C18
W1

2.2K-04
2.2K-04

C148

400mil

5.77A_N450
6.04A_N470
9.64A_P-D
4.7U-25-08R-K

R81
R82

R325
68-04

+CPU_CORE

4.7U-25-08R-K

PROCHOT_B
CPUPWRGOOD
LCTLA_CLK
LCTLB_DATA

PM_THRMTRIP#

A23
A25
A27
B23
B24
B25
B26
B27
C24
C26
D23
D24
D26
D28
E22
E24
E27
F21
F22
F25
G19
G21
G24
H17
H19
H22
H24
J17
J19
J21
J22
K15
K17
K21
L14
L16
L19
L21
N14
N16
N19
N21

4.7U-25-08R-K

12 LVDS_EDID_CLK
12 LVDS_EDID_DAT
12 NB_LCD_PWR_EN

E13

2.27A 80mil

+1.8VS

2.2U-6.3-06R-K

+3.3V

THERMTRIP_B

PRDY#
PREQ#

VCCGFX_1
VCCGFX_2
VCCGFX_3
VCCGFX_4
VCCGFX_5
VCCGFX_6
VCCGFX_7
VCCGFX_8
VCCGFX_9
VCCGFX_10
VCCGFX_11

POWER

DPRSTP# 8
DPSLP#
8
INIT_N#
7
+1.05V

2.2U-6.3-06R-K

LCTLA_CLK
LCTLB_DATA

LVD_IBG
LVD_VBG
LVD_VREFH
LVD_VREFL
LBKLT_EN
LBKLT_CTL
LCTLA_CLK
LCTLB_DATA
LDDC_CLK
LDDC_DATA
LVDD_EN

T13
T14
T16
T18
T19
V13
V19
W14
W16
W18
W19

VCC_1
VCC_2
VCC_3
VCC_4
VCC_5
VCC_6
VCC_7
VCC_8
VCC_9
VCC_10
VCC_11
VCC_12
VCC_13
VCC_14
VCC_15
VCC_16
VCC_17
VCC_18
VCC_19
?
VCC_20
VCC_21
VCC_22
VCC_23
VCC_24
VCC_25
VCC_26
VCC_27
VCC_28
VCC_29
VCC_30
VCC_31
VCC_32
VCC_33
VCC_34
VCC_35
VCC_36
VCC_37
VCC_38
VCC_39
VCC_40
VCC_41
VCC_42

DDR

12 NB_LCD_BL_EN

G6
G10
G8
E11
F15

7
7
7
7
7
7
7

2.2U-6.3-06R-K

56-04

DPRSTP_B
DPSLP_B
INIT_B
PRDY_B
PREQ_B

SMI#
A20M#
FERR#
INTR
NMI
IGNNE#
STPCLK#

C133

10U-6.3-06R

R85

R22
J28
N22
N23
L27
L26
L23
K25
K23
K24
H26

E7
H7
H6
F10
F11
E5
F8

+1.05V_GFX

C142

.1U-10-04R-K

XDP_TCK
ClosetoCPU

2.37K-1-04 LVD_IBG

R90

SMI_B
A20M_B
FERR_B
LINT00
LINT10
IGNNE_B
STPCLK_B

@.1U-10-04R-K

56-04

REV = 1.1

C137 C134 C139


@.1U-10-04R-K

56-04
56-04

R75

LVD_A_CLKN
LVD_A_CLKP
LVD_A_DATAN_0
LVD_A_DATAP_0
LVD_A_DATAN_1
LVD_A_DATAP_1
LVD_A_DATAN_2
LVD_A_DATAP_2

@.1U-10-04R-K

R94
R74

XDP_TRST#

U25
U26
R23
R24
N26
N27
R26
R27

NB_LVDSA_CLKN
NB_LVDSA_CLKP
NB_LVDSA_N0
NB_LVDSA_P0
NB_LVDSA_N1
NB_LVDSA_P1
NB_LVDSA_N2
NB_LVDSA_P2

LVDS

XDP_TESTIN_N
XDP_TMS

PINEVIEW

U14D

12
12
12
12
12
12
12
12

C119

@4.7U-25-08R-K

+1.05V

56-04
56-04
56-04

C108

160mil

2 OPEN

JP2

CPU

3.36A_P-D
2.64A_P-M

R84
R86
R87

REV = 1.1

GFX/MCH

+1.05V

PRDY#
PREQ#
XDP_TDI

U14E

BPM ref
pow

R323

2K-1-04

The processor will stop all execution when the


junction temperature exceeds approximately 125 C.

hexainf@hotmail.com
GRATIS - FOR FREE

SHUTTLE
Title

CPU PineView 1/2

Size

Document Number

Date:

5025
Tuesday, December 22, 2009
1

Rev
A
Sheet

of

27

C376 C377 C372 C374

P-D

mount

P-M

R306 R304 R302 R301

P-D
PINEVIEW

U14A

PINEVIEW

mount

P-M

U14B
11

C381
C380
C378
C379
C376
C377
C372
C374

DMI_RXP0
DMI_RXN0
DMI_RXP1
DMI_RXN1
DMI_RXP2
DMI_RXN2
DMI_RXP3
DMI_RXN3

.1U-10-04R-K
.1U-10-04R-K
.1U-10-04R-K
.1U-10-04R-K
.1U-10-04R-K
.1U-10-04R-K
.1U-10-04R-K
.1U-10-04R-K

CPU_DMI_RXP0 F3
CPU_DMI_RXN0 F2
CPU_DMI_RXP1 H4
CPU_DMI_RXN1G3
CPU_DMI_RXP2 K2
CPU_DMI_RXN2 J1
CPU_DMI_RXP3 M4
CPU_DMI_RXN3 L3
N7
N6

10 CLK_EXP_DMI#
10 CLK_EXP_DMI

R10
R9
N10
N9

DMI_RXP_0
DMI_RXN_0
DMI_RXP_1
DMI_RXN_1
DMI_RXP_2
DMI_RXN_2
DMI_RXP_3
DMI_RXN_3

DMI_TXP_0
DMI_TXN_0
DMI_TXP_1
DMI_TXN_1
DMI_TXP_2
DMI_TXN_2
DMI_TXP_3
DMI_TXN_3

DMI

EXP_CLKINN
EXP_CLKINP

EXP_RCOMPO
EXP_ICOMPI
EXP_RBIAS

RSVD_1
RSVD_2
RSVD_3
RSVD_4

RSVD_TP_1
RSVD_TP_2

G2
G1
H3
J2
K3 DMITXP2
L2 DMITXN2
M2 DMITXP3
N2 DMITXN3

R306
R304
R302
R301

0-04
0-04
0-04
0-04

N11
P11
R321
750-1-04

R322
49.9-1-04

11
11
11
11

Pineview D510

VGA

CRT_HSYNC
CRT_VSYNC
CRT_RED
CRT_GREEN
CRT_BLUE
CRT_IRTN

CRT_DDC_DATA
CRT_DDC_CLK
DAC_IREF
DPL_REFCLKINP
DPL_REFCLKINN
DPL_REFSSCLKINP
DPL_REFSSCLKINN

M30
M29

NB_CRT_HSYNC
NB_CRT_VSYNC

N31
P30
P29
N30

AH22
AK25
AJ21
AJ25

MA_CKE2
MA_CKE3

AH10
AH9
AK10
AJ8

MA_ODT2
MA_ODT3

AK24
AH26
AH24
AK27

L31
L30
P28
Y30
Y29
AA30
AA31

RSVD_7

12
12

11
11

MA_ODT2
MA_ODT3

NB_CRT_RED 12
NB_CRT_GREEN 12
NB_CRT_BLUE 12

NB_DCC_DATA 12
NB_DCC_CLK 12
DAC_IREF

R300
665-1-04

R329

NB_DOT_96CLK 10
NB_DOT_96CLK# 10
CLK_LVDS_SPREAD 10
CLK_LVDS_SPREAD# 10
DPRSLPVR_RSVD8

R328

R327

R328

OP

OP

P-D

OP

P-M

OP mount mount

@0-04

11
11
11
11

AG15
AF15
AD13
AC13
AD17
AC17
AC15
AD15
AF13
AG13
AB15
AB17

MA_CK0
MA_CK#0
MA_CK1
MA_CK#1

MA_CK3
MA_CK#3
MA_CK4
MA_CK#4

DDR_A_BS_0
DDR_A_BS_1
DDR_A_BS_2

DDR_A_CSB_0
DDR_A_CSB_1
DDR_A_CSB_2
DDR_A_CSB_3
DDR_A_CKE_0
DDR_A_CKE_1
DDR_A_CKE_2
DDR_A_CKE_3
DDR_A_ODT_0
DDR_A_ODT_1
DDR_A_ODT_2
DDR_A_ODT_3

DDR_A_CK_0
DDR_A_CKB_0
DDR_A_CK_1
DDR_A_CKB_1
DDR_A_CK_2
DDR_A_CKB_2
DDR_A_CK_3
DDR_A_CKB_3
DDR_A_CK_4
DDR_A_CKB_4
DDR_A_CK_5
DDR_A_CKB_5

AA21
W21
T21
V21

RSVD_TP_5
RSVD_TP_6
RSVD_TP_7
RSVD_TP_8

CLK_MCH_BCLK# 10
CLK_MCH_BCLK 10

PM_EXT_TS0# =J30 -> connection with the


external thermal sensor device and the
SODIMM thermal sensor pin.

AB4
AK8

R292

C358

1K-1-04

.1U-16-04Y-Z

R291

C356

AB11
AB13

close to cpu

MCH_VREF AL28
DDR_RPD AK28
AJ26

C357

AK29

RSVD_TP_9
RSVD_TP_10
RSVD_TP_11
RSVD_TP_12

DDR_PREF
RSVD_5

mount

W8
W9

10K-04 DDR_PWROK

R296

1K-1-04

.1U-16-04Y-Z

1000P-50-04X-K

AA7
AA6
R5
R6

+1.8VS

DELAY_VR_PWRGOOD 21
PLT_RST# 8,13,14,16,18

RSVD_TP_3
RSVD_TP_4
DDR_VREF
DDR_RPD
DDR_RPU
RSVD_6

R293

2
PWROK

8,18

+1.8VS
0-04
2

R288
80.6-1-04
1

DDR_A_DQ_8
?
DDR_A_DQ_9
DDR_A_DQ_10
DDR_A_DQ_11
DDR_A_DQ_12
DDR_A_DQ_13
DDR_A_DQ_14
DDR_A_DQ_15
DDR_A_DQS_2
DDR_A_DQSB_2
DDR_A_DM_2
DDR_A_DQ_16
DDR_A_DQ_17
DDR_A_DQ_18
DDR_A_DQ_19
DDR_A_DQ_20
DDR_A_DQ_21
DDR_A_DQ_22
DDR_A_DQ_23

DDR_A_DQ_24
DDR_A_DQ_25
DDR_A_DQ_26
DDR_A_DQ_27
DDR_A_DQ_28
DDR_A_DQ_29
DDR_A_DQ_30
DDR_A_DQ_31

DDR_A_DQS_5
DDR_A_DQSB_5
DDR_A_DM_5
DDR_A_DQ_40
DDR_A_DQ_41
DDR_A_DQ_42
DDR_A_DQ_43
DDR_A_DQ_44
DDR_A_DQ_45
DDR_A_DQ_46
DDR_A_DQ_47
DDR_A_DQS_6
DDR_A_DQSB_6
DDR_A_DM_6
DDR_A_DQ_48
DDR_A_DQ_49
DDR_A_DQ_50
DDR_A_DQ_51
DDR_A_DQ_52
DDR_A_DQ_53
DDR_A_DQ_54
DDR_A_DQ_55

80.6-1-04

R57
DELAY_VR_PWRGOOD 1

DDR_A_DQS_1
DDR_A_DQSB_1
DDR_A_DM_1

DDR_A_DQ_32
DDR_A_DQ_33
DDR_A_DQ_34
DDR_A_DQ_35
DDR_A_DQ_36
DDR_A_DQ_37
DDR_A_DQ_38
DDR_A_DQ_39

MISC

RSVD_8
RSVD_9
PWROK
RSTINB

DDR_A_DQ_0
DDR_A_DQ_1
DDR_A_DQ_2
DDR_A_DQ_3
DDR_A_DQ_4
DDR_A_DQ_5
DDR_A_DQ_6
DDR_A_DQ_7

DDR_A_DQS_4
DDR_A_DQSB_4
DDR_A_DM_4

P-M : AD17,AC17,AB15,AB17 RSVD.

DPRSLPVR 8,21

DDR_A_DQS_0
DDR_A_DQSB_0
DDR_A_DM_0

DDR_A_DQS_3
DDR_A_DQSB_3
DDR_A_DM_3

+1.8VS
K29
R329
@10K-04
J30 RSVD9R327
@10K-04
L5
DELAY_VR_PWRGOOD
AA3

REV = 1.1

DDR

DDR_A_WEB
DDR_A_CASB
DDR_A_RASB

+3.3V

HPL_CLKINN
HPL_CLKINP

MA_CS#2
MA_CS#3

mount

XDP_RSVD_00
XDP_RSVD_01
XDP_RSVD_02
XDP_RSVD_03
XDP_RSVD_04
XDP_RSVD_05
XDP_RSVD_06
XDP_RSVD_07
XDP_RSVD_08
XDP_RSVD_09
XDP_RSVD_10
XDP_RSVD_11
XDP_RSVD_12
XDP_RSVD_13
XDP_RSVD_14
XDP_RSVD_15
XDP_RSVD_16
XDP_RSVD_17

MA_CKE2
MA_CKE3

OP

P-M

11
11

MA_CS#2
MA_CS#3

REV = 1.1

MISC

P-D

L11

place 75ohm

AJ20
AH20
AK11

MA_BA0
MA_BA1
MA_BA2

DDR_A_MA_0
DDR_A_MA_1
DDR_A_MA_2
DDR_A_MA_3
DDR_A_MA_4
DDR_A_MA_5
DDR_A_MA_6
DDR_A_MA_7
DDR_A_MA_8
DDR_A_MA_9
DDR_A_MA_10
DDR_A_MA_11
DDR_A_MA_12
DDR_A_MA_13
DDR_A_MA_14

CLOCK

R315

150-1-04
150-1-04
150-1-04

close to cpu : < 300mil


7.5mils wide up

PINEVIEW

U14C
D12
A7
D6
C5
C7
C6
D8
B7
A9
XDP_RSVD09 D9
C8
B8
C10
D10
R315
B11
@10K-04 B10
B12
C11

R308
R303
R305

11
11

AK22
AJ22
AK21

MA_WE#
MA_CAS#
MA_RAS#

MA_WE#
MA_CAS#
MA_RAS#
MA_BA[2:0]

AH19
AJ18
AK18
AK16
AJ14
AH14
AK14
AJ12
AH13
AK12
AK20
AH12
AJ11
AJ24
AJ10

CONTROL

NB_CRT_RED
NB_CRT_GREEN
NB_CRT_BLUE

MAA_A0
MAA_A1
MAA_A2
MAA_A3
MAA_A4
MAA_A5
MAA_A6
MAA_A7
MAA_A8
MAA_A9
MAA_A10
MAA_A11
MAA_A12
MAA_A13
MAA_A14

7
7
7
7
7
7
7
7

W=10mils,S=10mils

L10RCOMPO
L9 ICOMPI
L8 RBIAS

1 OF 6

not recommand a test point

DMI_TXP0
DMI_TXN0
DMI_TXP1
DMI_TXN1
DMI_TXP2
DMI_TXN2
DMI_TXP3
DMI_TXN3

7
7
7
7
7
7
7
7

MAA_A[14:0]

COMMAND

REV = 1.1

DATA

AC Couple
Close to CPU

DDR_A_DQS_7
DDR_A_DQSB_7
DDR_A_DM_7

DDR_RPU

DDR_A_DQ_56
DDR_A_DQ_57
DDR_A_DQ_58
DDR_A_DQ_59
DDR_A_DQ_60
DDR_A_DQ_61
DDR_A_DQ_62
DDR_A_DQ_63

C354
.1U-16-04Y-Z

3 OF 6

AD3
AD2
AD4

MA_DQS0
MA_DQS#0
MA_DM0

AC4
AC1
AF4
AG2
AB2
AB3
AE2
AE3

MA_DQ0
MA_DQ1
MA_DQ2
MA_DQ3
MA_DQ4
MA_DQ5
MA_DQ6
MA_DQ7

AB8
AD7
AA9

MA_DQS1
MA_DQS#1
MA_DM1

AB6
AB7
AE5
AG5
AA5
AB5
AB9
AD6

MA_DQ8
MA_DQ12
MA_DQ10
MA_DQ11
MA_DQ9
MA_DQ13
MA_DQ14
MA_DQ15

AD8
AD10
AE8

MA_DQS2
MA_DQS#2
MA_DM2

AG8
AG7
AF10
AG11
AF7
AF8
AD11
AE10

MA_DQ16
MA_DQ17
MA_DQ18
MA_DQ19
MA_DQ20
MA_DQ21
MA_DQ22
MA_DQ23

AK5
AK3
AJ3

MA_DQS3
MA_DQS#3
MA_DM3

AH1
AJ2
AK6
AJ7
AF3
AH2
AL5
AJ6

MA_DQ24
MA_DQ25
MA_DQ26
MA_DQ27
MA_DQ28
MA_DQ29
MA_DQ30
MA_DQ31

AG22
AG21
AD19

MA_DQS4
MA_DQS#4
MA_DM4

AE19
AG19
AF22
AD22
AG17
AF19
AE21
AD21

MA_DQ32
MA_DQ33
MA_DQ34
MA_DQ35
MA_DQ36
MA_DQ37
MA_DQ38
MA_DQ39

AE26
AG27
AJ27

MA_DQS5
MA_DQS#5
MA_DM5

AE24
AG25
AD25
AD24
AC22
AG24
AD27
AE27

MA_DQ40
MA_DQ41
MA_DQ42
MA_DQ43
MA_DQ44
MA_DQ45
MA_DQ46
MA_DQ47

AE30
AF29
AF30

MA_DQS6
MA_DQS#6
MA_DM6

AG31
AG30
AD30
AD29
AJ30
AJ29
AE29
AD28

MA_DQ48
MA_DQ49
MA_DQ50
MA_DQ51
MA_DQ52
MA_DQ53
MA_DQ54
MA_DQ55

AB27
AA27
AB26

MA_DQS7
MA_DQS#7
MA_DM7

AA24
AB25
W24
W22
AB24
AB23
AA23
W27

MA_DQ56
MA_DQ57
MA_DQ58
MA_DQ59
MA_DQ60
MA_DQ61
MA_DQ62
MA_DQ63

MA_DQ[63:0] 11

11

MA_DM[7:0]

MA_DM0
MA_DM2
MA_DM1
MA_DM3
MA_DM4
MA_DM5
MA_DM6
MA_DM7

11 MA_DQS[7:0]

MA_DQS0
MA_DQS2
MA_DQS1
MA_DQS3
MA_DQS4
MA_DQS5
MA_DQS6
MA_DQS7
MA_DQS#0
MA_DQS#2
MA_DQS#1
MA_DQS#3
MA_DQS#4
MA_DQS#5
MA_DQS#6
MA_DQS#7

11 MA_DQS#[7:0]

2 OF 6
?

Pineview D510

Pineview D510

SHUTTLE
Title

CPU PineView 1/2

Size

Document Number

Date:

5025
Tuesday, December 22, 2009

Rev
A

Sheet

of

27

TGP

U13B
TGP

10 CLK_SB_PCI
14 PCI_RST#

PREQ1#
PREQ2#

G16
A20

IRDY#

A18
E16

FLASH_STRAP1
FLASH_STRAP2
GPIO22
GPIO1

Strap0# - This signal has a weak internal pull-up ,If


the signal is sampled low, this indicates that the
system is strapped to the "top-block swap" mode
(Tiger Point inverts A16 for all cycles targeting FWH
BIOS space) , ....BIOS write in change a block ....

PCI

GNT1#
GNT2#
REQ1#
REQ2#
GPIO48/ STRAP1#
GPIO17/ STRAP2#
GPIO22
GPIO1

PIRQA#
PIRQB#
PIRQC#
PIRQD#
PIRQE#/GPIO2
PIRQF#/GPIO3
PIRQG#/GPIO4
PIRQH#/GPIO5
STRAP0#
RSVD01
RSVD02

AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31

C/BE0#
C/BE1#
C/BE2#
C/BE3#

B22
D18
C17
C18
B17
C19
B18
B19
D16
D15
A13
E14
H14
L14
J14
E10
C11
E12
B9
B13
L12
B8
A3
B5
A6
G12
H12
C8
D9
C7
C1
B1

PCIE LANE

H16
M15
C13
L16

PCIE 1
PCIE 2
PCIE 3
PCIE 4

PER1N_VGA
PER1P_VGA
PET1N_VGA
PET1P_VGA
PER2N_LAN
PER2P_LAN
PET2N_LAN
PET2P_LAN
PER3N_GXT
PER3P_GXT
PET3N_GXT
PET3P_GXT
PER4N_MINI
PER4P_MINI
PET4N_MINI
PET4P_MINI

C184
C183
C335
C337
C340
C339
C202
C206

Location

H7
H6
H3
H2
J2
J3
K6
K5
K1
K2
L2
L3
M6
M5
N1
N2

USB_PN0_USB0 13
USB_PP0_USB0 13
USB_PN1_3G 13
USB_PP1_3G 13
USB_PN2_MINI 14
USB_PP2_MINI 14
USB_PN3_CCD 13
USB_PP3_CCD 13
USB_PN4_IO 13
USB_PP4_IO 13
USB_PN5_AIOUSB 16
USB_PP5_AIOUSB 16
USB_PN6_GXT 16
USB_PP6_GXT 16
USB_PN7_USB7 13
USB_PP7_USB7 13

USB

Location

USB 0
USB 1
USB 2
USB 3
USB 4
USB 5
USB 6
USB 7

CN20 (MB USB0)


CN7 (3G)
CN11 (MB MINI)
CN8 (CCD)
CN6 (IO)
CN4 (AIO USB)
CN21 (GXT USB)
CN19 (MB USB7)

CN2 (OPTION VGA)


CN6(LAN+CARDREADER)
CN21 (GXT-VGA)
CN11 (Mini Card)

K21
K22
SB_PCIETN1 J23
@.1U-10-04R-KSB_PCIETP1 J24
M18
@.1U-10-04R-K
M19
SB_PCIETN2 K24
.1U-10-04R-K SB_PCIETP2 K25
L23
.1U-10-04R-K
L24
SB_PCIETN3 L22
.1U-10-04R-K SB_PCIETP3M21
P17
.1U-10-04R-K
P18
SB_PCIETN4N25
.1U-10-04R-K SB_PCIETP4 N24
.1U-10-04R-K

PERN1
PERP1
PETN1
PETP1
PERN2
PERP2
PETN2
PETP2
PERN3
PERP3
PETN3
PETP3
PERN4
PERP4
PETN4
PETP4

OC0#
OC1#
OC2#
OC3#
OC4#
OC5#/GPIO29
OC6#/GPIO30
OC7#/GPIO31

USBRBIAS
USBRBIAS#

CLK48

D4
C5
D3
D2
E5
E6
C2
C3

4
3
2
1

OC#0
OC#2

5 8.2K-8P4R-04
6
7
8

+3.3VS

OC#4
OC#6

close to pin
G2 USBRBIAS
G3

R149

F4

22.6-1-04

follow P-D demo


The Overcurrent inputs (OC[7:0]#) are
directly routed to both controllers. input of all.

CLK_USB48 10

cap value : 75nF~200nF


cap Location : within 250mils
of the connector or device
+1.5V

Tigerpoint NM10

USBP0N
USBP0P
USBP1N
USBP1P
USBP2N
USBP2P
USBP3N
USBP3P
USBP4N
USBP4P
USBP5N
USBP5P
USBP6N
USBP6P
USBP7N
USBP7P

RN11
16
16
16
16
13
13
13
13
16
16
16
16
14
14
14
14

Space 8mils
24.9-1-04 DMI_COMP

R272
?

H24
J22

DMI_ZCOMP
DMI_IRCOMP

W23
W24

10 CLK_DMI_ICH#
10 CLK_DMI_ICH

DMI_CLKN
DMI_CLKP
2

GPIO22
GPIO1

4.7K-04
4.7K-04

Tigerpoint NM10

U13C

+3.3V

RN10 4
3
2
1
RN1 4
3
2
1

5 8.2K-8P4R-04
6
7
8
5 8.2K-8P4R-04
6
7
8

PIRQC#
PIRQF#
IRDY#
PIRQB#
PIRQG#
PIRQE#
PIRQH#
PLOCK#

4
3
2
1

5
6
7 8.2K-8P4R-04
8

DEVSEL#
FRAME#
PREQ1#
STOP#

RN9

R259
R172
R255
R170
R257
R159
R253
R258

8.2K-04
8.2K-04
8.2K-04
8.2K-04
8.2K-04
8.2K-04

R12
AE20
AD17
AC15
AD18
Y12
AA10
AA12
Y10
AD15
W10
V12
AE21
AE18
AD19
U12

PREQ2#
TRDY#
PERR#
PIRQD#
PIRQA#
SERR#

AC17
AB13
AC13
AB15
Y14
AB16
AE24
AE23

@8.2K-04FLASH_STRAP1
@8.2K-04FLASH_STRAP2

AA14
V14

boot BIOS select Integrated pull-up 20Kohm.

STRAP2 STRAP1

AD16
AB11
AB10

+3.3V

Routing

SPI

PCI

LPC

R282

4.7K-04 GPIO36 AD23

RSVD03
RSVD04
RSVD05
RSVD06
RSVD07
RSVD08
RSVD09
RSVD10
RSVD11
RSVD12
RSVD13
RSVD14
RSVD15
RSVD16
RSVD17
RSVD18

TGP

U1LB

SATA

R254
R256

SATA0RXN
SATA0RXP
SATA0TXN
SATA0TXP
SATA1RXN
SATA1RXP
SATA1TXN
SATA1TXP

AE6
AD6
AC7
AD7
AE8
AD8
AD9
AC9

SB_SATA0RXN
SB_SATA0RXP
SB_SATA0TXN
SB_SATA0TXP
SB_SATA1RXN
SB_SATA1RXP
SB_SATA1TXN
SB_SATA1TXP

C348
C347

.01U-16-04X-K
.01U-16-04X-K

C345
C346

.01U-16-04X-K
.01U-16-04X-K

SATA0RXN_HDD
SATA0RXP_HDD
SATA0TXN_HDD
SATA0TXP_HDD
SATA1RXN_ODD
SATA1RXP_ODD
SATA1TXN_ODD
SATA1TXP_ODD

SATARBIAS#
SATARBIAS
SATALED#

AD4
AC4
AD11
AC11
AD25

CLK_ICH_SATA# 10
CLK_ICH_SATA 10
SATARBIAS

R287

24.9-1-04
SATA_LED# 14,16

RSVD24
RSVD25
RSVD26
RSVD27
RSVD28

RSVD29
RSVD30
RSVD31
GPIO36

Stitching caps used for differential pair reference plane


transitions , reduce the loop inductance on the currents return path
1.A minimum of one (1) stitching cap can be shared by up to four different pairs .
2.Stitching cap values of 0.1 F are sufficient.
3.Stitching caps should be placed within 100 mils

13
13
13
13
16
16
16
16

+3.3V
SATA_CLKN
SATA_CLKP

RSVD19
RSVD20
RSVD21
RSVD22
RSVD23

HOST

+5V

D11
K9
M13

U1LB

U1LB

DMI0RXN
DMI0RXP
DMI0TXN
DMI0TXP
DMI1RXN
DMI1RXP
DMI1TXN
DMI1TXP
DMI2RXN
DMI2RXP
DMI2TXN
DMI2TXP
DMI3RXN
DMI3RXP
DMI3TXN
DMI3TXP

PCI-E

TP3
10K-04 RSVD01
4.7K-04 RSVD02

R171
R169

B2
D7
B3
H10
E8
D6
H8
F8

PIRQA#
PIRQB#
PIRQC#
PIRQD#
PIRQE#
PIRQF#
PIRQG#
PIRQH#

+3.3V

G14
A2
C15
C9

PAR
DEVSEL#
PCICLK
PCIRST#
IRDY#
PME#
SERR#
STOP#
PLOCK#
TRDY#
PERR#
FRAME#

R23
R24
SB_DMI0TXNP21
SB_DMI0TXPP20
T21
T20
SB_DMI1TXNT24
SB_DMI1TXPT25
T19
T18
SB_DMI2TXNU23
SB_DMI2TXPU24
V21
V20
SB_DMI3TXNV24
SB_DMI3TXPV23

DMI_TXN0
DMI_TXP0
DMI_RXN0
DMI_RXP0
DMI_TXN1
DMI_TXP1
DMI_RXN1
DMI_RXP1
DMI_TXN2
DMI_TXP2
DMI_RXN2
DMI_RXP2
DMI_TXN3
DMI_TXP3
DMI_RXN3
DMI_RXP3

DMI

SERR#
STOP#
PLOCK#
TRDY#
PERR#
FRAME#

A5
B15
J12
A23
B7
C22
B11
F14
A8
A10
D10
A16

DEVSEL#

6
6
6
6
6
6
6
6
6
6
6
6
6
6
6
6

USB

U13A

A20GATE
A20M#
CPUSLP#
IGNNE#
INIT3_3V#
INIT#
INTR
FERR#
NMI
RCIN#
SERIRQ
SMI#
STPCLK#
THERMTRIP#

U16
Y20
Y21
Y18
AD21
AC25
AB24
Y22
T17
AC21
AA16
AA21
V18
AA20

HCPUSLP#

H_A20GATE 18
A20M#
5
IGNNE#

+1.05V

10K-04

R122 H_A20GATE

10K-04

R281 H_RCIN#

10K-04

R133 INT_SERIRQ

DEL in B

+1.05V

INIT_N#
5
INTR
5
FERR#
5
NMI
5
H_RCIN# 18
INT_SERIRQ 14,18
SMI#
5
STPCLK# 5
HTHERMTRIP#

R130
56-04

A20M#
R132
HCPUSLP# R131
IGNNE#
R125
INIT_N#
R135
INTR
R137
NMI
R128
SMI#
R126
STPCLK# R129

@1K-04
@1K-04
@1K-04
@1K-04
@1K-04
@1K-04
@1K-04
@1K-04

WHEN NOT IN USED, PU WITH 1KOHM


DEFENSIVE SITE

C181

Tigerpoint NM10

.1U-16-04Y-Z

close to pin

hexainf@hotmail.com
GRATIS - FOR FREE

SHUTTLE
Title

07 TPT - PCI&USB&HOST

Size

Document Number

Date:

5025
Tuesday, December 22, 2009

Rev
A

Sheet

of

27

GPI PULL-UP
+3.3VA_RTC

R280
INTVRMEN

LDRQ1#
LPC_AD0
LPC_AD1
LPC_AD2
LPC_AD3

AA5
V6
AA6
Y5
W8
Y8
Y4

RA-RB13 EMI

14,18 LPC_FRAME#

P6
HDA_BITCLK
BD-FCM1005MF-120
U2
33-04HDA_RST#
W2
V2
P8
33-04HDA_SDOUT AA1
Y1
33-04HDA_SYNC
AA3

R277
R276

13,15 ACZ_SDATAOUT
13,15 ACZ_SYNC
10
CLK_ICH14

U1LB

HDA_BIT_CLK
HDA_RST#
HDA_SDI0
HDA_SDIN1
HDA_SDIN2
HDA_SDOUT
HDA_SYNC
CLK14

U3
AE2 EE_CS
T6 EE_DIN
@.1U-16-04Y-Z
V3 EE_DOUT
EE_SHCLK

SB_MISO

R148

SB_CS#

C341

10P-50-04N-J

W4
V5
T5

RTCX1
RTCX2

RA-RB

SPI_ARB --is the SPI arbitration signal


used to arbitrate the SPI bus when
Shared Flash is implemented.
If the SPI interface is not implemented
this signal can be left unconnected.

RTC

+3.3VA

D9
A

SMBALERT#/GPIO11
SMBCLK
SMBDATA
SMLALERT#
SMLINK0
SMLINK1
SPI_MISO
SPI_MOSI
SPI_CS#
SPI_CLK
SPI_ARB

SPI

SB_CS#

RA-RB08

RTCX1
RTCX2
RTCRST#

R2
T1
M8
P9
R4

SB_MISO

10M-1-04
Y1

10P-50-04N-J

LAN_CLK
LANR_STSYNC
LAN_RST#
LAN_RXD0
LAN_RXD1
LAN_RXD2
LAN_TXD0
LAN_TXD1
LAN_TXD2

E20
H18
E23
H21
F25
F24

ICH_SMBALERT#
SMBCLK_SB
SMBDATA_SB
LINKALERT#
SMLINK0
SMLINK1

R275

T4
P7
B23
AA2
AD1
AC2
W3
T7
U4

RTCX1
RTCX2
RTCRST#

SMB STRAP

C342

@10K-04
10K-04

CPUPWRGD/GPIO49
THRM#
VRMPWRGD
MCH_SYNC#
PWRBTN#
RI#
SUS_STAT#/LPCPD#
SUSCLK
SYS_RESET#
PLTRSTB
WAKE#
INTRUDER#
PWROK
RSMRST#
INTVRMEN
SPKR

SMB

R143
R163

RTC

32.768-12.5-20-D

R273

8.2K-04

LAN

8.2K-04

MISC

EMI

EPROM

C197
+3.3VS

BM_BUSY#/GPIO0
GPIO6
GPIO7
GPIO8
GPIO9
GPIO10
GPIO12
GPIO13
GPIO14
GPIO15
DPRSLPVR
STP_PCI#
STP_CPU#
GPIO24
GPIO25
GPIO26
GPIO27
GPIO28
CLKRUN#
GPIO33
GPIO34
GPIO38
GPIO39

AUDIO

R145
R274

13,15 ACZ_BITCLK
13,15 ACZ_RST#
15 ACZ_SDATAIN0
13 ACZ_SDATAIN1

SLP_S3#
SLP_S4#
SLP_S5#
BATLOW#
DPRSTP#
DPSLP#
RSVD31

T15
W16
W14
K18
H19
M17
A24
C23
P5
E24
AB20
Y16
AB19
R3
C24
D19
D20
F22
AC19
U14
AC1
AC23
AC24

AUD_DETECT

ICH_SMBALERT#

EC_EXTSCI# 18
GPIO15
DPRSLPVR

DPRSLPVR 6,21

GPIO25

CLKRUN#

CPUPWRGD

RI#
SUS_STAT
SUSCLK
RST_SW#

TP7
TP6

SM_INTRUDER#
RSMRST#
INTVRMEN

R123

0-04

crystal TP

C179

PLT_RST# 6,13,14,16,18
PCIE_WAKE# 13,14

1U-10-04R-K
tune RC time

PWROK

S2
2 S1

100-04

@10K-04
4.7K-04
4.7K-04
4.7K-04
4.7K-04

LPC_AD0
LPC_AD1
LPC_AD2
LPC_AD3

R124
R134
R136
R138

10K-04
10K-04
10K-04
10K-04

AUD_DETECT
MCH_SYNC#

R286
R284

8.2K-04
1K-1-04

CLKRUN#

R283

8.2K-04

PM_THROTTING#

R285

8.2K-04

PM_RSMRST# 18

PM_SLP_S3# 18
PM_SLP_S4# 18

DMI AC/DC Coupling Selection (GPIO25)


This signal has a weak internal pull-up.The internal
pull-up is disabled within 100 ms after RSMRST#
deasserts.

BATLOW#
DPRSTP# 5
DPSLP#
5

GPIO25

R164

1K-1-04

PM_RSMRST#

R279

10K-04

+3.3V

C279

C282

2N7002K

SCH-BAT54-PH

+3.3V

+3.3V

RA-RB24
RTCRST#

20K-1-04

R264

R175

R156

R173

4.7K-04

4.7K-04

10K-04

10K-04

Q34

JP6
SMBCLK_SB

@.1U-16-04Y-Z

S2
S1

R162
R150
R262
R260
R252

SB_SMB_CLK 11

OPEN_S
SMBDATA_SB

SB_SMB_DATA 11

Q36
2N7002K

R210

PWRBTN#
EC_EXTSMI#
EC_EXTSCI#

6,18

R278
ACZ_SPKR 15

DDR SMB

1K-04

10K-04
4.7K-04
4.7K-04
10K-04
1K-04
8.2K-04
4.7K-04
10K-04
10K-04

+3.3VA_RTC

con_wb_2h125_wh1-00080-023n_fcn
SUB_BAT+
CN10
1

10K-04
10K-04

R267
R153
R268
R154
R265
R266
R152
R269
R270

CD4148WSP
C

R141
1M-04

R211

R261
R263

GPIO15
PM_SLP_S3#
PM_SLP_S4#
RST_SW#
PCIE_WAKE#
BATLOW#

+3.3V

Tigerpoint NM10

.22U-25-06X-K

GPIO12

VCORE_CLK_EN 10,21

VRMPWRGD->CPU BCLK->CPUPWRGD,1.6ms

DDR SMB
D8

10K-04

LINKALERT#
SMLINK0
SMLINK1

PWRBTN# 18

1U-10-06Y-Z

R151

PM_THROTTING#
VRMPWRGD
MCH_SYNC#

H20
E25
F21
B25
AB23
AA18
F20

RI#

SMBCLK_SB
SMBDATA_SB

AB22
AB17
V16
AC18
E21
H23
G22
D22
G18
G23
C25
T8
U10
AC3
AD3
J16

halts processors instruction stream


STPCLK:C2
CLKRUN# :C2
STP_PCI# :C2
halt processor clock
DPSLP# : C3
STP_CPU#:C3
BM_BUSY#:C3
lower processor voltage
DPRSTP# :C4
STP_CPU# :C4
DPRSLPVR :C4
BATLOW# : Battery Low

EC_EXTSMI# 18

GPIO12

C283

BAT_D

+3.3VS
D

LDRQ1#/GPIO23
LAD0/FWH0
LAD1/FWH1
LAD2/FWH2
LAD3/FWH3
LDRQ0#
LFRAME#

LPC

14
18
18
18
18

390K-04

TGP

U13D

SM_INTRUDER#

BAT conn.

SHUTTLE
Title

08 TPT - Audio&SPI&GPIO

Size

Document Number

Date:

5025
Tuesday, December 22, 2009

Rev
A

Sheet

of

27

close to pin

+1.5V

+5VS

U1LB

U13F

TGP

U1LB

TGP

F6

VCCUSBPLL

0.024A
0.01A

C344

0.014A

AA8
M9
M20
N22

1.422A

+1.5V

C211

+5V

+1.05V

0.216A+3.3V

H25
AD13
F10
G10
R10
T9

C349
4.7U-10-08Y-Z

C182

C199

R178
100-04

D7
SCH-BAT54-PH

V5REF
C218

0.092A+3.3VS
C200 C216

+1.5V

4.7U-10-08Y-Z

VSS01
VSS02
VSS03
VSS04
VSS05
VSS06
VSS07
VSS08
VSS09
VSS10
VSS11
VSS12
VSS13
VSS14
VSS15
VSS16
VSS17
VSS18
VSS19
VSS20
VSS21
VSS22
VSS23
VSS24
VSS25
VSS26
VSS27
VSS28
VSS29
VSS30
VSS31
VSS32
VSS33
VSS34
VSS35
VSS36
VSS37
VSS38
VSS39
VSS40
VSS41
VSS42
VSS43
VSS44
VSS45
VSS46
VSS47
VSS48
VSS49
VSS50
VSS51
VSS52
VSS53
VSS54
VSS55
VSS56

A1
A25
B6
B10
B16
B20
B24
E18
F16
G4
G8
H1
H4
H5
K4
K8
K11
K19
K20
L4
M7
M11
N3
N12
N13
N14
N23
P11
P13
P19
R14
R22
T2
T22
V1
V7
V8
V19
V22
V25
W12
W22
Y2
Y24
AB4
AB6
AB7
AB8
AC8
AD2
AD10
AD20
AD24
AE1
AE10
AE25

C227
C201

C217

C208

.1U-10-04R-K

C205

+3.3V

2.2U-6.3-06R-K

F18
N4
K7
F1

Tigerpoint NM10

BD-QT1608RL-30

C187

+3.3V

0.955A

J10
K17
P15
V10

.1U-10-04R-K

VCCSUS3_3_1
VCCSUS3_3_2
VCCSUS3_3_3
VCCSUS3_3_4

VCCUSBPLL
BD-QT1608RL-30

C207

4.7U-10-08Y-Z

VCC3_3_1
VCC3_3_2
VCC3_3_3
VCC3_3_4
VCC3_3_5
VCC3_3_6

+1.5V
B21

VCCDMIPLL
+1.05V

2.2U-6.3-06R-K

VCC1_05_1
VCC1_05_2
VCC1_05_3
VCC1_05_4

+1.5V
B17

.1U-10-04R-K

W18

10-04
C213
.1U-10-04R-K

AE3

POWER

VCCDMIPLL

BD-QT1608RL-30
C191

+3.3VA_RTC

VCC1_5_1
VCC1_5_2
VCC1_5_3
VCC1_5_4

0.045A

Y25

V5REF_SUS

.1U-10-04R-K

V_CPU_IO

0.01A

VCCSATAPLL

.1U-16-04Y-Z

VCCDMIPLL
VCCUSBPLL

V5REF_SUS

Y6

VCCSATAPLL

.1U-10-04R-K

VCCRTC

F5

R155

.1U-10-04R-K

VCCSATAPLL

0.006A

4.7U-10-08Y-Z

VCC5REF_SUS

V5REF

F12

.1U-10-04R-K

VCC5REF

.1U-10-04R-K

U1LB

B18

.1U-10-04R-K

U13E

Near SATA,USB,M20 PIN

VSS57
VSS58
VSS59

G24
AE13
F2
B

RSVD32

AE16

Tigerpoint NM10
?

hexainf@hotmail.com
GRATIS - FOR FREE

SHUTTLE
Title

TPT Power

Size

Document Number

Date:

5025
Tuesday, December 22, 2009

Rev
A

Sheet

of

27

+3.3V

+3.3V

R42

10K-04

CLKREQB#

R25

2.2K-04

PM_STPCPU#

R27

2.2K-04

PM_STPPCI#

B8
CLK_VDD_IO

BD-QT1608RL-120

+3.3V

CLK_VDD_IO

C51

@22P-25-04N

PCIF5/ITP_EN

C42

@15P-25-04N

CLK_USB48

C69

@15P-25-04N

CLK_Debug_BD

C66

C71

C55

C36

C73

C50

C74

.1U-16-04Y-Z

4.7U-10-08Y-Z

.1U-16-04Y-Z

.1U-16-04Y-Z

.1U-16-04Y-Z

.1U-16-04Y-Z

.1U-16-04Y-Z

@15P-25-04N

CLK_BSEL0

C52

@15P-25-04N

CLK_BSEL1

C343

@15P-25-04N

CLK_ICH14

C62

@15P-25-04N

CLK_EC_LPC

C212

@15P-25-04N

CLK_SB_PCI

C47

14 CLK_DEBUG_BD
18 CLK_EC_LPC

CLKREQB#
PCI2
PCI3
PCI4/LCDCK_SEL
PCIF5/ITP_EN

CLK_SB_PCI

7 CLK_USB48

22-04

CLK_BSEL0
CLK_BSEL1

10
57

Reserved FOR EMI

CLK_ICH14

33-04

CLK_BSEL2

62

R49
R43

33-04
33-04

R36

33-04

CLK_USB48 R32

R48

13
14

6 NB_DOT_96CLK
6 NB_DOT_96CLK#

Bsel [0..2] VIH = 0.7V VIL = 0.3V


CLK_XTAL_OUT
CLK_XTAL_IN

BSEL

BSEL0
FSLA

FSB
Y2
14.31818M-20-20-D

BSEL2
FSLC

BSEL1
FSLB

FSB667

FSB800

CPU

64
63

5,18 SMBCLK_EC
5,18 SMBDAT_EC

166
200

PCI

SRC

33

100

8
11
15
19
23
29
42
52
58

CPU strap

R367

VDD_I/O
VDD_PLL3_I/O
VDD_SRC_I/O_1
VDD_SRC_I/O_2
VDD_SRC_I/O_3
VDD_CPU_I/O
CPU_STOP#
PCI_STOP#
CKPWRGD/PD#

59
60
1
3
4
5
6
7

CLK_XTAL_OUT
CLK_XTAL_IN

VDD_PCI
VDD_48
VDD_PLL3
VDD_SRC
VDD_CPU
VDD_REF

XTAL_OUT
CPU_0
XTAL_IN
CPU_0#
PCI_0/CLKREQ_A#
CPU_1_MCH
PCI_1/CLKREQ_B#
CPU_1_MCH#
PCI_2
SRC_8/CPU_ITP
PCI_3
SRC_8#/CPU_ITP#
PCI_4/LCDCLK_SEL
PCIF_5/ITP_EN
NC
USB_48MHz/FS_A
FS_B/TEST_MODE
LCDCLK/27M
LCDCLK#/27M_SS

SRC_0/DOT_96
SRC_0#/DOT_96#
SCL
SDA

VSS_PCI
VSS_48
VSS_I/O
VSS_PLL3
VSS_SRC_1
VSS_SRC_2
VSS_SRC_3
VSS_CPU
VSS_REF

SRC_2
SRC_2#
SRC_3/CLKREQ_C#
SRC_3#/CLKREQ_D#
SRC_4
SRC_4#
SRC_6
SRC_6#
SRC_7/CLKREQ_F#
SRC_7#/CLKREQ_E#
SRC_9
SRC_9#
SRC_10
SRC_10#
SRC_11/CLKREQ_H#
SRC_11#/CLKREQ_G#

Ce =

2*CL - ( Cs + Ci )

CL =

Crystal Load Cap = 20P

Ci =

IC internal Cap = 5P

R28
R26

+1.05V

Cs =

2P

Ce =

Crystal external Cap = 33P

1K-04

100K-1-04

Q12
E

5,18 CPU_BSEL0

FMBT3904 R22
C
1

1K-04
2

PM_STPCPU#
PM_STPPCI#
VCORE_CLK_EN 8,21

54
53
51
50
47
46

CLK_CPU_BCLK 5
CLK_CPU_BCLK# 5
CLK_MCH_BCLK 6
CLK_MCH_BCLK# 6

48

17
18

CLK_LVDS_SPREAD 6
CLK_LVDS_SPREAD# 6

21
22
24
25
27
28
41
40
44
43
30
31
34
35
33
32

CLK_ICH_SATA 7
CLK_ICH_SATA# 7
CLK_PCIE_LAN 13
CLK_PCIE_LAN# 13
CLK_PCIE_VGA 16
CLK_PCIE_VGA# 16
CLK_PCIE_GXT 16
CLK_PCIE_GXT# 16
CLK_EXP_DMI 6
CLK_EXP_DMI# 6
CLK_PCIE_WIRE_CARD 14
CLK_PCIE_WIRE_CARD# 14
CLK_DMI_ICH 7
CLK_DMI_ICH# 7
CLK_PCIE_WIRE_REQ#

14

+1.05V
R24

27p-50-04N-J

37
38
56

The power-up default is SRC11#, but


this pin may also be used as a Clock
Request control of SRC9
via SMBus.

ICS9LPR3165B
C411

27p-50-04N-J

12
20
26
36
45
49

REF/FS_C/TEST_SEL

@1M-1-04
C418

4.7U-10-08Y-Z

CLK_BSEL2

2
9
16
39
55
61

C30

.1U-16-04Y-Z

@10P-25-04N

1A
CLK_VDDA

C34

.1U-16-04Y-Z

C63

BD-QT1608RL-120

C40

.1U-16-04Y-Z

@22P-50-04N-J PCI3

C46

.1U-16-04Y-Z

@22P-50-04N-J PCI2

C65

C29

.1U-16-04Y-Z

U16
C68

C41

.1U-16-04Y-Z

B10

C48

4.7K-04

+3.3V

CLK_BSEL0

+1.05V

R95

CPU_BSEL1

1K-04
R35
1

0-04
2CLK_BSEL1

R46

2.2K-04

0= Pin46/47 SRC output.


1= Pin46/47 ITP output.

0 = Overclocking of CPU and SRC Allowed


1 = Overclocking of CPU and SRC NOT
allowed

0 = Pin17/18 LCDCLK & DOT_96 for internal graphic controller support


1 = Pin17/18 27M & 27M_SS & SRC_0 for external graphic controller
support

CLK_BSEL2
PCIF5/ITP_EN

PCI4/LCDCK_SEL
PCI2

R40

R41

10K-04

10K-04

R52
10K-04

SHUTTLE
Title

CLOCK GEN (ICS9LPR365)

Size

Document Number

Date:

5025
Tuesday, December 22, 2009

Rev
A

Sheet

10

of

27

EMI

DDR Terminatation

JP3 2

1OPEN-1MM-0805-1
+3.3V

+0.9VS
JP4 2

1OPEN-1MM-0805-1
C273

2.76A

MAA_A[14:0]

1
2
3
4

8 33-8P4R-04
7
6
5

MA_CS#3
MAA_A13
MA_ODT3

RN8

1
2
3
4

8 47-8P4R-04
7
6
5

1
2
3
4

8 33-8P4R-04
7
6
5

MA_BA1
MAA_A10
MA_BA0
MA_RAS#

RN6

1
2
3
4

8 47-8P4R-04
7
6
5

1
2
3
4

8 33-8P4R-04
7
6
5

MA_DQ[63:0] 6
+3.3V

163
50
69
83
120

R168
RN5
MAA_A3
MAA_A1
MAA_A2
MAA_A0

10K-04

SA0
RN7

R177

MA_WE#
MA_CAS#
MA_CS#2
MA_ODT2

EMI

+0.9VS

EMI

6
6
6

C271

C270

C257

C263

C258

C268

C260

C262

C253

.1U-16-04R-K

.1U-16-04R-K

.1U-16-04R-K

.1U-16-04R-K

1000P-50-04X-K

.1U-16-04R-K

@.1U-16-04Y-Z

100P-50-04N-J

.1U-16-04Y-Z

10K-04

SA1

MA_BA0
MA_BA1
MA_BA2

MA_WE#
MA_CAS#
MA_RAS#

6
6

MA_CKE2
MA_CKE3

MA_DM0
MA_DM1
MA_DM2
MA_DM3
MA_DM4
MA_DM5
MA_DM6
MA_DM7

Check bypass cap close pins

EMI

109
113
108

MA_CKE2
MA_CKE3

79
80
30
32
164
166
MA_DQS0
MA_DQS1
MA_DQS2
MA_DQS3
MA_DQS4
MA_DQS5
MA_DQS6
MA_DQS7
MA_DQS#0
MA_DQS#1
MA_DQS#2
MA_DQS#3
MA_DQS#4
MA_DQS#5
MA_DQS#6
MA_DQS#7

RA-RB13
C241

C238

C255

C256

C259

C272

C269

C239

C240

.1U-16-04Y-Z

.1U-16-04Y-Z

.1U-16-04Y-Z

.1U-16-04Y-Z

.1U-16-04Y-Z

.1U-16-04Y-Z

1000P-50-04X-K

.1U-16-04Y-Z

1000P-50-04X-K

6 MA_DQS#[7:0]

Check bypass cap close pins


EMI

+1.8VS

6
6

EMI

MA_ODT2
MA_ODT3

C246
+1.8VS
C274

C167

C277

C226

R188

C247

10K-1-04

.1U-16-04Y-Z

R187

C245

C244

10K-1-04

.1U-16-04Y-Z

1000P-50-04X-K

DDR_VREF

EMI

C468

C469

C470

C471

SDA
SCL
BA0
BA1
BA2
S0#
NC/S1#
DM0
DM1
DM2
DM3
DM4
DM5
DM6
DM7
WE#
CAS#
RAS#
CKE0
NC/CKE1
CK0
CK#0
CK1
CK#1
DQS0
DQS1
DQS2
DQS3
DQS4
DQS5
DQS6
DQS7
DQS#0
DQS#1
DQS#2
DQS#3
DQS#4
DQS#5
DQS#6
DQS#7
ODT0
NC/ODT1

DQ5
DQ1
DQ3
DQ7
DQ4
DQ0
DQ6
DQ2
DQ12
DQ13
DQ14
DQ11
DQ9
DQ8
DQ10
DQ15
DQ17
DQ16
DQ18
DQ19
DQ20
DQ21
DQ22
DQ23
DQ24
DQ25
DQ28
DQ27
DQ30
DQ29
DQ26
DQ31
DQ32
DQ33
DQ34
DQ35
DQ36
DQ37
DQ38
DQ39
DQ40
DQ44
DQ42
DQ43
DQ45
DQ41
DQ46
DQ47
DQ48
DQ49
DQ50
DQ51
DQ52
DQ53
DQ54
DQ55
DQ56
DQ57
DQ58
DQ59
DQ60
DQ61
DQ62
DQ63

6
7
19
16
4
5
14
17
20
22
36
37
25
23
35
38
45
43
55
57
44
46
56
58
61
63
62
75
74
64
73
76
123
125
135
137
124
126
134
136
141
140
151
153
142
143
152
154
157
159
173
175
158
160
174
176
179
181
189
191
180
182
192
194

MA_DQ0
MA_DQ1
MA_DQ2
MA_DQ3
MA_DQ4
MA_DQ5
MA_DQ6
MA_DQ7
MA_DQ8
MA_DQ9
MA_DQ10
MA_DQ11
MA_DQ12
MA_DQ13
MA_DQ14
MA_DQ15
MA_DQ16
MA_DQ17
MA_DQ18
MA_DQ19
MA_DQ20
MA_DQ21
MA_DQ22
MA_DQ23
MA_DQ24
MA_DQ25
MA_DQ28
MA_DQ27
MA_DQ26
MA_DQ29
MA_DQ30
MA_DQ31
MA_DQ36
MA_DQ33
MA_DQ34
MA_DQ35
MA_DQ32
MA_DQ37
MA_DQ38
MA_DQ39
MA_DQ41
MA_DQ44
MA_DQ46
MA_DQ47
MA_DQ40
MA_DQ45
MA_DQ43
MA_DQ42
MA_DQ52
MA_DQ53
MA_DQ50
MA_DQ51
MA_DQ49
MA_DQ48
MA_DQ54
MA_DQ55
MA_DQ56
MA_DQ57
MA_DQ61
MA_DQ63
MA_DQ60
MA_DQ62
MA_DQ58
MA_DQ59

VREF
VSS184
VSS187
VSS190
VSS193
VSS196
VSS171
VSS172
VSS177
VSS178
VSS183

VSS145
VSS149
VSS150
VSS155
VSS156
VSS161
VSS162
VSS165
VSS168

145
149
150
155
156
161
162
165
168
B

CON_S-DDR2-S-S40_DDRSK200_ARG

C267
1U-10-04R-K

C164

.1U-16-04Y-Z

C222

1U-10-04R-K

C229

1U-10-04R-K

C162

1U-10-04R-K

1U-10-04R-K

1U-10-04R-K

C355

@.1U-16-04Y-Z

C264

100P-50-04N-J

C261

100P-50-04N-J

C265

.1U-16-04Y-Z

C266

1
184
187
190
193
196
171
172
177
178
183

SA0
SA1

CN12

2
3
8
9
12
15
18
21
24
27
28
33
34
39
40
41
42
47
48
53
54
59
60
65
66
71
72
77
78
121
122
127
128
132
133
138
139
144

RA-RB13
C224

.1U-10-04R-K

C220
1000P-50-06X-K

1U-10-06Y-Z

100P-50-06N-J

.1U-50-06X-K

+1.8VS

C276
.1U-50-06X-K

2.2U-6.3-06R-K

.1U-50-06X-K

.1U-50-06X-K

C361

13
31
51
70
131
148
169
188
11
29
49
68
129
146
167
186
114
119

MA_ODT2
MA_ODT3
DDR_VREF

C219

10
26
52
67
130
147
170
185

MA_WE#
MA_CAS#
MA_RAS#

6
MA_CK3
6
MA_CK#3
6
MA_CK4
6
MA_CK#4
6 MA_DQS[7:0]

EMI

+0.9VS

107
106
85
110
115

MA_CS#2
MA_CS#3

MA_CS#2
MA_CS#3
MA_DM[7:0]

6
6
6

198
200
195
197

8 SB_SMB_DATA
8 SB_SMB_CLK
6 MA_BA[2:0]

NC/TEST
NC50
NC69
NC83
NC120

81
82
87
88
95
96
103
104
111
112
117
118

RN4

+1.8VS

VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD

MAA_A8
MAA_A5
MAA_A6
MAA_A4

S1
S2

8 47-8P4R-04
7
6
5

199

1
2
3
4

S1
S2

RN2

VDDSPD

MA_CKE2
MA_CKE3
MA_BA2
MAA_A12

102
101
100
99
98
97
94
92
93
91
105
90
89
116
86
84

8 33-8P4R-04
7
6
5

A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
A10/AP
A11
A12
NC/A13
NC/A14
NC/A15

1
2
3
4

VSS2
VSS3
VSS8
VSS9
VSS12
VSS15
VSS18
VSS21
VSS24
VSS27
VSS28
VSS33
VSS34
VSS39
VSS40
VSS41
VSS42
VSS47
VSS48
VSS53
VSS54
VSS59
VSS60
VSS65
VSS66
VSS71
VSS72
VSS77
VSS78
VSS121
VSS122
VSS127
VSS128
VSS132
VSS133
VSS138
VSS139
VSS144

RN3

MAA_A0
MAA_A1
MAA_A2
MAA_A3
MAA_A4
MAA_A5
MAA_A6
MAA_A7
MAA_A8
MAA_A9
MAA_A10
MAA_A11
MAA_A12
MAA_A13
MAA_A14

.1U-10-04R-K
MAA_A14
MAA_A11
MAA_A9
MAA_A7

+1.8VS

.1U-25-04X-K

.1U-25-04X-K

C481

C482

C483

C484

C485

C487

C492

.1U-25-04X-K

.1U-25-04X-K

.1U-25-04X-K

.1U-25-04X-K

.1U-25-04X-K

.1U-25-04X-K

C480

.1U-25-04X-K

.1U-25-04X-K

.1U-25-04X-K

.1U-25-04X-K

.1U-25-04X-K

C479

.1U-25-04X-K

C477

.1U-25-04X-K

C476

.1U-25-04X-K

C475

.1U-25-04X-K

C474

.1U-25-04X-K

C473

.1U-25-04X-K

C472

.1U-25-04X-K

+1.8VS

C467

.1U-25-04X-K

C466

RA1-RB_14

hexainf@hotmail.com
GRATIS - FOR FREE

SHUTTLE
Title

DDR2 SODIMM

Size

Document Number

Date:

5025
Tuesday, December 22, 2009

Rev
A
Sheet

11

of

27
1

CRT Conn.

+5V
6 NB_CRT_RED

NB_H

6 NB_CRT_GREEN

6 NB_CRT_BLUE

Q56

BD-FCM1608CF-120

CRT_RED

B37

BD-FCM1608CF-120

CRT_GREEN

B36

BD-FCM1608CF-120

CRT_BLUE

B39
BD-QT1608RL-30

NB_H

B40

0-06

CRT_HSYNC_CON

+5V

NB_V

B41

0-06

CRT_VSYNC_CON

2N7002K

CRT_DATA

B2

0-06

CRT_DDC_DATA

CRT_CLK

B1

0-06

CRT_DDC_CLK

6 NB_CRT_VSYNC

B38

NB_V

6 NB_CRT_HSYNC

2N7002K
D

D18
SCH-BAT54-PH
C

Q55
S

R390

R388

R389

150-1-04

150-1-04

150-1-04

1
9
2
10
3
11
4
12
5
13
6
14
7
15
8

C441

C437

C440

C439

5V_HI

C438

CRT_GREEN
@10P-25-04N

CRT_BLUE

C1

CRT_CLK

R2

2.2K-04

CRT_DDC_DATA

@.1U-16-04Y-Z

@10P-25-04N

@10P-25-04N

R1

@10P-25-04N

@10P-25-04N

Q2 2N7002K
S

6 NB_DCC_CLK

CN22
H1
CRT_RED

CRT_HSYNC_CON
CRT_VSYNC_CON
CRT_DDC_CLK

2.2K-04
H2
5V_HI

+3.3V

CRT_CON
CON_CRT-R_C10535-11507L_ATP
R3

2.2K-04

R4
Q3

6 NB_DCC_DATA

2.2K-04
D

CRT_DATA

2N7002K

LVDS
LED_VIN

LED

RA-RB16

VIN

B9

R39
R38

1
LCDVCC

R393

Q59
2

LCDVCC_G G
S

1
10K-04

2N7002K

CN17

Q10
FET-SI2301DDS

CON_WB_30H100_88107-30001-06_ACES
C24
.1U-25-06Y-Z

+3.3V

LCDVCC

B7

LCDVCC_IN

steal BRIGHT_ADJ , LCDVIN

C32

C443

+3.3V

LVDS_EDID_CLK
LVDS_EDID_DAT

2
C26

C27

C39

.1U-25-04X-K

2.2K-04
2.2K-04

C37

47K-04

1U-6.3-04Y-Z

5 LVDS_EDID_DAT
5 LVDS_EDID_CLK

AO3407A
D

.1U-25-04X-K

+3.3V

R392
LCDVCC

.1U-16-04Y-Z

5 NB_LVDSA_P0
5 NB_LVDSA_N0
5 NB_LVDSA_CLKP
5 NB_LVDSA_CLKN

C38

LED_VIN

2 @0-06

Q58
S

.1U-25-04X-K

5 NB_LVDSA_N1
5 NB_LVDSA_P1

1U-25-06R-K

2
4
6
8
10
12
14
16
18
20
22
24
26
28
30

.1U-16-04Y-Z

1
3
5
7
9
11
13
15
17
19
21
23
25
27
29

5 NB_LVDSA_N2
5 NB_LVDSA_P2

R391 1

BD-QT1608RL-30

BD-QT1608RL-30
G

R20

BLON

R16

2 0-04

BL_ON

R395
1

250k

Q8
2

C20
.1U-16-04Y-Z

10K-04

C23
1U-6.3-04Y-Z

RA1-RB_04
EC_BLPWM

R15

2N7002K

5 NB_LCD_PWR_EN

47K-04

2 0-04

EC_BL_PWM 18

C18
.1U-16-04Y-Z

+3.3V

R19
D2

10K-04

EC_BL_EN

18

BL_ON

5 NB_LCD_BL_EN

06R-BAT540-A2P0
SHUTTLE
Title

CRT /LVDS

Size

Document Number

Date:

5025
Tuesday, December 22, 2009

Rev
A

Sheet

12

of

27

USB x 2

IO CON.
S2
S1

CN20

con_usb-r_dr02-a15-0435_fcn

RA-RB21
PS1
B3

+5VS

500mA

USB2_5VS
BD-QT1608RL-30
1.1A-6V-1206

C8

4.7U-10-08Y-Z

.1U-16-04Y-Z

S4

PID_2 / PWR_LED
PWRON# 17
+1.2VS_ON 18
USB_PN4_IO 7
USB_PP4_IO 7

14,16,18

R203
@100K-06

7
7
7
7

C287

C292

G
C278

CLK_PCIE_LAN# 10
CLK_PCIE_LAN 10
PET2P_LAN
PET2N_LAN
PER2N_LAN
PER2P_LAN

D
@FET-SI2301DDS

LAN_POW_SAVE 18

LAN_RST#

+3.3VS_261

PCIE_WAKE# 8,14
HP JACK IN# 15
HPOUT_L 15
HPOUT_R 15
MIC IN# 15
JACK_MIC_L 15
JACK_MIC_R 15

R234
@1K-04

INT_MIC 15

R228
2

LAN_RST#

0-04
1

PLT_RST# 6,8,14,16,18

con_ffc34h50_ff1-01210-343n_fcn
D10
A

R224
H2

S3

1
2

0-04
0-04

1
2
3
4

SCON2S

2
2

SCON2S

R382 1
R383 1

5VS_USB2
USB7USB7+

Q40

CN6

S2

@CK-2012F2SF-900T04
3
R1I R1O 2
R2I R2O
L9

4
1

USB_PN2
USB_PP2

7 USB_PN7_USB7
7 USB_PP7_USB7

S1

H1

C10

C284

0-06

@1U-10-06Y-Z

S1

S2

+3.3VS_261

@.1U-16-04Y-Z

H1

S2

S1

0-04
0-04

1
2
3
4

SCON2S

2
2

SCON2S

R380
R381

1
1

7 USB_PN0_USB0
7 USB_PP0_USB0

5VS_USB0
USB0USB0+

H2

@CK-2012F2SF-900T04
4
3
1 R1I R1O 2
R2I R2O
L8

0.8A

R216

+3.3VS

C5

4.7U-10-08Y-Z .1U-16-04Y-Z

+5VS

C11

1A

@.1U-16-04Y-Z

1.1A-6V-1206

34
33
32
31
30
29
28
27
26
25
24
23
22
21
20
19
18
17
16
15
14
13
12
11
10
9
8
7
6
5
4
3
2
1

500mA

USB0_5VS

+3.3VS_261

34
33
32
31
30
29
28
27
26
25
24
23
22
21
20
19
18
17
16
15
14
13
12
11
10
9
8
7
6
5
4
3
2
1

BD-QT1608RL-30

Location
CN20 (MB USB0)
CN7 (3G)
CN11 (MB MINI)
CN8 (CCD)
CN6 (IO)
CN4 (AIO USB)
CN21 (GXT USB)
CN19 (MB USB7)

@1U-6.3-04R-K

B4

USB
USB 0
USB 1
USB 2
USB 3
USB 4
USB 5
USB 6
USB 7

S2
S1

PS2
+5VS

CN19

@.01U-16-04X-K

C
@SCH-BAT54-PH

con_usb-r_dr02-a15-0435_fcn

RA-RB21

Location
CN20 (MB USB0)
CN7 (3G)
CN11 (MB MINI)
CN8 (CCD)
CN6 (IO)
CN4 (AIO USB)
CN21 (GXT USB)
CN19 (MB USB7)

3G+MODEM

2
2

B24

+5V

0-04
0-04

0-04

WEBCAM_PWR

S1
S2

S1
S2

1
2

C311

S6
SCON2S

2.2U-6.3-04R

33-04
2

ACZ_BITCLK 8
ACZ_SDATAOUT 8
ACZ_RST# 8
ACZ_SDATAIN1 8
ACZ_SYNC 8
USB_PN1_3G 7
USB_PP1_3G 7

3G_PWR

+3.3VS MD

2.75A
C290

C294

+5V

3G

C293

.1U-16-04Y-Z

VIN

RA-RB21

ON
OFF

S5
SCON2S

1
0

C309
.1U-16-04Y-Z

WEBCAM_ON

ESD
B

1 1K-04
C310

R404
1

con_wb-13h100_jh9-710_fcn

con_wb-6h125_wh1-00080-063n_fcn
R241 2

18 WEBCAM_ON

MD_BCLK
MD_SDATAOUT
MD_RST#
MD_SDATAIN1
MD_SYNC

S1
S2

1
2
3
4
5
6

S1
S2

1
2
3
4
5
6

CCD_USB3CCD_USB3+

1
2
3
4
5
6
7
8
9
10
11
12
13

@.1U-16-04Y-Z

R226 1
R227 1

1
2
3
4
5
6
7
8
9
10
11
12
13

CN8

@CK-2012F2SF-900T04
4
3
1 R1I R1O 2
R2I R2O
L1

7 USB_PN3_CCD
7 USB_PP3_CCD

RA1-RB_11

CN7

4.7U-10-08R-K

USB
USB 0
USB 1
USB 2
USB 3
USB 4
USB 5
USB 6
USB 7

.1U-16-04Y-Z

WEBCAM

R212

100K-04

10mil
D

HDD
3G_PWR#

G
S

18
CN9

P11: Staggered Spin-up Disable Control


22
21
20
19
18
17
16
15
14
13
12
11
10
9
8

BD-QT1608RL-120
C319

ACT# R242

2 @0-04

.1U-16-04Y-Z

H2
H1

H2
H1

7
6
5
4
3
2
1

+5V

600mA

C324
4.7U-10-08Y-Z

1ST_SATA_5V

1ST_SATA_3V
B25

1ST_SATA_3V
A

2N7002K

B26
1ST_SATA_5V

22
21
20
19
18
17
16
15
14
13
12
11
10
9
8

3G_PWR

Q41

7
6
5
4
3
2
1

C318
HDD_TX+ C312
HDD_TX- C313
HDD_RXHDD_RX+

.01U-16-04X-K
.01U-16-04X-K

SATA0RXP_HDD 7
SATA0RXN_HDD 7

@100P-50-04N-J

0-06

+3.3V

C320

@1U-10-06Y-Z

SATA0TXN_HDD 7
SATA0TXP_HDD 7

SHUTTLE

SATA-HDD_CON
CON_HDD-430R_C166H2-12204-L_ALLTOP

hexainf@hotmail.com
GRATIS - FOR FREE

Title

13 USB / WEBCAM / SATA / BT

Size

Document Number

Date:

5025
Tuesday, December 22, 2009

Rev
A

Sheet

13

of

27

+3.3VS

WL_+3.3VS

WLAN_PWR#

18 WLAN_PWR#

R214

+3.3VS

@100K-04

D @FET-SI2301DDS

C307

+1.5V

Location

PCIE 1
PCIE 2
PCIE 3
PCIE 4

C281

@.1U-16-04Y-Z

18 WLAN_PWR#

.1U-16-04Y-Z

PCIE LANE

C308
.1U-16-04Y-Z

0-06

C303
4.7U-6.3-06R-K

R218

1.32A

4.7U-6.3-06R-K

WL_+3.3VS

C304

4.7U-6.3-06R-K

C298

Q43

WLAN CONN

Q42

D @FET-SI2301DDS

R209

0-06

WL_+1.5V

CN? (OPTION VGA)


CN?(LAN+CARDREADER)
CN? (DIS-VGA)
CN? (Mini Card)

WL_+3.3VS
WL_+3.3VS

WL_+3.3VS

WL_+1.5V

R205

10 CLK_PCIE_WIRE_REQ#

R206
2

@0-04
1

R204
2

@0-04
1

WIRE_LANREQ#

10 CLK_PCIE_WIRE_CARD#
10 CLK_PCIE_WIRE_CARD
LPC_AD0_MINI
LPC_AD1_MINI

18 LPC_AD0_EC
18 LPC_AD1_EC
7
7
7
7

PCIE_RXN4
PCIE_RXP4

PER4N_MINI
PER4P_MINI

PCIE_TXN4
PCIE_TXP4

PET4N_MINI
PET4P_MINI

18 LPC_AD2_EC
18 LPC_AD3_EC
10 CLK_Debug_BD
8,18 LPC_FRAME#

WL_+3.3VS

C286

WL_+3.3VS

CN11

1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49
51

LPC_AD2_MINI
LPC_AD3_MINI
1 0-04 CLK_DEBUG_49
1 0-04 LPC_FRAME#_51

H1

H1

R248 2
R222 2

WAKE#
BT_SM_DATA
BT_SM_CLK
CLKREQ#
GND
REFCLKREFCLK+
GND
Reserved/UIM_C8
Reserved/UIM_C4
GND
PERn0
PERp0
GND
GND
PETn0
PETp0
GND
Reserved
Reserved
Reserved
Reserved
Reserved
Reserved
Reserved
Reserved

3.3V
GND
1.5V
UIM_PWR
UIM_DATA
UIM_CLK
UIM_RESET
UIM_VPP
GND
W_DISABLE#
PERST#
+3.3VAUX
GND
+1.5V
SMB_CLK
SMB_DATA
GND
USB_DUSB_D+
GND
LED_WWAN#
LED_WLAN#
LED_WPAN#
+1.5V
GND
+3.3V

2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50
52

1U-10-06Y-Z
R239 2
R223 2
R233 2
R232 2

LDRQ1#_8
INT_SERIRQ_10
PCI_RST#_12

1 0-04
1 0-04
1 0-04
1 @0-04

LDRQ1#
8
INT_SERIRQ 7,18
PCI_RST# 7

R236
R225
@47K-1-04
@1K-04

D11

WLAN_ON 18

@SCH-BAT54-PH
C

WL_+3.3VS

PLT_RST# 6,8,13,16,18

from SB output 3.3vs

WL_+1.5V

2
@CK-2012F2SF-900T04
3
R1I R1O 2
R2I R2O
L2
R238
0-04
2 0-04
R2371
1
2

4
1

USB2_D-_MINI
USB2_D+_MINI

WL_+1.5V

R400

1
0-04

USB_PN2_MINI 7
USB_PP2_MINI 7

WL_+3.3VS

H2

8,13 PCIE_WAKE#

RA-RB02

H2

47K-04

CON_PCIE-R40_1775861_TYC

USB

Location

USB 0
USB 1
USB 2
USB 3
USB 4
USB 5
USB 6
USB 7

CN? (MB USB0)


CN2 (3G)
CN? (MB MINI)
CN? (CCD)
CN? (IO)
CN? (AIO USB)
CN? (GXT USB)
CN? (MB USB7)

TP+LED CONN
B

CN15

RA-RB17

1
2
3
4
5
6
7
8
9
10
11
12

TP_LID#

SATA_LED# 7
RF_LED_ON 18
PID_1 / CHG_O_LED 18
PID_0 / CHG_W_LED 18
PID_2 / PWR_LED 13,18
+3.3VA
+3.3V
TP_CLK 18
TP_DATA 18

CHG

RA-RA1_25

TP

+5V

con_ffc12t05_ff1-01200-123n_fcn
R403
1

C149
.1U-16-04Y-Z

C171
.1U-16-04Y-Z

C170
.1U-16-04Y-Z

.1U-16-04Y-Z

C169

47K-04
2

+3.3VA

TP_LID#

LID#

18

RA1-RB_09

SHUTTLE
Title

14 TP/ Mini Card / WEBCAM

Size

Document Number

Date:

5025
Tuesday, December 22, 2009

Rev
A

Sheet

14

of

27

R250

47-04

R249

47-04

HPOUT_R 13
HPOUT_L 13

C326

MIC1_VREF

C325

10n-50-04X-K

10n-50-04X-K
R192

R191

C331
1U-6.3-04Y-Z

4.7K-04 4.7K-04

volume

RA-RB

C336

1U-6.3-04Y-Z .1U-16-04Y-Z

26

25

AVSS1

Mono_Out

27

28
HP0_L

29
HP0_R

AVDD1

AVSS2

30

32

V-

33

31
HP1_L

HP1_R

Analog
Digital

PORTE_L
SENSE_B

JACK_MIC_L 13

MIC2_VREFO

23

MIC1_VREF

22

CAP2

C332

1U-6.3-04Y-Z

R251

21

VREF_CODEVDD

C228

2.2U-10-06X-Z

4.7K-04

20

MIC2_R

C333

1U-6.3-04Y-Z

19

MIC2_L

C334

1U-6.3-04Y-Z

INT_MIC 13

18

+5V_CODECAVDD

17

C330

16

.1U-10-04R-K
R174
2.49K-1-04

15
14

SENSEB#

13

HP_JD_SENSEA#

R176

100K-04

R167

PCBEEP

R161

2 20K-04

2 39.2K-1-04

HP JACK IN#

13

MIC IN# 13

C221
.1U-16-04Y-Z

12

RESET#

SENSE_A

24

RA-RB
R140
CODEC_PCBEEP

C338

PCBEEP

1U-6.3-04Y-Z

C189

1U-6.3-04Y-Z

C188

1U-6.3-04Y-Z

ACZ_SPKR_BEEP

ACZ_SPKR 8

C209
100K-04

2.2U-10-06X-Z

close to pin 1

PORTE_R

11

DGND

1
C214

AVSS2

CAP-

SPDIF OUT0

CODEC_+3.3V

10-04

C204
.1U-16-04Y-Z

V-

34

EAPD

DVDD_CORE

R271

CAP+

DMIC/GPIO 0/SPDIFOUT1

Close IC pin

+3.3V
DVDD-PVDD-AVDD

35

PVDD

SYNC

49

PORTF_L

DVDD*

48

PORTD_+R

SDATA_IN

47

AMPMUTE#

10K-04

PORTF_R

R157

AMP_MUTE#

PORTC_L

PORTD_-R

10

46
18

PVSS

DVSS**

.1U-16-04R-K 45

C223

PORTC_R

92HD81-UA

44

PORTD_L-

BIT_CLK

43

SPKR1+

VrefFilt

SDATA_OUT

42

0-04

SPKR1C

CAP2

PORTD_+L

R166

36
Cap+

41

VrefOut_A or F

PVDD

.1U-16-04R-K

SPKL1-

AVDD2

2.2U-6.3-06R-K

40

DMIC_0/GPIO2

C231

39

SPKL1+

C275

AMP_PVDD

JACK_MIC_R 13

0-06

MIC2_VREFO

VrefOut_C

DVDD_IO

BD-QT1608RL-120

JACK_MIC_L
B28

.1U-16-04R-K

Vreg(+2.5V)

B22

38

DMIC_CLK/GPIO

1.2A
+5V

37

+5V_CODECAVDD

DVDD_CORE

VREG
4.7U-10-08Y-Z

C329

Cap-

U12

pop noise

.1U-16-04R-K

JACK_MIC_R

MIC_L_EXT

R181 0-04

C235

4.7U-6.3-06R-K

MIC_R_EXT

R182 0-04

C249

4.7U-10-08Y-Z

0-06

C233

0-04

close to pin 38

BD-QT1608RL-30

2.2U-10-06X-Z

B23

+5V

C328

B29

+5V_CODECAVDD

2.2U-10-06X-Z

38mA

4.7U-10-08Y-Z

MIC1_L

R183

C442

C250

C327
MIC1_R

LINE2_L

LINE2_R

ACZ_RST# 8
ACZ_SYNC
CODEC_DATAINR142

33-04

CODEC_BIT_CLK
R147

33-04

C203
22P-50-04N-J

8 ACZ_SDATAOUT

C186

BTL_BEEP 18

R139

@100P-50-04N-J1 10K-04

ACZ_SDATAIN0 8
ACZ_BITCLK 8

EMI

RA-RB13

INT_SPEAKER
SPKR1+

B30

0-04

SPKR1-

B31

0-04

SPKL1-

B32

0-04

SPKL1+

B33

0-04

SPK_R+
SPK_RSPK_LSPK_L+

CN13
SPK_R+
SPK_RSPK_LSPK_L+

1
2
3
4

1
2
3 S1
4 S2

S1
S2

CON_WB_4H125_WH1-00080-043N_FCN

S9

S8

@680P-50-04X-K

@680P-50-04X-K

@680P-50-04X-K

@680P-50-04X-K

RA-RB21
S7

S10
SCON2S

C196

SCON2S

C193

SCON2S

C192

SCON2S

C190

hexainf@hotmail.com
GRATIS - FOR FREE

SHUTTLE
Title

15 CODEC & AMP/INT_MIC/SPK

Size

Document Number

Date:

5025
Tuesday, December 22, 2009

Rev
A

Sheet

15

of

27

GXT CONN.

PCIE LANE

CN2 (OPTION VGA)


CN6(LAN+CARDREADER)
CN21 (GXT-VGA)
CN11 (Mini Card)

USB

Location

USB 0
USB 1
USB 2
USB 3
USB 4
USB 5
USB 6
USB 7

CN20 (MB USB0)


CN7 (3G)
CN11 (MB MINI)
CN8 (CCD)
CN6 (IO)
CN4 (AIO USB)
CN21 (GXT USB)
CN19 (MB USB7)

CN21

USBRSVD

RSVD

11

RSVD

13

RST#

C460

GXT_PET3N

10

GXT_PET3P

C457

0-04

C456

0-04

14

GXT_PER3P

C459

0-04

16

GXT_PER3N

C458

0-04

18

GND

PET3N_GXT 7
PET3P_GXT 7

PER3P_GXT 7
PER3N_GXT 7

RA-RB23
S12
SCON2S

CON_HDMI-A-R_C12812-11905-L_ATP

S11
SCON2S

@.1U-16-04Y-Z

90.9K-1-04

@.1U-16-04Y-Z

@.1U-16-04Y-Z

C4

@.1U-16-04Y-Z

R9

C289

C3

PCIE_CLK
GXT CON

RA-RB05

19

GND

PCIE_TXN
PCIE_CLK#

USB_GXF_PN6

12

GND

17

10 CLK_PCIE_GXT

PCIE_RXP

PCIE_TXP

15
10 CLK_PCIE_GXT#

PCIE_RXN

GND
GND
GND
GND

2 GXT_PLT_RST#
0-04

USB_GXF_PP6

H1
H2
H3
H4

1
R10

6,8,14,18 PLT_RST#

GXT CONN.

RSVD

GND

ESD

USB+

GXT_EN

L2 RSVD

RA-RB04DET

18 GXT_DET

18 GXT_ON

Location

PCIE 1
PCIE 2
PCIE 3
PCIE 4

RA-RB21

RA-RBRA-RB06

usb to sata when pull high .


sata to sata when pull low.

Co-lay

R386

R384

0-04

0-04

L10
2
3

USB_GXF_PN6
USB_GXF_PP6

1
4

R1O R1I
R2O R2I

USB_PN6_GXT 7
USB_PP6_GXT 7

@lecm2012

1'L ONLY

USB CONN.

JP5 2

VIN_VGA

1OPEN-1MM-0805-1

VIN

con_wb-4h125_mh1-d2-043g_fcn

S1
S2

S1
S2

4
3
2
1

S1
S2

4.7U-10-08Y-Z

4
3
2
1

USB5USB5+

USB_PN5_AIOUSB 7
USB_PP5_AIOUSB 7

8
7
6
5
4
3
2
1

CN4

2A
CLK_PCIE_VGA# 10
CLK_PCIE_VGA 10

R72

2 @0-04
2
@0-04

104mA
C489

1A
+5V
PLT_RST# 6,8,13,14,18

CN2
C98

C54

@4.7U-6.3-06R-K

@.1U-16-04Y-Z

@.1U-25-04Y-Z

PCIE LANE
PCIE 1
PCIE 2
PCIE 3
PCIE 4

Location

2
1

2
R407

CN23

CN2 (OPTION VGA)


CN6(LAN+CARDREADER)
CN21 (GXT-VGA)
CN11 (Mini Card)

@0-08

+5V

SATA1TXP_ODD 7
SATA1TXN_ODD 7
ODD_SATA1TXNC351
ODD_SATA1TXPC352

.01U-16-04X-K
.01U-16-04X-K

SATA1RXN_ODD 7
SATA1RXP_ODD 7

con_wb-8h125_mh1-d2-083g_fcn
+3.3V

C490

.1U-16-04Y-Z
2.2U-6.3-06Y-Z
2
1

@con_wb-14h125_mh1-d2-143g_fcn
C95

C16

CN1

S1
S2

PER1N_VGA 7
PER1P_VGA 7

R61 1

+5V_VGA
PLT_RST_VGA#

8
7
6
5
4
3
2
1

C17

BT CONN.

PET1N_VGA 7
PET1P_VGA 7

S1
S2

14
13
12
11
10
9
8
7
6
5
4
3
2
1

+5VS
C172

S1
S2
14
13
12
11
10
9
8
7
6
5
4
3
2
1

R17 1

+5V_ODD

500mA

S1
S2

CN20 (MB USB0)


CN7 (3G)
CN11 (MB MINI)
CN8 (CCD)
CN6 (IO)
CN4 (AIO USB)
CN21 (GXT USB)
CN19 (MB USB7)

S1
S2

USB 0
USB 1
USB 2
USB 3
USB 4
USB 5
USB 6
USB 7

ODD CONN.
.1U-16-04Y-Z

Location

4.7U-6.3-06R-K

VGA CONN.

USB

1
0-04

BT_ON

18

C491

con_wb_10h80_a0800wr-s-2p_hr
.1U-16-04Y-Z

could be to define net

LED CONN.
CN3
S1 1
S2 2
3
4
5
6
7
8
9
10
11
12

SATA_LED# 7
RF_LED_ON 18
PID_1 / CHG_O_LED 18
PID_0 / CHG_W_LED 18
PID_2 / PWR_LED 13,18
+3.3VA
+3.3V

con_ffc12t05_ff1-01200-123n_fcn
A

SHUTTLE
Title

LAN

Size

Document Number

Date:

5025
Tuesday, December 22, 2009

Rev
A

Sheet

16

of

27

DC-IN

DC_IN_A
DC_IN+

co-lay

DC_IN_B

DC_IN

JP1
2

1
@OPEN_10A

CN18

B5
4

U1

BD-HCB2012KF-80
C435

C12

C432

C15

RCH3

1
2
3

DC_IN+
C434
@.1U-50-04X-K

@220P-50-04X-K

@1U-25-06R-K

22P-50-04N-J

.1U-50-06X-K

8
7
6
5

D17

50m-1W-1-2512

VIN

SK34A

C430

C433

220P-50V-06N-J

220P-50V-06N-J

Si4835DDY

4
C9

CON_DCIN-WO_C-1775540-1_TYC

560P-50-04X-K

R8

330K-04
DC_IN_A_G

MS1

MS2

R385
330K-04

B10IE01

PROJECT

R7

Adaptor

40W

Rsense

50mOhm
35W

Stop Charger

R6
SAFTY_G

Q1

Q57

G
G
2N7002K

18 SAFTY_PROTECT

2N7002K

150K-04

0-04
C2
.1U-16-04Y-Z

@10K-04

R387
330K-04

C436
.22U-25-06X-K

R5

CPU FAN CONTROL

BYPASS

+5V
con_wb-3h125_wh1-00080-033n_fcn

U5

+1.05V +1.05V +1.05V +1.05V +1.05V +1.05V

+3.3VA

+3.3V

+1.5V

+1.5V

G990P11U

+3.3VA +1.8VS +1.05V +1.8VS VIN

VIN

+1.8VS +5VS

+1.05V +1.5V

RA-RB21
C445

C446

C447

C448

+1.8VS +1.8VS
VIN

VIN

+5V +5V

+5V

+5VS +5VS

+5V

+1.05V

+1.8VS

+3.3VS +3.3VS

C449

C450

C451

+5V

+3.3VA

+1.05V

C185

C165

C452

+0.9VS

C453

+5V

C454

C455
@.1U-16-04Y-Z

C234

@.1U-16-04Y-Z

C61

@.1U-16-04Y-Z

C285

@.1U-16-04Y-Z

C194

.1U-16-04Y-Z

C195

.1U-16-04Y-Z

C178

.1U-16-04Y-Z

C168

.1U-16-04Y-Z

.1U-16-04Y-Z

C161

.1U-16-04Y-Z

C156

.1U-16-04Y-Z

M-SO8

RA-RB07

@.1U-16-04Y-Z

8
7
6
5

@.1U-16-04Y-Z

GND
GND
GND
GND

@.1U-16-04Y-Z

2.2U-6.3-06R-K

VEN
VIN
V0
VSET

@.1U-16-04Y-Z

C126

4.7U-10-08Y-Z

FAN_CTRL0

@.1U-16-04Y-Z

C125

18

@.1U-16-04Y-Z

FAN_VOUT

.1U-16-04Y-Z

3
2
1

.1U-16-04Y-Z

3
S2 2
S1 1

@.1U-16-04Y-Z

1
2
3
4

CN16
S2
S1

+3.3VS +1.05V

+1.05V

.1U-16-04Y-Z

C166

+3.3V

+3.3V

H4

H3

.1U-16-04Y-Z

+5VS

C86
.1U-16-04Y-Z

C150
.1U-16-04Y-Z

C96

.1U-16-04Y-Z

+3.3V

C296

@.1U-16-04Y-Z

C154

.1U-16-04Y-Z

.1U-16-04Y-Z

C13

.1U-16-04Y-Z

.1U-16-04Y-Z

C21

.1U-16-04Y-Z

C288

.1U-16-04Y-Z

C291

.1U-25-04X-K

+3.3VA

PWR Botton

C180
.1U-25-04X-K

RA-RB13
C91

+1.8VS

+3.3VS

+5VA_LDO
R221
47K-04
R313

PWRSW

HOLE

18

100K-04

H10

H8

H6

R236X236D0

H11

C256D158

H7

C256D158

H1

R236X236D0

H2

C315D106

C384

H9

C158D67

2N7002K

C276D106

PWRON#

C256D106

PWRON#

C236D87

13

C236D87

C276D106

Q47

H5

.1U-25-06Y-Z

+5VA_LDO

VB

R294
0-06

100K-04
G

Q49

2N7002K

Q46
R307

C373

100K-04

.1U-25-06Y-Z

hexainf@hotmail.com
GRATIS - FOR FREE

R330

SHUTTLE

G
2N7002K

Title

PWR SW/FAN/HSCRP CAP/DC IN

Size

Document Number

Date:

5025
Tuesday, December 22, 2009

Rev
A

Sheet

17

of

27

KEYBOARD CON

FLASH ROM(SPI)

CON_FFC_24T10_88746-2401_ACES
CN5

14
14
14
14

B27
EC_+3.3VA

+3.3VA

C322

C306

C317

4.7U-6.3-06R-K

.1U-16-04Y-Z

.1U-16-04Y-Z

BD-QT1608RL-60
R230
0-04
C299

100K-04

C301

+3.3VA

C302

C297 .1U-16-04Y-Z

VBAT_3

.1U-16-04Y-Z
R235 1

LRST1#

+3.3VA

+3.3V

.1U-16-04Y-Z

R231
1

RA1-RB_02

@0-04
2

+3.3VA
C254

+3.3VA

4.7U-6.3-06R-K

LPC_AD0_EC
LPC_AD1_EC
LPC_AD2_EC
LPC_AD3_EC

KEY_OUT0
KEY_OUT1
KEY_OUT2
KEY_OUT3
KEY_OUT4
KEY_OUT5
KEY_OUT6
KEY_OUT7
KEY_OUT8
KEY_OUT9
KEY_OUT10
KEY_OUT11
KEY_OUT12
KEY_OUT13
KEY_OUT14
KEY_OUT15
KEYIN0
KEYIN1
KEYIN2
KEYIN3
KEYIN4
KEYIN5
KEYIN6
KEYIN7

R186

LPC_AD0_EC
LPC_AD1_EC
LPC_AD2_EC
LPC_AD3_EC

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24

SPI_CE#
SPI_SO

10K-04
U9
1
2
SPI_WP# 3
4

CS#
SO
WP#
GND

VCC
HOLD#
SCK
SI

8
7
6
5

SPI_HOLD#R194 1
SPICLK
R405 1
SPI_SI

2 10K-04
2 0-04 SPI_CLK

+3.3VA

RA1-RB_11

W25Q80BVSSIG

C465 @.1U-16-04Y-Z

C242
.1U-16-04Y-Z

KEY_OUT15
KEY_OUT14
KEY_OUT13
KEY_OUT12
KEY_OUT11
KEY_OUT10
KEY_OUT9
KEY_OUT8
KEY_OUT7
KEY_OUT6
KEY_OUT5
KEY_OUT4
KEY_OUT3
KEY_OUT2
KEY_OUT1
KEY_OUT0

0-04

Crystal
cap

C295

8500

0-04

8502

10P
SPI_CLK
SPI_CE#
SPI_SI
SPI_SO
FLFRAME#

R198

105
101
102
103
100

KSO17/GPC5
KSO16/GPC3
KSO15
KSO14
KSO13
KSO12/SLCT
KSO11/ERR#
KSO10/PE
KSO9/BUSY
KSO8/ACK#
KSO7/PD7
KSO6/PD6
KSO5/PD5
KSO4/PD4
KSO3/PD3
KSO2/PD2
KSO1/PD1
KSO0/PD0

85
86
87
88
89
90

21 EC_VID4
21 EC_VID5
21 EC_VID3
20 CHG_ON#
14 TP_CLK
14 TP_DATA
SMBCLK0
SMBDAT0
SMBCLK1
SMBDAT1

RA-RB10
13 LAN_POW_SAVE
GXT_DET

INT-L

PS2CLK0/GPF0
PS2DAT0/GPF1
PS2CLK1/GPF2
PS2DAT1/GPF3
PS2CLK2/GPF4
PS2DAT2/GPF5

INT-H

INT-H

SMBDAT_EC 5,10

CLK GEN / THERMAL


R2401

EC_EXTSMI#

RA-RB17

2 4.7K-04
+3.3VA

R213

R215

4.7K-04
TP_CLK
R121
TP_DATA R119

4.7K-04
1

PM_SLP_S4# 8
PM_SLP_S3# 8
SAFTY_PROTECT 17

C163 @10P-25-04N

TP_CLK

TP_DATA C158 @10P-25-04N

SMBCLK0

4.7K-04
4.7K-04

BAT_SMBCLK 20

+5V

FLRST#/WUI7/GPG0/TM
GPG1/ID7
FLAD3/GPG6

INT-L

INT-L

GPH0/ID0/SHBM
GPH1/ID1
GPH2/ID2
GPH3/ID3
GPH4/ID4
GPH5/ID5
GPH6/ID6
CTX/GPB2
CRX/GPC0

INT-L

GPF6
GPF7

120
124
16

BATT
+1.8VS_ON 23

18
21
22
33
47
48
19
82
83
84
125
35
17
20

ADAP_IN 20
PWRBTN# 8
PLT_RST# 6,8,13,14,16
Fastcharge_EN 20
+5V_ON
19
SET_V
20
LID#

CLK_REQ_106 R207

93
94
95
96
97
98
99

CPU type ec

LID#
14
GXT_ON 16
PWROK
6,8
VCORE_ON 21RA-RB10
PWRSW 17
+1.2VS_ON 13
WLAN_ON 14
AMP_MUTE# 15

RA-RB

106
107
104

QFPS128-04M-60

BAT_SMBDAT 20

SAVE_PWR

+3.3V

BSEL

10K-04

R197

EC_BSEL0

CPU
MHZ

FSB667

166

FSB800

200

FSB

100K-04

5,10 CPU_BSEL0

R105
1

0-04
R195
2 BSEL_B
1

B
BSEL_A

Q39
FMBT3904

100K-04

+3.3VA
+3.3VS_ON 19
WEBCAM_ON 13

EC_BSEL0

PID_0 / CHG_W_LED 14,16


PID_1 / CHG_O_LED 14,16
PID_2 / PWR_LED 13,14,16
TOTAL_POW_OFF 20

capella rsvd

123
119

Platform ID

+1.05V_ON 22
+1.5V_ON 22

Crystal EN

Pine trail-D

R207

8500

10K

8502

OP

ID

(ID2)
(ID1)
(ID0)
(ID3)
PID_2 /
PID_1 /
PID_0 /
RF_LED_ONPWR_LED CHG_O_LED
CHG_W_LED

B11IE01
B13IE01

(ID3)

(ID2)

(ID1)

(ID0)

(ID3)

(ID2)

(ID1)

(ID0)

(ID3)

(ID2)

(ID1)

(ID0)

.1U-16-04Y-Z

R229
SAVE_PWR

22,23 SAVE_PWR_ON

SMBCLK_EC 5,10

SMBDAT1
+3.3V

RF_LED_ON 14,16
BATT_VA_ON 23
BTL_BEEP 15
WLAN_PWR# 14
3G_PWR# 13
RA-RB
SENBAT_V 20
PM_RSMRST# 8
EC_BL_PWM 12

108
109
112

4.7K-04

ADC/GPI

L80HLAT/GPE0
EGAD/GPE1
EGCS#/GPE2
EGCLK/GPE3
INT-H PWRSW/GPE4
WUI5/GPE5
LPCPD#/WUI6/GPE6
INT-HL80LLAT/GPE7

INT-L

INT-L

1
27
49
91
113
122

12

RI1#/WUI0/GPD0
RI2#/WUI1/GPD1
LPCRST#/WUI4/GPD2
GINT/GPD5
TACH0/GPD6
INT-L
TACH1/GPD7

VSS_12

C300

TMRI0/WUI2/GPC4
TMRI1/WUI3/GPC6
PWUREQ#/GPC7

INT-L

INT-H

SMCLK0/GPB3
SMDAT0/GPB4
SMCLK1/GPC1
SMDAT1/GPC2
SMCLK2/GPF6
SMDAT2/GPF7

EC_BL_EN 12
EC_PROCHOT# 5
FAN_CTRL0 17
CHG_REF 20
CHG_I
20
BT_ON
16

24
25
28
29
30
31
32
34

R220

4.7K-04

SMBDAT0

GPE1
GPE2
GPE3

FLCLK/SCK
FLAD0/SCE#
FLAD1/SI
FLAD2/SO
FLFRAME#/GPG2/LF

ITE8500

RXD/GPB0
TXD/GPB1
INT-L
RING#/PWRFAIL#/LPCRST#/GPB7
INT-H

VSS

16

110
111
115
116
117
118

3
74
INT-H

100K-04

System BUS

KSI7
KSI6
KSI5
KSI4
KSI3/SLIN#
KSI2/INIT#
KSI1/AFD#
KSI0/STB#

PWM0/GPA0
PWM1/GPA1
PWM2/GPA2
PWM3/GPA3
PWM4/GPA4
PWM5/GPA5
PWM6/GPA6
PWM7/GPA7

GPA0
GPA1

76
77
78
79
80
81

R217

SMBCLK1

C295

57
56
55
54
53
52
51
46
45
44
43
42
41
40
39
38
37
36

IT8500

CLK32K
CK32KE

CPU_H_VID5 5
CPU_H_VID4 5
BAT_I
20
BATT_TEMP 20
ADAPTOR_I 20
BAT_V
20

crystal TP

65
64
63
62
61
60
59
58

CPU_H_VID3

EC_BSEL0

KEYIN7
KEYIN6
KEYIN5
KEYIN4
KEYIN3
KEYIN2
KEYIN1
KEYIN0

WRST#

DAC0/GPJ0
DAC1/GPJ1
DAC2/GPJ2
DAC3/GPJ3
DAC4/GPJ4
DAC5/GPJ5

66
67
68
69
70
71
72
73

+3.3V

128
2

DAC

EC_XI
EC_XO

ADC0/GPI0
ADC1/GPI1
ADC2/GPI2
ADC3.GPI3
ADC4/GPI4
ADC5/GPI5
ADC6/GPI6
ADC7/GPI7

AVSS

14

GPJ0
GPJ1

75

TP5

LRST1#

KBRST#/GPB6 INT-H
GA20/GPB5
ECSMI#/GPD4
INT-H
ECSCI#GPD3

PS/2

TP4

4
126
15
23

SERIRQ
LFRAME#
LPCCLK

KB Matrix Interface

RA-RB

H_RCIN#
H_A20GATE
EC_EXTSMI#
EC_EXTSCI#

5
6
13

LPC_SERIRQ
LPC_FRAME#

GPI0
GPI1
GPI2
GPI3

LAD0
LAD1
LAD2
LAD3

SPI Flash

7
7
8
8

10
9
8
7

BUS

7,14 INT_SERIRQ
8,14 LPC_FRAME#
10 CLK_EC_LPC

1 0-04
LPC_AD0_EC
1 0-04
LPC_AD1_EC
1 0-04
LPC_AD2_EC
1 0-04
LPC_AD3_EC

VSS
SM
VSS
VSS
VSS
VSS
VSS

R200 2
R199 2
R201 2
R202 2

SMBUS

VBAT/NC
AVCC

11
VCC

FOR EMI DEL RA-RB , Seq??


LPC_AD0
LPC_AD1
LPC_AD2
LPC_AD3

VSTBY
VSTBY
VSTBY
VSTBY
VSTBY
VSTBY

U11

8
8
8
8

26
50
92
114
121
127

.1U-16-04Y-Z

LPC:4Eh-4Fh RC_IN = KBC RESET

Pine trail-M

10K-04

ID

B11IE01
B13IE01

C305
.1U-16-04Y-Z

Pine trail-D

ID

DB14IE01

MODEL
DB18

ID

SHUTTLE
Title

PWR SW/FAN/HSCRP CAP/DC IN

Size

Document Number

Date:

5025
Tuesday, December 22, 2009

Rev
A

Sheet

18

of

27

VIN
VIN
+3.3VS

1
2
3

+5VA

1M-04

3.3VS_ON_HV
Q4

47K-04

C22

R33
D

G
4

@.1U-25V-04Y-Z
R23

0-04

5V_ON_HV

2N7002K

RA-RB01

C28

.1U-25-06Y-Z

240 mil

S
D

RA-RB01
D

R30
C59

1
2
3

R13

R34

P1203BV

1M-04

R12

@.1U-25V-04Y-Z

8
7
6
5

100K-04

C56

100K-04

RA-RB25

+5V
Q11

240 mil

P1203BV

RA-RB25

180 mils

Q14
8
7
6
5

RA-RB01

2N7002K
D

S
R21

0-04

Q18

+3.3VS_ON 18
2

1M-04
1

Q7
G

R14

RA-RB01

Q17
G

.1U-25-06Y-Z

2N7002K

R31

R45

0-04

+5V_ON

18

2N7002K

1M-04

+3.3VA

180 mils

+5VA

+5VS

180 mils

Q6

180 mils

8
7
6
5

+3.3V

+3.3VA
Q13

P1203BV

1
2
3

8
7
6
5

180 mils

S
C6

D
G

P1203BV

1
2
3

180 mils

S
C58

D
G

@.1U-25V-04Y-Z
R37

@.1U-25V-04Y-Z

10K-04
C7

100K-04

5V_ON_HV

3.3VS_ON_HV

R11

C57

RA-RB01

RA-RB01

.1U-25-06Y-Z

.1U-25-06Y-Z

+1.8V

+1.8VS
Q30

180 mils

8
7
6
5

P1203BV

1
2
3

180 mils

S
C147

D
G
4

@.1U-25V-04Y-Z
R113
C151

100K-04

5V_ON_HV

RA-RB01

.1U-25-06Y-Z

hexainf@hotmail.com
GRATIS - FOR FREE

SHUTTLE
Title

VCC SW

Size

Document Number

Date:

5025
Tuesday, December 22, 2009

Rev
A

Sheet

19

of

27

RA-RB
Q31

L3

8
7
6
5

1U-25-06R-K

C362

R290
0-06
8602_HDR

C135

@2200P-50-06X

.22U-25-06X-K

Close to IC

C145

13

1U-25-06R-K

14

ICHM
IACM

15

IACP

16

C152
1U-25-06R-K

>8v

FMBT3904

COMP

ICHP

VSET

ICHM

IOUT

IACM

CELLS

IACP

ISET

COMP_4
R106

8602_VSET

4.7U-10-08Y-Z

SET_V

C353

L ( 3CELL )

ADAPTOR_I 18

SET_V

R111

Fastcharge_EN

CHG_I

3V

R110

3V

.1U-16-04Y-Z

107K-1-04

0.75V

250mA

0.3V

100mA

R118
C141

R109

.1U-16-04Y-Z

56K-1-04

1K-04

CHG_I

18

0R->1K
RA-RB

PJP2

20K-1-04

Q44

CHARGER CURRENT = (((Vfastcharge_EN-Vbe)/180)*10+CHG_I/30)))/0.1

CLOSE

1000P-50-04X-K

R144

CHG_ON# 18

@2N7002K

CHG_ON

RA-RB20
18

AC_STATUS

ADAP_IN

BD-1608K-150

1000P-50-04X-K

C230

C315

U10A
GS358SF

BAT_I

.22U-16-04Y-Z

18

D12

C314

1.5K-1-04
R243
C321

For EMI

S17

R244

0-06

1.5K-1-04

S16

UDZSNPTE-173.3B

CLOSE EC PIN
Charge Current

SCON2S

SCON2S

S15

.1U-16-04Y-Z

16.5K-1-04

.22U-16-04Y-Z
R246

RA-RB21
SCON2S

VBAT_REF

R247

CON_BAT-M7_C144P7-107A8-L_ALLTOP

.1U-16-04Y-Z

100K-04

220P-50-04X-K1

BAT_V_ON#

Vgs_OFF= -0.4~-1.4

220P-50-04X-K1

.1U-16-04Y-Z

RA-RB13 EMI

1
2

20K-1-04

C232

R160

CHG_REF_3

CHG_REF

C225

R245
18

BAT1D

C215

C210

+3.3VA

.1U-16-04R-K

BAT1C

3LP01C-TB-E

Q35

BATT_TEMP

BATT_TEMP

C316
.1U-50-06Y-Z

H1
1
2
3
4
5
6
7
H2

BAT+
BAT1C
BAT1D
BATT_DUMP(ROM_PWR)
BATT_TEMP

100-04
100-04

BATT_TEMP

18

R158
R165

BD-HCB2012KF-80

BAT_SMBCLK
BAT_SMBDAT

CHARGER OFF

CN14

RA-RB

R180
18
18

CHARGER ON

C323

B20

20K-1-04

+5VA

Charge / Discharge Detect

VCHG

VBAT_REF

1A

RA1-RB_08

C444

B19

Ich
1.96A

C153

18 TOTAL_POW_OFF

+3.3VA

12.75V

Vch =Nx(4.1 +Vset/10)


N=Cell (pin2 =hing -->4, low -->3)

18

1.37K-1-04

Q60

Vichg =RAD1*Irsense*10

C132

2.2U-6.3-06R-K

150-1-04
C136 .22U-16-04Y-Z

R394

2N7002K

BATTERY CON

100W

22N-25-04X-K

C359

C350

C122

2
C198

DCIN_VCHG

80W

1.25V

LV

330-1-04

60W

2000mV

R298

2
R146

DC_IN

P2003EVG
8
7
6
5
D

1500mV

10K-04

RA-RB
Q33
1
2
3
S

40W

ISET

1000P-50-04X-K 270-1-06

VCHG

ACAV

20W

1000mV
4

SK34A
A

GND

500mV

OZ8602

C363
D6
C

LV

CHIGH

REF

.1U-25-06Y-Z RCH1
25m-2w-1-1206

18 Fastcharge_EN

HDR

Q45
B

REF

1 E

10-1-06
R115

DC_IN_B

Close to IC

VAC

Voltage

ICHM

10-1-06
R112

10

11
AC_STATUS
12

ICHP

DC_IN

U6

ADAPTOR_I

C144

R108

.1U-25-06Y-Z

C131

ICHP

4.7U-25-08R-K

4.7U-25-08R-K

4.7U-25-08R-K

C121

10-1-06

@2.2-06

SK34A

Close to PIN 9

Charge I limit =2A

100m-1w-1-2512
R295

D5

D
P2003EVG

RCH2

VCHG_OUT

AFPI10044R7NTB-4R7-6A

CHG_LX

1
2
3

VIN

VIN

VCHG

10*10_18mohm

CHARGER

BAT_I

4.75A

Battery Voltage Detect

R185

3.000V

3.00A

2.511V

2.00A

2.23V

1.00A

1.97V

0.00A

1.674V

-1A

1.395V

-3A

0.837V

931K-0.1-04

VBAT_REF
BAT_V
R179

C236

133K-0.1-04

1U-10-04R-K

17.6V ->BAT_V=2.2V
16.8V ->BAT_V=2.1V
13.2V ->BAT_V=1.65V
12.6V ->BAT_V=1.575V
9V
->BAT_V=1.125V
3C 2.4V
->BAT_V=0.9V,CC 125mA
30min over dis

18

R193
2N7002K
100K-04

Q37
BAT_V_ON#

Q38

R196
SENBAT_V_G

SENBAT_V 18

G
2N7002K

SHUTTLE

1K-04
C280

R208

@1U-25-06R-K

200K-1-04

Title

BATT IN / CHARGER (OZ8602)

Size

Document Number

Date:

5025
Tuesday, December 22, 2009

Rev
A

Sheet

20

of

27

CPU_VIN

+5V

+3.3V
CPU_VIN

B13

BD-HCB2012KF-80

B11

BD-HCB2012KF-80

1.9K-04

1.9K-04

5
6
7
8

1.9K-04

22-04

33K-04

R361

Q19

R51

@0-04
2

CPU_HX

P1203BV

4
G

DELAY_VRPWRGOOD
COMP
SLEW

3
2
1

JP8
OPEN_10A

CSP

OCP:13A

+CPU_CORE

0-06

RA-RB12

2.5A

R363
1

6 DELAY_VR_PWRGOOD

C53
@1000P-50-04X-K

R375

C60
@1000P-50-04X-K

R374

C49
1000P-50-04X-K

R58

C44
4.7U-25-08R-K

R65

C45
4.7U-25-08R-K

C43
4.7U-25-08R-K

RA-RB12

VIN
D

R356 4.87K-1-04

7*7_DCR 15mohm
L6
CPU_PHASE

1
C

1-1-06

RT1

2
499K-1-04

680P-50-06X-K

3
2
1

RA-RB12C75

2
0-06

R355 51-1-04
1
2
C

2700P-50-06X-K

RA-RB12

RA-RB12

2200P-50-06X-K

RA-RB12

R357

C64

4.7U-10-08Y-Z

4.7U-10-08Y-Z

5
6
7
8

5
6
7
8
3
2
1

2200P-50-06X-K

C35

R359
CSP

10-04

CSN

24.9K-1-04
2

DPRSLPVR_CORE

@499-1-04

R44
D3

C398
+
APXE2R5ARA561MF61G-560uF-2.5V
APXE2R5ARA561MF61G-560uF-2.5V

RSP

R358
1

RSN

R351
1

0-04
2

VCC_SENSE_CPU 5

499-1-04
2

VSS_SENSE_CPU 5

RA-RB12

R337
10K-04

.22U-16-04Y-Z

OVP
TSET
VIN
DSLP
VID0
VID1
VID2
VID3

1U-10-04R-K

RSP
CSN
CSP
SLEW
COMP
PG6
LX
HDR

R338

6,8 DPRSLPVR

C408

R336
1

1
2
3
4
5
6
7
8

49.9K-1-04
2

@1u-50-04X-K

R59
1

C88

1U-10-04R-K

OP

27K-04
2

C90

.1U-10-04R-K

mount

R56
1

C94

CPU_LX

C33
C87

P1203BV

SK34A

CPU_LX

Q16

P1203BV

P-M

10K-04 RA1-RB_00
2

Q15

mount

15.8K-1-04
2

R335
1

BST_16

R337

OP

R341
1

64.9K-1-04
2

16
15
14
13
12
11
10
9

C72
+

R338
P-D

63.4K-1-04
2

OZ8291

BST
GNDP
LDR
VDDP
VR_ON
VID6
VID5
VID4

C79

10K-1-04

RSPLL
RSN
IMON
VDDA
VR_TTb
CLK_ENB
VREF
VBT
AGND

I30 L5 7*7

C410
D15
CD4148WSP
D

25
26
27
28
29
30
31
32
S1

RSPLL_25

U15
OZ8291

R50

1000P-50-04X-K

24
23
22
21
20
19
18
17

499-1-04

VREF_31
VBT_32

R69
1

+5V

C81

VCORE_CLK_EN#

R63
1

BCIHP0730-1R5-9A

R352

C400
@2.2nF-04X-K
IMON_27
VDDA_28
VR_TTB_29

CPU_OUT

RA-RB12

C80
1000P-50-04X-K

C82
22P-50-04N-J

6800P-50-04X-K

1000P-50-04X-K

C83

RA-RB12
C85

5.77A_N450
6.04A_N470
9.64A_P-D

R350

10-04

RA-RB12
C97

C92

C93

10n-50-04X-K

1000P-50-04X-K

VCORE_ON

1000P-50-04X-K

18

PJP1

2
CLOSE

+3.3VS

+3.3V

10K-04

Q52
FMBT3904

R368

pine trial series only


RA-RB03

EC_VID5

18

EC_VID4

18

EC_VID3

5
5
5
5
5
5
5

H_VID0
H_VID1
H_VID2
H_VID3
H_VID4
H_VID5
H_VID6

P-D

100K-04

VCORE_CLK_EN 8,10

RA1-RB_12
VCORE_CLK_EN#

VCORE_CLK_EN

R372

10K-04

R406

18

mount R60 R62 R64


OP

R340 R342 R344

P-M

R60

0-04

R62

0-04

R64

0-04

R333
R334
R339
R340
R342
R344
R345

0-04
0-04
0-04
@0-04
@0-04
@0-04
0-04

VCORE_VID0
VCORE_VID1
VCORE_VID2
VCORE_VID3
VCORE_VID4
VCORE_VID5
VCORE_VID6

rsvd for test

R340 R342 R344


R60 R62 R64

hexainf@hotmail.com
GRATIS - FOR FREE

SHUTTLE
Title

CPU CORE (OZ8291)

Size

Document Number

Date:

5025
Tuesday, December 22, 2009
1

Rev
A
Sheet

21

of

27

+1.05V_REF

R78
+1.05V_CSN
+1.05V_CSP
+1.05V_LX

+5VS
+1.05V_HDR
+5VS

Q22
0-06

OCP 12A

C383

C423

C417

RA-RB06

4700P-50-04X-K
C388

C393

R318

22P-50-04N-J

1000P-50-04X-K

5.36K-1-04

@10U-6.3-08R

APXE2R5ARA561MF61G-560uF-2.5V

R316

1000P-50-04X-K

1
21K-1-04
C389

3300P-50-04X-K

C422

+1.05V_CSN

1000P-50-06X-K

2
VIN_8116
100K-04
C385

R83

C421
1000P-50-04X-K

C89

3
2
1

SK34A

RA-RB06

+1.05V_ON

2 OPEN

51-1-04
R312

+1.05V_CSP

3300P-50-06X-K

1
R309

R317

100K-1-04

@49.9K-1-04

R310

RA-RB06

1-1-06
D13

R314
1000P-50-04X-K

R66

P1203BV

Q23
1U-10-06Y-Z

D13

+1.05V_VIN

+1.05V

300mil
JP9

+1.05V_VOUT

C382
OZ8116SLN

300mil

WSRPI0603-2R2-8A

RA-RB06

+1.05V_LDR

3.3V

8A_P-D
1.38A_P-M

7*7_DCR 20mohm
L5

.22U-25-06X-K

1
2
3
4

GNDA

BST_8116

17
1U-10-06Y-Z

C391

8
7
6
5

C78

18

1U-50-08X-K 4.7U-25-08R-K

3
2
1

VSET
BST
VREF
LDR
TSET OZ8116SLNGNDP
VDDA
VDDP

C394
.1U-16-04R-K

C392

VIN

C70

2.75V

0-04

P1203BV

C77

+1.05V_HD4

13
14
+1.05V_REF
TEST_15 15
16
VDDA_8116

2N7002K

R79

B12

0-08
R71

G
S

D4
SCH-BAT54-PH

+5VS

5
6
7
8

22-06
Q48

0.95
18,23 SAVE_PWR_ON

R80

Iref=21.3uA < 30uA

U4

1000P-50-04X-K

52.3K-1-06

+1.05V_VIN

C390

12
11
10
9

R332
324K-1-04

CSN
CSP
LX
HDR

R77

GNDA
VIN
ON/SKIP
PGD

SKIP

PWM

Iref=20.102uA < 30uA

>0.6V
>2.1V

+1.05V_SET

+1.05V_HDR

OFF

5
6
7
8

84.5K-1-04

Mode

<0.4V

1.05 Voltage

0-08

180K-1-04

150K-1-04

R88

1000P-50-04X-K

1.05_ON

C109

+5V

+1.8VS
C177

Vout = 0.8V*[1+(R1/R2)]
U7

DDR2 Termination Power


+5VS

+1.5V

+1.8VS

1.52v

R116
C157

R1

22P-50-04N-J

C159

C155

4.7U-6.3-06R-K

10U-10-08R-K

C243

17.8K-1-04

U8

VIN

C237
.1U-16-04Y-Z

2 0-04

R189
4.7U-6.3-06R-K
10K-1-04

5912_FB

R114
REFEN_0.9VS

931K-0.1-04
R120

R2
2

20K-1-04

R190
10K-1-04

OUTPUT

5
6
7
8

.1U-16-04Y-Z

0.244A

80mil

+0.9VS

0.858

C251

C252

@4.7U-10-08Y-Z

4.7U-10-08Y-Z

Q32

G
S

RA-RB03

GND
FP6137C

C248

VCNTL
VCNTL
VCNTL
VCNTL

2A
REFEN

thermal_pad

HSNK

GND

0.3V

7
2

OZ8033

POK
FB

1.606A

680mil

.01U-16-04X-K

R1271

+1.5V_ON

C176

EN

VOUT
VOUT

3A

VIN

3
4

D 2

18

EN_1.5V

C175
4.7U-10-08Y-Z

1U-10-06Y-Z

C174

1.8V_VIN

90mil

VCNTL

.1U-16-04Y-Z

1.4V

SAVE_PWR_ON 18

2N7002K

U18

Vin

R401

+3.3VA

4.7U-6.3-06R-K

C462

C463
4.7U-10-08Y-Z

R1

1.734A

APL1084UC
100-1-04
ADJREF

R2

180mil

+3.3VA_OUT

@4.7U-6.3-06R-K

6A
C461

180mil
Vout

ADJ

+5VA

R402

C464

165-1-04

1000P-50-04X-K

check consumption

Vout = 1.25V*(R1+R2)/R1 = 3.3V

RA1-RB_07
A

SHUTTLE
Title

+1.05VS/+1.8VS (OZ8138)

Size

Document Number

Date:

5025
Tuesday, December 22, 2009

Rev
A

Sheet

22

of

27

815_VREF

RA-RB13

Mode
1

1
C427

5VA_HDR

0-06
C414

820P-50-04X-K

@100P-50-04N-J

C431

CS1P
C412

C429

APXE6R3ADA331MF61G-330uF-6.3V

R371

RA-RB05

815_VREF

11K-04

+5VA

C428

RA-RB11

100K-1-04
R370
1
2

C416

RA-RB13

51-1-04
CS1N

1000P-50-06X-K

2
CLOSE

JP10
1

OPEN

1000P-50-06X-K

3300P-50-06X-K

2N7002K

2
D

C14

5VA/9A

7*7
R369

D1
C19

SK34A

4
S

PJP3

4.8

R18

815_LDR1

220K-04

3
2
1

P1203BV

RA-RB11
1

5
6
7
8

CS1P
CS1N

AMPI0603EL4R7MT-4.7uH-9A
+5VA_OUT

L7

1000P-50-04X-K

OCP:13A

7*7_40mohm

+5VS_VSET

18,22 SAVE_PWR_ON

C407
3300P-50-04X-K

1-1-06

Q20

C415
R364

453K-1-04

RA-RB05

CS2N

R29

815_HDR1

R2

C117

22P-50-04N-J

.22U-25-06X-K

1
R366

FMBT3904

C25

4700P-50-04X-K

C120

P1203BV 1U-25-06R-K4.7U-25-08R-K

Q5

AUX_OFF

C406

51-1-04
2

VSET1
CS1N
CS1P
PGD1
LX1
HDR1

3
2
1

RA-RB13

CS2P

B6

C129

APXE2R5ARA561MF61G-560uF-2.5V

R348
C402

815_LX1
Q53

143K-1-04

VIN

560P-50-04X-K

VIN_815_1
0-08

Q9

815_HDR1 1

R1

OPEN
C375
C370

RA-RB05

100K-1-04
R347
1
2

3
2
1

C107

RA-RB05

20K-1-04

10*10
R346

D14

+1.8VS

JP7

R365

815_LDR1
815_BST1

OZ815LN

24
23
22
21
20
19

C409
@.01U-16-04X-K

C67

5
6
7
8

C399

OZ815

815_BST2
815_LDR2
+5VA_LDO

VSET2
CS2N
CS2P
PGD2
LX2
HDR2

C405

+1.8VS/7A OCP:11A

WSRPI0603-2R2-8A
+1.8_VSOUT

100K-1-04

C403

.1U-25-04X-K

R362

.1U-25-04X-K

62K-1-04

.1U-16-04Y-Z

+5VA_ON

13
14
16
15
17
18

C104
3300P-50-06X-K

BST1
LDR1
VDDP
GNDP
LDR2
BST2

.1U-16-04Y-Z

815_TSET

R373
1

7
8
9
10
11
12

S1

815_VREF

ON/SKIP1
VIN
VREF
TSET
VDDA
ON/SKIP2

815_LDR2

U2

2.75V

6
2
3
4
5
1

R91
1-1-06

P1203BV

@.01U-16-04X-K

+1_8VS_ON

+5VA_LDO_IN

C404

5
6
7
8

2
VIN

815_HDR2

@124K-1-04

C76

L4

1000P-50-06X-K

Q26
.22U-25-06X-K

R53

NEW PART
RA-RB18

3
2
1

1000P-50-04X-K

2
39.2K-1-04

UDZ24B

7*7_DCR 20mohm

RA-RB09

815_LX2

GNDA

+1.8VS_ON

0-06
06R-BAT540-A2P0

18

2N7002K

R354

R2

4.7U-25-08R-K

1000P-50-06X-K

1
D

C84
45.3K-1-04

CS2N
CS2P

R55

4
1.8VS_HDR
G

604K-1-04

C99

100P-50-04N-J

Q21

1
815_HDR2

C103
P1203BV

R93

1.8

SK34A

+1.8VS_VSET

1U-50-08X-K

Q25
D16

1.714

VIN
D19

R1

R54

18,22 SAVE_PWR_ON

B14
BD-HCB2012KF-80

+5VA_LDO

Vd =1.714994V

SKIP

VIN_815

>2.1V

R47
93.1K-1-04

5
6
7
8

PWM

OFF

<0.4V
>0.6V

+1.8V/+5V_ON Voltage

RA-RB11

1500P-50-04X-K

22P-50-04N-J

C413
3300P-50-04X-K
B

R360
0-04

+5VA_LDO_IN

+5VA_LDO

815_TSET

Q51
FET-SI2301DDS

R349
S

C401
@150K-1-04
2

VB

@1000P-50-04X-K

R353

47K-04

Q50
G
2N7002K
DC_IN

R343
10K-04

R378
1

Q54
2N7002K

G
S

RA1-RB_13

BATT_VA_ON

18

C425
.1U-50-06Y-Z

hexainf@hotmail.com
GRATIS - FOR FREE

R379

1
100K-04

100K-04

SHUTTLE
Title

+1.8VS/+5VA (OZ815)

Size

Document Number

Date:

5025
Tuesday, December 22, 2009

Rev
A

Sheet

23

of

27

Das könnte Ihnen auch gefallen