Sie sind auf Seite 1von 36

Engineers Guide

to LTE & 4G
True 4G Changes
the Game

MIMO and Smart


Antennas for LTE

Virtualization in the
Cellular Network
LTE to LTE-Advanced:
What You Need to Know
Right Now

www.eecatalog.com/4G
Smooth your route to LTE Advanced
For wireless applications today and We work in close partnership with Texas
tomorrow, CommAgility provides Instruments and Xilinx to know the
the hardware solutions to meet your best approach today and tomorrow,
challenging baseband processing and and we have the necessary application
and technological expertise to make a
RF demands. We have the expertise
difference to your program.
to handle whatever 4G standard you
need, including LTE, LTE Advanced By choosing CommAgility as your
and beyond. partner, you can cut time to market,
reduce costs and lower your risk. Our
We work closely with a range of application-specific designs are proven,
customers around the world, including tested and ready to go, and you will
test equipment manufacturers and have the reassurance of working with the
telecom equipment providers. Our experts in signal processing subsystems
systems are based around open for wireless.
standards, and deliver exceptionally high
performance in a compact space. Working with CommAgility brings you:
High performance DSP and FPGA
CommAgility provides a range of flexible processing in a compact space
solutions up to and including a full 4x4 Unrivalled expertise in designing
RF, PHY and MAC processing solution on signal processing hardware for wireless
a single card. Software elements up to a applications
full LTE stack are available via our partner Responsive global support
relationships, thereby offering a complete The experience to make your project a
software solution. success

CommAgility delivered working product quickly enabling our development to start early.
It combines technical excellence with speed of development. CommAgility has also consistently
provided excellent technical support from day one of our engagement with them.
Evan Gray, Product and Marketing Director, Aeroflex Wireless Division

www.commagility.com/lte
sales@commagility.com
Tel: +1 (281) 251 7167
Engineers Guide to LTE and 4G
www.eecatalog.com/4G
Vice President & Publisher
Welcome to the Engineers
Clair Bright
cbright@extensionmedia.com
Guide to LTE and 4G 2014
(415) 255-0390 ext. 15

Editorial
Vice President/Chief Content Officer Will the real 4G please stand up? After years of imposters and almost-rans, it
John Blyler
jblyler@extensionmedia.com looks like true 4G is finally ready for action. And just in time, too. With the
(503) 614-1082
explosion of M2M devices on top of insatiable consumer wireless usage, mobile
Editor-in-Chief
Chris A. Ciufo data requirements demand faster, higher-performance solutionslike, yesterday.
cciufo@extensionmedia.com Our roundtable experts chime in on what true 4G (aka LTE-Advanced, or LTE-A)
Managing Editor
Cheryl Coup
really looks like, and what it means to developers in True 4G Changes the Game.
ccoupe@extensionmedia.com Think security, small cells, VoLTE and M2M.
Creative/Production
Production Manager In this issue, weve also brought you deeper dives into all of these topics. Aeroflex
Spryte Heithecker
provides a foundation in LTE to LTE-Advanced: What You Need to Know Right
Graphic Designers Now. And the trade organization 4G Americas explains the need for smart antenna
Nicky Jacobson
Jacob Ewing MIMO solutions to help operators stay ahead of mobile broadband demand in
Media Coordinator MIMO and Smart Antennas for LTE. (Note: a more extensive treatment of this
Jenn Burkhardt
topic is available at www.4gamericas.org.)
Production Assistant
Jozee Adamson
Senior Web Developer
ASOCS describes methods for off-loading a general-purpose CPU in a
Slava Dotsenko C-RAN application to offer greater flexibility, power consumption and overall
Mariam Moattari
throughputand reduce CAPEX and OPEXin Virtualization in the Cellular
Advertising/Reprint Sales Network. And taking a different angle, Emerson Network Power presents
Vice President & Publisher VoLTE Deployment Considerations, which argues for media processing
Embedded Electronics Media Group
Clair Bright accelerators based on power-efficient DSPs as the best cost/power/density
cbright@extensionmedia.com
solution for adding this capability to network elements.
(415) 255-0390 ext. 15
Sales Manager
Michael Cloward Security, of course, is an ongoing topic of concern, especiallyas Adax explains
mcloward@extensionmedia.com
(415) 255-0390 x17 as operators are becoming ISPs in their own right. Find out more in The Weak Link
Marketing/Circulation in Mobile Security. And VadaTech explains the Modular, Scalable, High-Perfor-
Jenna Johnson mance Architecture Ideal for LTE Applications offered by MicroTCA and ATCA.
To Subscribe To round out this issue, Wind River gives us Smarter Ways to Embrace the Internet
www.extensionmedia.com/free
of Things and 6WIND leaves us with some provocative closing thoughts on how
service provider investments may lead to innovative and valuable new services in
SDN and NFV Choosing the Right Line.
Extension Media, LLC
Corporate Office
President and Publisher Its all in these pagesplus much more. So dig in and enjoy!
Vince Ridley
vridley@extensionmedia.com
Vice President, Sales
Embedded Electronics Media Group
Clair Bright Cher yl Berglund Coup
cbright@extensionmedia.com
Cheryl Coup
Vice President,
Chief Content Officer Managing Editor, EECatalog.com
John Blyler
jblyler@extensionmedia.com
Vice President, Business Development
Melissa Sterling
msterling@extensionmedia.com P.S. To subscribe to our series of Engineers Guides for embedded developers and
The Engineers Guide to LTE and 4G 2014 is published by Extension Media LLC.
Extension Media makes no warranty for the use of its products and assumes no
engineers, visit: www.eecatalog.com/subscribe
responsibility for any errors which may appear in this Catalog nor does it make a
commitment to update the information contained herein. Engineers Guide to LTE and
4G is Copyright 2013 Extension Media LLC. No information in this Catalog may be
reproduced without expressed written permission from Extension Media @ 1786 18th
Street, San Francisco, CA 94107-2343.
All registered trademarks and trademarks included in this Catalog are held by their
respective companies. Every attempt was made to include all trademarks and registered
trademarks where indicated by their companies.

1
www.eecatalog.com/4G
Contents

True 4G Changes the Game


By Cheryl Coup, Managing Editor.............................................................................................................................................................4

MIMO and Smart Antennas for LTE


By Vicki Livingston, 4G Americas................................................................................................................................................................7

Virtualization in the Cellular Network


By Yaniv Shaked, ASOCS.......................................................................................................................................................................... 11

VoLTE Deployment Considerations


By Brian Carr, Embedded Computing, Emerson Network Power.............................................................................................................. 16

The Weak Link in Mobile Security


By Drew Sproul, Adax............................................................................................................................................................................... 19

LTE to LTE-Advanced: What You Need to Know Right Now


By Dr. Stamatis Georgoulis, Aeroflex Limited........................................................................................................................................... 21

Modular, Scalable, High-Performance Architecture Ideal for LTE Applications


By Justin Moll, VadaTech, Inc................................................................................................................................................................... 25

Smarter Ways to Embrace the Internet of Things


By Jens Wiegand, Wind River.................................................................................................................................................................. 28

SDN and NFV Choosing the Right Line


By Charlie Ashton, 6WIND....................................................................................................................................................................... 32

2 Engineers Guide to LTE and 4G 2014


The 100GB Revolution
Is Taking O

The Worlds Highest Performance


AMC Line Cards - from VadaTech
They are here! The 100GbE Processor AMC with Cavium
CN6880 and a high-end FPGA with Altera Stratix V usher
100GbE FMC Carrier FPGA in the next echelon of performance. With 100G out the front
AMC534
ports and 40GbE across the backplane, the market just hit a
Altera Stratix V GT FPGA new dimension of speed, density, and options. Whether
Distributed processing for its the full ecosystem of MicroTCA-based products or a
performance & reliability customized architecture, come to VadaTech The Power
Dual zQSFP+ ports to front panel of Vision.

100GbE Processor
P AMC
AMC738

Cavium CN6880 multi-core Chassis Application - Ready


Xilinx Virtex-7 FPGA Boards Platforms Platforms
Dual CFP2 or zQSFP+ ports to
front panel
Special Feature

True 4G Changes the Game


4G has arrived, and it brings new opportunities in security, small cells, VoLTE
and M2M.

By Cheryl Coup, Managing Editor

LTE-Advancedaka LTE-A, aka True 4Gpromises to include carrier aggregation for higher throughput, Voice over
(finally) fulfil many of the expectations of previous cellular LTE (VoLTE) for an all-packet-based RAN network, as well as
generations, and just in time. With the explosion of M2M advanced interference management techniques. Developers
devices on top of insatiable consumer wireless use, mobile enjoy challenges bringing these advanced features together
data requirements demand new solutions. Our roundtable for a cohesive RAN system that provides high capacity but is
participants address what LTE-A means for developers (think also cost-effective and reliable.
opportunity) as well as top trends and what technologies are
still needed. We rounded up a nice mix of industry experts that Ken Bednasz, Telit: Yes, it is definitely on
includes Drew Sproul, director of marketing, Adax; Debbie the way. You know that is the case when
Greenstreet, director of strategic marketing, communications you start hearing operators talk about the
infrastructure, Texas Instruments; and Ken Bednasz, VP of next generation. There have already been
Application Engineering, Telit. a couple of reports in the media about 5G/
LTE-Advanced for the 2020 time frame. But
for now, LTE is definitely becoming a household
word with M2M developers. But adoption for the most part
EECatalog: 4G/LTE-A is already on the way. What is it, and has to do with future-proofing designs versus taking real
what does it portend for developers? advantage of LTEs high data rates or advanced features like
Wi-Fi offloading and others.
Drew Sproul, Adax: For developers like Adax, it
means high-performance security, 10G access,
40G backplanes and scalability only ATCA can
truly offer. Newer, faster network processing EECatalog: What opportunities exist in the growing femtocell
units (NPUs) will be on our boards as will net- market segment, from small consumer to enterprise and even
work function virtualization (NFV) services for cell tower-augmented applications?
the software-defined network. Adax will continue to
expand its product line to deliver price/performance products Sproul, Adax: Security, security, security. Femtos in both
to meet the high throughput and low-latency demands of residential and enterprise applications will connect to the core
emerging real-time video applications. via insecure, public internet access points. These connection
points and users will all need secure validation and services.
Debbie Greenstreet, Texas Instruments: 4G is Efficient security gateways at the edge of the network are
indeed here today and it is the most spectrally essential to rolling out large numbers of femto users. The same
efficient technique for wireless communica- holds true for small cells. The backhaul from the eNodeBs is
tions that we have seen to date. The data already becoming saturated. Dispersed small cells, especially
throughputs are approaching the practical in dense urban areas will have ubiquitous access to high-band-
limits for wireless channel capacity. For years, width Internet POPs. It only makes sense that arrangements
wireless equipment developers have been chal- will be made between the carriers and ISPs for backhaul ser-
lenged by balancing the implementation of complex 4G vices. Then just like the femtos, the small cell will require a
algorithms with the low-latency specifications required by security gateway at the edge of the core network.
the those same standards. LTE-A delivers features enabling
heterogeneous networks that include small cells that truly Greenstreet, Texas Instruments: There is a tremendous amount
coexist with macro networks; sharing spectrum which in of opportunity for wireless network equipment suppliers,
turn enables higher spectral efficiency for increased data as the small cell market (ranging anywhere from residential
capacity and a better user experience. Key LTE-A features femtocells, to enterprise and indoor and outdoor pico cells,

4 Engineers Guide to LTE and 4G 2014


Special Feature

all the way to metro/micro cell units) evolves and as deploy- Sproul, Adax: I imagine virtualised smartphones will entail
ments work to solve coverage and capacity challenges. While multi-tasking and multi-use applications, such as video calls
all base stations in this market share common features such with simultaneous video and voice streams in conjunction
as macro parity but vary significantly in terms of number of with multiple users on the call accessing maps and websites
users, overall capacity, size, weight and power consumption, being used at the same time. This will substantially increase
equipment manufacturers with scalable small cell solution the load on the eNodeBs, small and femtocells. As noted
platforms are in the best above, each of these users and
position to take advantage of their sessions will need to pass
these newer markets. Simi- through a security gateway for
larly, the backhaul solutions There have already authentication and firewall
for the small cell space vary NAT translations to protect
due to the wide diversity of been a couple of the core. The backhaul again
geographical location, munici- as mentioned above will have
reports in the media
pality regulations, internet to use available Internet
access and power sources, about 5G/LTE-Advanced POPs to meet the bandwidth
and as such offer additional demand of these users and
opportunities for wired and for the 2020 time frame. their applications.
wireless backhaul suppliers,
again, especially for those with Greenstreet, Texas Instruments:
scalable base designs that can Virtualized smartphones will
easily be optimized for the various configurations. require a significant amount of network capacity as well as
low-latency connectivity over the wireless network to main-
tain acceptable levels of smartphone performance. Similarly,
secure communication schemes employing encryption and
EECatalog: How does the concept of partitioned or virtualized authentication must be implemented effectively so as not to
smartphonesand security in generalaffect base station interrupt the real-time performance of the wireless connection.
technology and the backhaul? These requirements put heavy processing demands on both the

THE QorIQ -FAMILY BY N.A.T. TM

scalable multi-cores | power efficient | flexible performance | advanced FPGA resources

NAMC-QorIQ TM - NAMC-QorIQ TM -
P20 P40

NAMC-QorIQ TM -
NAMC-QorIQ TM -
P30
P50

New family of Freescale QorlQTM based How N.A.T. can help you
communications processors in AMC form factor Driven by our motto innovation in communication N.A.T. is one of the
Starting with the low cost dual core P2020 and quad core P2041, over leading manufacturers for AMC and MicroTCA products. The portfolio
the mid performance quad core P3041 up to the high performance octal includes board-level products as well as ready-to-run turn-key systems,
core P4080 and leading-edge 64-bit dual core P5020 this family covers sophisticated firmware and protocol solutions as well as custom designs
the full range of applications: from industrial control to high end com- for both hardware and software. Make our expertise your solution talk
munication. to us .. . we care.

Make our expertise your solution talk to us ... we care. N.A.T. GmbH I Konrad-Zuse-Platz 9 I 53227 Bonn I Germany
Fon: +49 228 965 864 0 I Fax: +49 228 965 864 10 I info@nateurope.com I www.nateurope.com I innovation in communication

5
www.eecatalog.com/4G
Special Feature

smartphone and base station designs where energy efficiency, cords and bad microphones. Not only is it uncomfortable, its
or processing power per watt, becomes a key factor. dangerous. The wearable device is intriguing, but limits ones
wardrobe choices. Modern car options are on the right track,
Bednasz, Telit: I cant speak too much for the partitioned smart- tailoring the device to the environment; good sound and video
phone; I think the concept as applied to an M2M device opens without being too distracting. Connecting your everywhere
a number of opportunities. Imagine an LTE home gateway device to your here and now environments could be the answer
partitioned into two or even more virtual gateways servicing for high-quality service delivery.
your homes security alarm, utility meter reading, broadband
connection, etc. And then you Greenstreet, Texas Instru-
have each of these virtual ments: Small cell backhaul
devices being accessed by their solutions have proven to be a
respective service providers IP protocols were designed critical factor in the pace of
through the same cellular for large payloads, not small cell deployment. This has
nodethat model starts to been more of a logistical and
make incredible sense particu- small bursts. cost issue rather than a tech-
larly when you consider that nology deficiency. Many small
LTE hardware prices as among cell installations are proving to
the higher ranking hurdles to be time-consuming and more
wide-scale adoption. expensive than expected due to a variety of factors such as
municipality rules and regulations, proximity to existing wired
Internet backhaul connections and access to power sources.
Geographical diversity and variations in regulations between
EECatalog: What are the top trends in cellular base station cities add to further cost, lack of scalability or inability to
technologies that youre most excited about? replicate an installation approach from one deployment to
the next. Until these challenges are largely resolved, small cell
Sproul, Adax: Small cells and security, without a doubt. deployments will not take off in high volumes.

Greenstreet, Texas Instruments: VoLTE, a feature defined by Bednasz, Telit: As we get higher in mobile generations, it is get-
the LTE-A standard is soon to be introduced into LTE net- ting harder for the new network protocols to, adequately, carry
works. It is exciting in the sense that it enables voice calls short, burst data. There is a lot proposed and written on ways
to be supported over the entirely packet-based 4G network, to support SMS on LTE (3GPP release 9). And it gets worse in
whereas today a fallback to 3G or 2G is required for voice Release 10 and 11 when we get to LTE-Advanced. Today in 2G
connections. A critical factor for this technology is the point and 3G, SMS is carried in the basic control channel protocol
in time where wireless user equipment (UEs) is enabled with between the device and the infrastructure. With the addi-
VoLTE. When this happens, wireless operators can rely less tional controls and features competing for that bandwidth,
on legacy network technologies (e.g., 3G) for voice and can user data regardless of size is getting completely pushed to the
start migrating to homogenous 4G cells, and in some cases giant IP pipe in LTE and LTE-Advanced. Even voice becomes an
can start re-farming legacy spectrum for additional 4G application over IP (VoLTE). But IP protocols were designed for
capacity. large payloads, not small bursts. The overhead of an IP transfer
is much larger than the data it is to carry. And that has us all
Bednasz, Telit: For us in M2M, the femtocell concept is in the industry looking for solutions because for a long foresee-
certainly way up there. Today we have the device side of able future, millions and millions of things, being connected
connectivity very well under control and evolving with rich with cellular, will need to say a few bytes at a time.
roadmaps. The ability to create an M2M module that is a base
station to bridge the connection from cellular devices in caves,
mines, inside trains, airplanes and so on is amazing. Cheryl Berglund Coup is managng editor of EE-
Catalog. com. Her articles have appeared in EE
Times, Electronic Business, Microsoft Embedded
Review and Windows Developers Journal and she
EECatalog: What technology does the cellular industry has developed presentations for the Embedded
desperately need that isnt available yet (or available cost- Systems Conference and ICSPAT. She has held a
effectively)? What needs to change? variety of production, technical marketing and writing positions
within technology companies and agencies in the Northwest.
Sproul, Adax: I dont think the human interface is quite there
yet to maximize the computing and network power available
now and in the future. People still fumble with small screens,

6 Engineers Guide to LTE and 4G 2014


Special Feature

MIMO and Smart Antennas for LTE


The smart application of antenna technologies continues to grow and for a
good reason; they are much-needed solutions to the challenge of staying
ahead of mobile broadband demand.

By Vicki Livingston, 4G Americas

U.S. mobile data traffic doubled over the past year Figure 1: An attractive LTE base station (BS) antenna solution
and it is forecast to grow 11 to 13 times by 2018. for up to four downlink layers uses two horizontally sepa-
rated dual-polarized antennas.
This trend is a major financial challenge for opera-
tors because adding enough cell sites and acquiring
additional spectrum, even if it is available, is a huge It is a synopsis of a white paper published by 4G
obstacle involving capital expenditures, cell siting Americas in July 2013, MIMO and Smart Antennas
bureaucracies and operational issues. for Mobile Broadband Networks and available for
download at 4gamericas.org.
Smart antennas provide a cost-effective alternative.
The HSPA standard has made tremendous gains in Analyzing 4x2 and 4x4 MIMO Configura-
the efficient use of smart antenna schemes such as tions
Multiple-Input Multiple-Output (MIMO). The LTE An attractive LTE base station (BS) antenna solution
standard builds on that work to provide operators for up to four downlink layers uses two horizontally
with even more tools; not just for keeping up with demand, but separated dual-polarized antennas (see Figure 1). This enables
staying ahead of it. a compact antenna design that can utilize both the spatial and
polarization dimensions. The amount of separation between
In fact, LTE is a milestone in antenna design because it requires the two antennas will have different effects on the potential
all handsets, tablets, M2M modules and other terminals to gains of beamforming, diversity and spatial multiplexing.
include a second receive antenna for receive diversity and Realizing these gains puts conflicting demands on the antenna
downlink MIMO support. Virtually every mobile operator in separation; different separation choices will result in different
the world is migrating to LTE, so this requirement means that system performance profiles.
smart antennas will become the norm.
Simulations have been performed with a detailed dynamic
This article discusses a variety of MIMO techniques and system simulator that includes models of adaptive coding
antenna configurations that vendors and operators consider and modulation, user equipment (UE) mobility and delays in
important for accommodating mobile broadband demand. channel quality reports. It also contains an implementation of

Figure 2: Downlink bit rate (a), downlink transmission rank probability (b) and uplink bit rate (c) as a function of the two dual BS antennas separation for
the 4x4 and 1x4 antenna configuration in the DL and UL, respectively.

7
www.eecatalog.com/4G
Special Feature

the 3GPP spatial channel model (SCM)


and the mutual information based
link-to-system interface.

A simulation scenario similar to the


defined 3GPP case 1 was evaluated
for different configurations with dual-
polarized antennas at the BS using
the closed loop spatial multiplexing
transmission mode (transmission
mode 4). 3GPP case 1 refers to a macro-
cell reference system deployment type
with the 3GPP SCM used for channel
modeling. The network consisted of 19
sites separated 500 meters with 3 cells Figure 3: Performance summary of different antenna configurations for DL and UL for networks in high
per site and an average traffic load of 4 or low load conditions.
UEs per cell. Each antenna port of the
BS antenna was modeled according to separation in this scenario because it is interference limited;
the BS antenna model regardless of antenna separation. hence, beamforming gains are more important than spatial
multiplexing gains.
This scenario investigates downlink (DL), 4x4, 4x2 and 2x2
configurations comprising one or two dual-polarized antennas The middle plot, (b), shows results from the 4x4 antenna con-
at the UE and BS. For the uplink (UL), 1x4 and 1x2 configura- figuration of the probability of a certain transmission rank as
tions comprising of one vertically polarized antenna at the UE a function of the two dual-polarized antennas separation. The
and one or two dual-polarized antennas at the BS (the E-UTRA rank statistics in the middle plot show that rank 1 and 2 are
standard for LTE assumes the use of at least two antennas in most probable for small antenna separation. As the separation
the UE as a baseline). Wideband PMI and frequency selective increases, the probability of rank 3 transmission increases.
CQI was assumed in the simulations. Almost no rank 4 transmissions occur because the signal-to-
interference-and-noise ratio (SINR) is too low in this scenario.
Next, we consider the performance impact of changing the
separation, D, of two columns Figure 2c shows corresponding
of base station antennas, such UL results for a 1x4 con-
as the diversity with two cross- figuration. Here the bit rate
polarized (DIV-2X) that are LTE is a milestone in antenna increases (except for the cell
horizontally separated. design because it requires edge bit rate at 10l) as the
separation between the dual-
The left plot in Figure 2a shows all handsets, tablets, M2M polarized antennas increases.
normalized downlink (DL) modules and other terminals This is because the diversity
bit rate for the 4x4 antenna gain increases with increased
configuration as a function of
to include a second receive co-polarized antenna separa-
the separation given in wave- antenna for receive diversity tion.
lengths (l) between the two and downlink MIMO support.
BS antenna columns. Three Figure 3 shows a summary of
different metrics are shown: the performance with different
cell throughput, cell edge bit configurations for DL and UL
rate and peak bit rate. These in networks with high load, as
metrics are defined by the average cell throughput and the 5- well as in networks with low load. The bit rates have been nor-
and 95-percentile of the CDF of the active radio link bit rate malized to the 2x2 and 1x2 results for DL and UL, respectively.
(ARLBR), respectively. The ARLBR is the user bit rate averaged Two different antenna separations are compared: 1l and 10l,
over the time a user has been assigned resources. representing small and large separation, respectively.

The bit rates have been normalized in such a way that it is In the low load network scenarios shown, there are on average
one at 1l separation between the dual-polarized BS antennas 0.1 UEs/cell. The results show that for DL, a small antenna
for each curve. The results in the left plot (a) show that the separation gives highest performance for all cases except for
cell throughput and cell edge bit rate decrease as the base peak throughput at low load. For UL, large antenna separa-
stations antenna separation increases, while it is essentially tion gives highest performance in all cases. However, most
constant for peak rate. There is a benefit of a small antenna

8 Engineers Guide to LTE and 4G 2014


Special Feature

of the UL gain in going from two to four antennas is


achieved also with 1l separation.

In order to allow comparison to measurement


results, 4 shows throughput CDFs for a full system
simulation with an average of 4 UEs/cell, simulation
of a single UE single cell (SUSC) scenario and for the
SUSC field trial results, respectively. These results are
for 4x4 configurations. Each plot shows CDFs for two
antenna separations: 0.7l and 25l.

The results are normalized to the median of the full


system simulation CDF for the antenna separation
of 0.7l. The measurements were performed using a
single UE in a single cell scenario, and only downlink
performance was addressed.ii
Figure 4: Results from full system simulation (left), single cell, single user simulation
In order to simulate a SUSC scenario, all intercell (middle) and field trial (right) for downlink 4x4 antenna configuration. Green curves
correspond to 25 wavelength spacing; red curves correspond to 0.7 wavelengths.
interference was turned off in the simulator. In these
simulations, somewhat different parameter settings additional spatial multiplexing gains offered by the uncorre-
were used to better reflect the trial scenario, such as getting lated antennas.
a similar signal-to-noise ratio (SNR) range in simulations and
trials. The purpose of the comparison is not to reach an accu- Antenna arrays that are used to perform the various forms
rate agreement in terms of absolute performance numbers but of beamforming or antenna precoding described here gener-
rather to illustrate that the relative performance between dif- ally require some form of calibration to control the relative
ferent configurations shows similar behavior. amplitude and phase values on the transceivers that drive
the antenna array. (Note that we are distinguishing antenna
The Effects of Antenna Array Calibration precoding from beamforming by using the term precoding to
The effect of calibration on some of these various antenna refer specifically to the TM modes in LTE, for example, that
schemes was also considered with the following results which performs UE-specific beamforming at baseband based
obtained for some selected schemes. Here we see that closely on PMI-fed back (as in TM4 or TM9) or Sounding Refer-
spaced antennas suffer slightly more than widely spaced ence Signals (SRS) (as in TM7 or TM8). In general, errors in
antennas from lack of calibration. The effects are in the range amplitude and/or phase response in the transceivers behind
of a 6% to 2% reduction in spectral efficiency for the un-cal- the array can degrade the performance of the beamforming
ibrated scenario in the simulation model used in this study. or precoding, and the level of degradation depends on the
particulars of the beamforming or precoding strategy and the
Similar to previous results, the full system simulation shows associated calibration strategy being used.
that a small antenna separation gives the highest throughput.
In the Single User-Single Cell (SUSC) simulation and the field The importance of not only smart antennas, but the smart
trial, the configuration with large antenna separation gives application of antenna technologies of all sorts, continue to
higher throughput for UE positions with good channel quality. grow and for a good reason; they are much-needed solutions to
In these cases, the SNR is sufficiently high to benefit from the the challenge of staying ahead of mobile broadband demand.

Figure 5: Impact on Spectral Efficiency (SE) and Cell Border Throughput (CBTP) due to lack of calibration of the base stations antenna paths. These
simulation results are an average of several configurations of environmental parameters and specific instances vary considerably, so field experience
may vary similarly from one instance to another. These simulations provide insights into comparative performance more than absolute expectations.

9
www.eecatalog.com/4G
Special Feature

The 3GPP community is pioneering the development of smart References


antennas and, just as important, making them commercial 1. The simulation parameters were the same as described in the
reality for the benefit of operators and their customers. previous footnote for the performance comparison of the various
antenna schemes, but with the un-calibrated base station antennas
MIMO and Smart Antennas for LTE was prepared as a syn- corresponding to uniformly random phase offsets applied to the
opsis of a 40-page white paper authored by a working group transmit signals at the antenna ports. This has the effect of equal-
of members of 4G Americas, and published in July 2013. The izing the PMI uplink reports.
synopsis was prepared by Vicki Livingston, head of communi-
cations for 4G Americas. i. Physical Layer Aspects for Evolved Universal Terrestrial Radio
Access (UTRA), 3GPP TR 25.814, V7.1.0, section A.2, available on
About 4G Americas line at http://www.3gpp.org/FTP/Specs/html-info/25814.htm.
4G Americas is an industry trade organization composed of
leading telecommunications service providers and manufac- ii. Section 7.1 of 3GPP Section 7.1 of TS 36.101 V8.7 states: The
turers. The organizations mission is to promote, facilitate and requirements in Section 7 assume that the receiver is equipped
advocate for the deployment and adoption of the 3GPP family with two Rx port as a baseline. Requirements for 4 ports are FFS.
of technologies throughout the Americas. 4G Americas con- With the exception of clause 7.9 all requirements shall be verified
tributes to the successful commercial rollout of 3GPP mobile by using both (all) antenna ports simultaneously. Available on
broadband technologies across the Americas and their place line at: http://www.3gpp.org/ftp/Specs/html-info/36101.htm last
as the No. 1 technology family in the region. The organization accessed on April 18, 2010.
aims to develop the expansive wireless ecosystem of networks,
devices, and applications enabled by GSM and its evolution to
LTE. 4G Americas is headquartered in Bellevue, Wash., with Vicki Livingston is the head of communications
an office for Latin America and the Caribbean in Dallas. More for 4G Americas, and manages all communi-
information is available at www.4gamericas.org. cations channels including the publication of
white papers, media and analyst relations for
4G Americas Board of Governors members include: Alcatel- the association as well as strategic planning, and
Lucent, Amrica Mvil, AT&T, BlackBerry, Cable & Wireless, supervises a team responsible for public relations
Cisco, CommScope, Entel, Ericsson, Gemalto, HP, Mavenir, and conferences. Previously, Ms. Livingston was in a similar
Nokia Solutions and Networks, Openwave Mobility, Power- position with 3G Americas, LLC and prior to that with the Uni-
wave, Qualcomm, Rogers, T-Mobile USA and Telefnica. versal Wireless Communications Consortium (UWCC).

this
Scan code
QR cribe
bs
to su

ded tions ls Roadmeap


d
be olu PuttingrInatMe icrosco
p

Emtel S

e
Und
In
Fall
2013

on
cati ge
cifi
Spe Signa
able ital
lugg in Dig
nP e
Ope es Hold for On
Tak wo ture
s T itec t fo
r
com Arch Ma
rke
Tele Intel ing ers
h
wit oom evelop com
AB tel.
2M: tion D in
M lica ed
App edd
b
m
w.e
ww
ors
ns
po
dS
Gol

10 Engineers Guide to LTE and 4G 2014


Special Feature

Virtualization in the Cellular Network


In the move toward virtualization in the cellular network, a novel approach uses a
modem processing unit (MPU) and modem processing language (MPL) to allevi-
ate the data transfer issues and speed up todays overloaded cellular networks.

By Yaniv Shaked, ASOCS

The Drive Toward C-RAN


The Cloud Radio Access Network (C-RAN) approach is gaining
significant interest from cellular operators, cellular infrastruc-
ture vendors and integrated circuit (IC) vendors. With the
C-RAN approach, the entire RAN processing is delegated to the
cloud and implemented in a remote datacenter. The cellular site
is composed of antennas and remote radio units (RRUs). The
RRUs convert the wireless signals to and from digital baseband
signals. The baseband signals are then transferred via a high-
speed link (typically CPRI interface over optical fiber) to the
datacenter. In the datacenter, a large number of baseband units
(BBUs) handle the RAN processing. In the C-RAN paradigm,
the entire processing chainfrom baseband processing to
packet processingis performed by general-purpose servers. Figure 1: Cloud RAN

The C-RAN approach provides significant advantages in capital from multiple antennas, not necessarily from the same base
and operational expenditures (CAPEX and OPEX) and facili- station, are processed jointly. However, implementing the
tates advanced cooperative processing techniques. The CAPEX entire RAN in the datacenter and particularly over CPUs
advantages are due to the fact that the C-RAN approach is challenging. The CPU needs to perform processing tasks
eliminates the need for expensive on-site equipment and real which were not in the original scope of its architecture.
estate. The datacenter equipment itself is mostly comprised of Some operations, such as channel decoding (especially turbo
general-purpose servers (such as Intel processor-based x86 decoding) and demultiplexing are extremely difficult to
servers), which is a mature and cost-optimized technology. The implement on a CPU. For the very high rates required in 4G
use of general-purpose CPUs allows the same processing plat- systems, exceeding 100Mb/s/sector, turbo decoding becomes
form to be used throughout the system, and thus the need for impractical to implement on a CPU.
a separate platform for the physical layer and for other layers
is eliminated. The generic nature of CPU processing platforms Other operations, such as fast Fourier transform (FFT), can be
breaks the traditional bond between cellular infrastructure implemented on the CPU. However, as will be discussed later
providers and cellular intellectual property providers. Thus, on, the CPU is not the optimal platform for these operations
cellular operators are free to select the optimal cellular soft- in terms of power consumption. These considerations lead to
ware for their needs. The centralized approach benefits from the introduction of a dedicated co-processor to the processing
a statistical gain in which the datacenter resources need to be chain. Such a co-processor would be designed specifically for
adjusted to the average of the expected traffic. processing the tasks associated with wireless modems, and
would perform the critical and computation intensive tasks.
In the conventional localized approach, the processing power Thus the real-time issues will be alleviated and the power con-
at every node must be tuned to the worst-case conditions. sumption will be reduced.
In terms of OPEX, the C-RAN approach allows a significant
reduction in power consumption and air conditioning costs. The natural question in this context is: what is the optimal par-
Thus C-RAN is a major stride toward green communications. A titioning between the CPU and the co-processor? Offloading
generic C-RAN architecture is depicted in Figure 1. too many tasks to the co-processor would improve perfor-
mance and efficiency, but would diminish the flexibility and
The C-RAN-centric approach also facilitates cooperative ease of programming associated with the CPU. An additional
multi-point processing (CoMP) techniques in which signals

11
www.eecatalog.com/4G
Special Feature

critical consideration is how to prevent excessive data trans- accelerator is dedicated to support only those small limited
fers between the CPU and the co-processor. sets of operations. This results in a clear system partitioning
where the design of the co-processor is straight-forward
Different Approaches for C-RAN and should easily meet the processing requirement. In this
There are several different approaches for C-RAN solutions. partitioning scheme, the baseband samples would arrive
All are based on partitioning strategies, but each approach directly at the accelerator. Huge amounts of data should be
utilizes a different partitioning strategy as follows: transferred between the CPU and the accelerator in a very
short time and with minimal latency, resulting in a signifi-
Stand-alone CPU. In this approach, no co-processor is used. cant unbearable increase in power consumption and limiting
This approach is included scaling up the design to just one or
for reference only, and the two sectors of LTE per accelerator.
author does not believe that it The CPU is expected to handle the
is a viable approach for com- The C-RAN approach entire data processing except for the
petitive C- RAN solutions. processing supported by the accel-
provides significant erator.
Accelerated CPU. In this advantages in capital and
approach, two or three While identifying bottlenecks and
bottleneck operations are operational expenditures offloading them to an accelerator
offloaded from the CPU to unit seems to be a step in the right
an acceleration unit. The (CAPEX and OPEX) and direction, this approach leaves the
accelerator performs only a requirements of computational power
limited set of tasks.
facilitates advanced on the CPU, and the throughput
cooperative processing required for the CPU/accelerator
Full L1 accelerator. In this data transfers is very high. For the
approach, all of the L1 pro- techniques. accelerated CPU to be successful, a
cessing is offloaded from well-defined API is needed, and fur-
the CPU to some hardware. ther standardization work is required
The hardware is dedicated to facilitate wide deployment.
to perform only the processing of the standard it was
designed to support in the most efficient (silicon cost and Pros:
power) way.
Some stress taken off the CPU.
Novel modem processing unit (MPU) approach. The
MPU performs the entire data flow, while the CPU per- Lower (x10) power consumption of the offloaded opera-
forms all the detection, estimation and decision-making tions relative to a CPU.
tasks. The MPU must use an efficient yet flexible signal
processing architecture. Cons:

Stand-Alone CPU Not flexible. Processing requirement still high for CPU;
The stand-alone CPU provides the highest degree of flexibility CPU/accelerator data-transfer throughput is too high.
and ease of programming. However, even modern CPUs cannot
meet the processing requirements of turbo decoding. Other The overall power consumption is still too high since most
processing tasks such as Fourier transforms and matrix mul- of the operations remain in the CPU.
tiplications can be implemented on a modern CPU but require
a very well-optimized function library. The number of cores Full Datapath Accelerator
required and the high power consumption make this approach In this approach, all of the L1 is offloaded from the CPU to
impractical. Pros include flexibility and ease of programming. some hardware. The hardware is dedicated to perform only
Cons include processing requirements that are too high for the the processing of the standards it was designed to support.
CPU and are impractical from both cost and power consump- No data is transferred between the CPU and the accelerator,
tion points of view. as the entire data process is performed by the accelerator.
This solution has very limited flexibility; it was conceived
Accelerated CPU to perform a specific type of processing, and is mostly
In this approach, the CPU is augmented with a dedicated dedicated to a single standard. The CPU programmer has
acceleration unit. This acceleration unit offloads two or three no or very limited control over the performance on the
bottleneck operation, such as turbo decoding, FFT, etc. The accelerator. It is impossible to add new operations to this

12 Engineers Guide to LTE and 4G 2014


Special Feature

Figure 2: MPU physical entities Figure 3: Relation between some physical and logical entities

implementation. This solution performs full offloading of are done by the MPU, the aggregate throughput required
the CPU, but for a very specific type of processing. is 6.2Gb/s/sector or 50Gb/s per server (in the case of LTE).
The MPU is controlled using a modem processing language
Pros: (MPL). MPL is a C-compliant API that enables the MPL pro-
grammer to design the solution to his/her preference.
CPU is highly offloaded.
The MPU can support a wide range of cellular standards. It can
Lower (x10) power consumption relative to a CPU. be programmed to support various processing topologies. New
programs can be developed after the system is in operation. The
CPU/accelerator data transfer. MPU firmware can be loaded dynamically according to the current
traffic types. Writing new firmware for the MPU is no more com-
Cons: plex then writing a well-optimized CPU function. However, like in
the accelerated CPU, a well-defined standardized API is required,
Not flexible. and there is a 10x power consumption advantage relative to the
CPU. In ASOCS implementation, the MPU offloads about 70% of
Dedicated solution for specific type of operations. Impos- CPU tasks. The overall power consumption reduction is about 63%.
sible to add functionality to accelerator after tape-out.
The MPU architecture, besides being virtualizable, also
Doesnt support evolving standards, and no support for enables scalable basestation architectures, from small
multi-mode/multi-standard operation required for C-RAN. through macro to super cell.

Modem Processing Unit (MPU) Pros:


With the modem processing unit (MPU) approach, the
entire data path is delegated to the MPU. Moreover, heavy CPU is highly offloaded.
computations are also performed by the MPU (i/FFT of
control, PRACH, etc.). CPUs such as Intel processor-based Lower (x10) power consumption relative to a CPU.
x86 servers perform the detection estimation and deci-
sion-making tasks. Like in the accelerated CPU approach, Low throughput required for CPU/MPU data transfer.
baseband samples arrive directly to the MPU. The data
transfers between the MPU and the CPU are less frequent, Very flexible solution, as the programmer can customize
and depend on the specific partitioning of processing the partitioning scheme. It is possible to add a lot of func-
between the MPU and the CPU. For the most common tionality to the MPU after tape-out.
partitioning scheme, where the detection estimation is
performed by the CPU while all other processing operations Scalable solution supporting network function virtualization (NFV).

13
www.eecatalog.com/4G
Special Feature

set of memory buffers, used to store


data created by the PEs and transfer
data between the CPU and MPU or
through the pipes. Pipes are used to
exchange data between domains and
other sources.

Figure 4: Time diagram for LTE backend portion on MPU The logical entities of the MPL are
illustrated in Figure 3.
Cons:
The logical MPL entities are nodes, buffers, queues, batches
The efficiency of this solution in terms of silicon size and
and events. Nodes are created by grouping one or more PEs.
power consumption compared to a fully dedicated solution Nodes perform processing tasks, as submitted by the applica-
is lower by 20-30%. tion. Buffers are used to store data for processing by tasks. A
task operates on data stored in one or more source buffers. The
MPU-MPL Concept processing results are stored in one or more destination buf-
fers. Note that the buffer memory
Features is located in the MPU. This data
MPL is a C language interface can be transferred to and from
between the CPU and the MPU. Its Since the MPU architecture the host memory (or to external
main features are: interfaces) using a data transfer
is a programmable, task. These memory buffers are
It provides the designer with also used to transfer data between
enough power and flexibility to
versatile platform, adding tasksan MPL task writes its
implement various algorithm new functionality is merely output data to a memory buffer,
schemes, and supports various which is later used as input for
air interface technologies and a software/firmware the next MPL task. Tasks are
standards. submitted to execution through
It supports various CPU-MPU upgrade issue. queues over a node.
partitioning schemes.
It addresses the real-time and Multiple queues can be assigned
data-flow issues involved in on a single node. Events are
wireless system design. In particular, it addresses the spe- used to synchronize between tasks sent to the same or other
cial requirements of CoMP. queues. Data can be transferred from the host to an MPL
It abstracts the internal operation of the MPU, giving the buffer and vice versa. Data can be transferred between buf-
user control over resource allocation, real-time perfor- fers of the same domain. In addition, data transfers using
mance and latency. pipes can be applied. Pipes transfer data to and from external
It enables network function virtualization (NFV). sources (such as the radio interface), or from other domains
The MPL framework consists of two major layers: the man- (belonging to the current device, or to other devices of pos-
agement layer for handling of MPL entities and the MPL sibly different hosts).
libraries, which consist of MPL computation functions.
MPL Programming
MPL Entities MPL programs, just like any other typical program, consist of
To achieve the features above, MPL language consists of three main parts:
several entities, some of which are physical entities (which
are a part of the hardware design of the MPU), some of MPL initializations: In this part, the MPL programmer cre-
which are logical entities built on top of these physical enti- ates the MPL entities required, according to his/her vision
ties. The major physical entities of the MPU are illustrated of the actual resources needed for required processing.
in Figure 2.
MPL execution: Here, the MPL programmer implements
The MPL device is the top-layer entity. MPL devices are the required functionality. This is where the actual
partitioned into one or more MPL domains. A domain is a action takes place.
self-contained part of the device, and is comprised of pro-
cessing elements (PEs), memory bank and pipes. PEs are the MPL closure: Finally, the MPL programmer releases the
atomic processing units of the device. The memory bank is a MPL entities that were created.

14 Engineers Guide to LTE and 4G 2014


Special Feature

In the MPL execution phase, the MPL programmer executes as its preceding task has completed, without any CPU inter-
tasks performing some processing by dispatching tasks to vention. This diagram presents information recorded on an
queues previously created on the initialization phase. These MPU running in FPGA at 62.5Mhz.
tasks will be performed asynchronously on the MPU, while
the CPU may still run different operations. The CPU may be Conclusion
notified upon completion of several tasks. MPL provides the There are several methods for off-loading the general-purpose
means to synchronize between tasks to ensure the correct CPU (such as x86 servers) in a C- RAN application. A novel
execution order; the execution of a task can be made condi- model processing unit approach off-loads the data path from
tional on a list of events being completed. The events may be the CPU, to offer greater flexibility, power consumption, CPU-
assigned by other tasks, in the same queue or in other queues to-accelerator throughput and overall throughput. The MPU
of the same domain. The MPL allows the use of an MPL batch, concept allows network virtualization, and reduces CAPEX
in which the synchronization of different tasks that run on and OPEX for service providers by offloading tasks from older
different PEs is performed within the MPU, without the CPU base stations that are expensive to operate.
being involved. This further reduces the overhead required
by the CPU for handling of operations over the accelerator. References
[craa] http://www.cpri.info.
Adding Functionality [crab]Coordinated multipoint operation for lte physical layer
One of the key advantages in the MPU architecture is the aspects (release 11). 3GPP TR36.819.
ability to add new functionality or change existing function- [crac] Nasl intel vsipl libraries. http://www.nasoftware.co.uk.
ality. Since the MPU architecture is a programmable, versatile [eaa]D. Lee et al. coordinated multipoint trans mission and
platform, adding new functionality is merely a software/firm- reception in lte-advanced: Deployment scenarios and
ware upgrade issue. A new MPL task may be created on top operational challenges. IEEE Comm. Mag. Vol 50 Issue 2.
of existing MPU hardware by adding the necessary firmware Febuary 2012.
and software components. This is not the case for other types [eab]H. Droste et al. Coordinated multipoint: Concept perfor-
of solutions (accelerated CPU, Full L1 accelerator), where the mance and field trial results. IEEE Comm. Mag. Vol 49 Issue
hardware is dedicated to the solution and cannot be altered to 2. February 2011.
change or add functionality. [ins11]China Mobile Research Institute. C-RAN the road
towards green ran, October 2011.
C-RAN Benchmark Test [Int11] Intel. Idf 2011 presentation, 2011.
In order to show the functionality, weve designed a [VMR] V. Santoz M. McDonell V. Martinez and K. Reynolds.
dedicated C-RAN test case in which a portion of the LTE Digital signal processing on Intel architecture. Intel 2009.
backend is implemented on the MPU. Five different MPL [VS] M.C. Valenti and J. Sun. The umts turbo code and an effi-
tasks are invoked: cient decoder implementation suitable for software defined
radios. International Journal of Wireless Information net-
Descrambler works, Vol. 8 No. 4 October 2001.

Channel deinterleaver
Yaniv Shaked has been with ASOCS for 7 years and
Stream separation is currently a software engineering team leader. He
has 13 years of experience working in RT embedded
Rate dematch communication systems with a focus on wireless
protocols. He has previous technical leadership
Turbo decoder experience in electronic warfare airborne systems
from the Israeli Air force engineering division. He previously pub-
The MPL programmer creates an MPL Batch and sends these lished an innovative M.Sc thesis that influenced the cryptography
five different tasks to run on the MPU. The entire data path is mechanism used by the Bluetooth Protocol.
handled in the MPU, and each task writes its output to buffer
which is later used as input by the next task.

Figure 4 presents a time diagram recorded while running the


LTE backend portion on the MPU. For each of the available
PEs, the task name and state are presented. The task valid
state values are: INIT (for a very short time), WAIT (while
waiting for the completion of another task), EXE (execution),
COMP (completed). It is shown that each task starts as soon

15
www.eecatalog.com/4G
Special Feature

VoLTE Deployment Considerations


Media processing accelerators based on power-efficient DSPsin both PCIe and
ATCA formatsoffer the best cost/power/density solution for adding Voice over
LTE to network elements.

By Brian Carr, Embedded Computing, Emerson Network Power

Long Term Evolution (LTE) has been SVLTE (Simultaneous Voice and LTE): This
one of the biggest changes to hit mobile is a slightly enhanced version of CSFB and
network infrastructure in the last few is offered on a few CDMA2000 networks.
years. Network evolution has been The handset attaches simultaneously
driven by a need to improve capacity and to the LTE network and to the CDMA
cost profiles of data access in response circuit-switched network, with the LTE
to a massive demand for data services. mode providing data services and the
To achieve this, the LTE standard is circuit-switched mode providing the voice
architected as a flat, all-IP network service. This is enabled mainly by the
right out to the user equipment but this handset, therefore does not have special
represents a problem for voice calls. requirements on the network and does not
Unlike second- and third-generation require the deployment of IMS either.
networks such as GSM, UMTS and
CDMA2000, which offer separated voice One additional approach, which is
and packet cores, LTE only supports potentially challenging to operators, is
packet switching. With the adoption the usage of over-the-top (OTT) com-
of LTE, carriers have to re-address how munication services, using applications
they offer voice services. Three different such as Skype and WhatsApp to provide
approaches have emerged: voice service. Infonetics reports that
the number of global OTT mobile VoIP
VoLTE (Voice over LTE): This is the subscribers leaped more than 550%
preferred approach defined by the during 2012, exceeding 640 million, and
GSMA. It makes use of an IP multimedia is expected to approach the one billion
subsystem (IMS) installation in the net- mark in 2013. OTT services are network-
work, with specific profiles for control independent, often feature-rich and can
and media planes. This approach results significantly threaten operators voice
in the voice service being delivered as a and SMS revenues.
data flow over the LTE networka true
implementation of Voice over IP over However, OTT voice services have some
mobile, as shown in Figure 1. Figure 1: VoLTE means the voice service is disadvantages. For example, there is no
delivered as a data flow over the LTE network,end-to-end quality-of-service manage-
but schemes are being deployed to bridge to
CSFB (Circuit Switched Fallback): In this 2G/3G and other networks. ment and the challenges of handover
approach, LTE provides the data access, between networks for mobility, or an
and when a voice call is to be initiated or received, it will fall option for fallback havent been effectively addressed. None-
back to the underlying 3G circuit-switched domain. This theless, operators are responding to the threat of OTT voice.
works well for early LTE deployments, or where limited LTE
island coverage is available or for roaming to a new LTE The GSMA have defined some common procedures to improve
network with no IMS in place. Where a user is roaming from user experiences and to compete more effectively with OTT
a VoLTE-equipped network, a mechanism called single radio servicesthese are captured under the name rich commu-
voice call continuity (SRVCC) allows the call to be trans- nication services (RCS) and are promoted under the brand
ferred from packet- to circuit-switched domains without name Joyn. With compatible handsets, the idea is that
dropping because the call is anchored in the IMS. a user would be tagged as Joyn-ready, and so would their
contacts, to make it easier and faster to start up additional

16 Engineers Guide to LTE and 4G 2014


Special Feature

services and provide a better


user experience. Some of the key
applications for RCS are HD voice
(wideband) and video calling,
chat and video and file sharing.
Later, it is very likely that media
processing to support video con-
ferencing will be deployed.

RCS makes use of an IMS infra-


structure. So with an IMS-based
VoLTE infrastructure in place,
operators will be able to quickly
take advantage of RCS. They will
also have the opportunity to use Figure 2: Media processing accelerator cards offer a compelling cost/power/density solution for adding
VoLTE as a bridge to a variety of voice transcoding to LTE infrastructure.
other communication services
such as API-based application innovation, various types of A VoLTE gateway external to the main network infrastruc-
video-communication services and bridges to Web real-time ture is perhaps the simplest to envisage. The border gateway
communications (WebRTC) access points. terminates principal traffic streams, and redirects voice
traffic to the external gateway for transcode via external
Implementing VoLTE: Network-Based Media ports. Voice traffic can come back into the border gateway for
Processing Considerations egress filtering.
The codecs that are mandated for use in VoLTE are the GSM
Adaptive Multi-Rate Narrowband (AMR-NB) codec for voice, The disadvantage is that this is costly, uses rack space and
the G.722.2 Wideband AMR codec for HD Voice and the extra power, takes up valuable additional physical network
H.264 Constrained Baseline Profile codec for video. interfaces off the border gateway and still requires applica-
tion development that controls and configures media stream
When simply communicating 1:1 between handsets, there handling on a stream by stream basis. It also increases
is clearly no translation to be done since the endpoints can latency and therefore affects a users quality of experience.
signal capabilities to each other. But when communicating
with other networks that use a different codec, or inter-
working with newer access mechanisms such as WebRTC,
there is often a need to change coding method (called In a bladed server environment,
transcoding) or even monitor and mix actual voice signals. an ATCA media-gateway-on-a-
Practical considerations for network deployment of this
transcoding result in a typical set of design goals that need blade with power-efficient DSPs
to be balanced:
can offer up to 10,800 sessions
Low cost per port / channel / transcode while consuming only 250W.
Low power per port / channel / transcode
Low latency (the delay inserted into the voice path by the
various network elements)
Low entry-level cost for small systems A significant trend within the industry is to build the core
Must be able to scale from small to very large systems transcoding and monitoring capability into the border
Redundancy / high availability models vary but must be gateway. This minimizes the data path for the voice signals,
possible and hence reduces latency and network complexity. Again,
there are two choices:
There are two clear options to add this functionality to
deployed or developing LTE networks: An internal software solution, adding functionality using
software-only codecs
An additional system or device linked to the original LTE An internal media processing accelerator offering hard-
network infrastructure (such as a media server or media ware-accelerated transcoding
gateway associated with the IMS core)
Building this capability into an existing network element An internal software solution necessarily makes use of
such as the border gateway internal processing resources, starving the original applica-

17
www.eecatalog.com/4G
Special Feature

Figure 2: Media processing accelerator cards offer a compelling cost/power/density solution for adding voice transcoding to LTE infrastructure.

tion of that capability. Effectively this means that additional AMR-NB transcoding to a typical border gateway based on
equipment would be required to offer higher densities of a dual-socket server featuring Intel Xeon processors. Using
voice transcoding. Matters get even worse when considering software-only host media processing, some commercial
field upgrades, since a customer must accept that a given implementations top out at around 2,000 ports, consuming
installation would no longer be able to carry the same traffic. 50% of the processing capacity of the server.

The Case for Media Processing Acceleration In contrast, a plug-in DSP-based accelerator card such as
A more elegant solution to the problem is to use a plug-in Emersons PCIE-8120 for that same dual-socket server can
media processing accelerator to offload the scalable media offer up to 5,400 sessions, without significantly impacting
processing from the host. the original application and consuming only an additional
65W. In a bladed server environment, an ATCA media-
This keeps the function internal to the network element AND gateway-on-a-blade such as Emersons ATCA-8320 with
avoids the loss of central processing resource that would power-efficient DSPs can offer up to 10,800 sessions while
otherwise be required to run a fully software solution. With consuming only 250W.
careful selection, this would be able to take account of new
voice and video compression schemes as they emerge. In conclusion, Voice over LTE implementations are an inter-
esting new phenomenon, and one that is putting more focus
Using a plug-in media processing accelerator offers a true on the capability of the mobile data network to carry voice
upgrade path, and commercially available options are avail- as a service. This in turn is putting more focus on where any
able both for PCI Express to use with industry-standard, necessary transcoding is performed, and increasingly there is
server-based solutions, and for AdvancedTCA to use with interest to offer this feature in the border gateway elements.
highly-scalable, industry-standard bladed architectures. An Media processing accelerators based on power-efficient DSPs
implementer should be looking for low power per channel still offer the best cost/power/density solution for adding
since that translates into high density, and this is where this capability to network elements.
solutions based on digital signal processor devices still have
a significant advantage over general-purpose computing. But
they should also be looking to interact with the board via a Brian Carr is strategic marketing manager for
simple application programming interface (API) rather than the Embedded Computing business of Emerson
having to write their own optimized DSP code. Hence, good Network Power. Carr joined Emerson with its
solutions feature an array of low-power DSP devices run- acquisition of Motorolas Embedded Comput-
ning optimized voice- and video-processing firmware that ing Group in January 2008. In addition to his
includes support for relevant codecs such as GSM AMR-WB, three patents in the area of communications
Opus (used in WebRTC) and H.264 (the most widely deployed systems design, Carr holds masters degrees in engineering
video standard) where the vendor of the board or the DSP from Cambridge University and in information technology
will offer ongoing codec support for new developments. from Essex University.
Having both PCI Express and ATCA options allows for scal-
ability from small appliances through large bladed systems
with a common software strategy.

To provide an example of how this compares in the VoLTE


case, consider the case of adding some G.711 to GSM

18 Engineers Guide to LTE and 4G 2014


Special Feature

The Weak Link in Mobile Security


The right security gateway technology will keep operators, customer devices
and data secure and will open up further opportunities to relieve pressure on
the core network.

By Drew Sproul, Adax

Security is an ever-growing threat in


todays technology-reliant, increasingly
mobile world. Malicious attacks are just
the starting point of potential threats to
consumers. Any weak link in the mobile
network could result in untold damage Figure 1: Adaxs security gateway is a complete, carrier-grade security solution.
wreaked by malicious attackers.

While end users are aware of the dangers, they do not always According to analyst house Infonetics Research, the main
have a full understanding of what the security threats are advantage of small-cell technology for operators is that it
and what it means for them if the network is not adequately promises to help them sustain continuous annual revenue and
secured. Therefore, network service providers, along with unit growth through to at least 2017. It will also relieve the
equipment manufacturers and application developers, have data shortage and enable them to extend service coverage. For
a responsibility to ensure that the end user is protected. As end users, the advantages of this type of service is that they
mobile technology continues to evolve and develop, so do the will receive better quality service, increased coverage and a
security risks. Keeping on top of them needs to be a priority longer battery life for their device.
for operators but with all the exciting opportunities offered
by the advances in mobile technology, it is important that However, the move to a flatter and more IP-centric LTE
security concerns are not glossed over. In this article we will architecture exposes new security risks. With 3G, the radio
look at the weak link in mobile security, why it exists and what network controller (RNC) controls all access to the base sta-
operators can do to ensure the security of the network. tions, meaning that no one can get close to the core network.
In LTE, IP backhaul is mandatory but the RNC node is elimi-
Why Is the Security Risk Emerging? nated, giving a potential attacker a straighter path to the core
First, lets look at why a security risk is emerging as demand network. There are also more signalling and bearer paths
for bandwidth and improved connectivity grows. The deploy- between network elements and the encryption of user traffic
ment of long-term evolution (LTE) is a primary driver behind terminates in the eNodeB, which is directly connected to end-
the security risks as the LTE architecture is much flatter and user handsets and controlled by the RNC, rather than the RNC
more IP-centric than 3G, meaning there are fewer steps to itself. All of this makes backhaul a risk, potentially exposing
access the core network. user plane data.

One way to deliver LTE services is to utilise small-cell tech- What Will the Weak Link Result In?
nology, for example femtocells, often used as the generic term The flat architecture of LTE means there are fewer steps to
for all small cells, and the Home eNodeB, which is used when gain access to the core network, meaning it is more vulner-
delivering 4G services. Briefly, a small cell is a low-power, able to attackers. As more information is sent over mobile
cellular base station that connects to the service providers devices, the risks are numerous to end users, such as spam,
network via broadband and therefore relieves the pressure on viruses, worms, data theft and identity theft. However,
the overloaded mobile network. Small cells are an alternative enterprise customers are equally threatened and have the
way to deliver the benefits of fixed-mobile convergence (FMC). additional possibility of suffering denial-of-service (DoS),
However FMC architectures like Wi-Fi require a specific where an attacker shuts a website down, and distributed
handset that works with existing unlicensed spectrum home denial-of-service (DDoS), eavesdropping, modifying data
or enterprise wireless access points. While a small cell-based and replaying data. All of this could have disastrous conse-
deployment will work with existing handsets, it requires quences for a businesss corporate and customer information
installation of a new access point that uses licensed spectrum. security, not to mention its reputation.

19
www.eecatalog.com/4G
Special Feature

Figure 2: Embedded security functions protect against harmful access.

Although there are many participants in the value chain that tors are therefore demanding that most firewall and router
share responsibility for securityincluding smartphone vendors add IPsec termination to their carrier-grade plat-
vendors, app developers, businesses and even individual forms to meet the LTE security requirements.
customersultimately the buck stops with the operators.
Operators themselves face a marked increase in the number Investing in the Right Security Solution
and type of attacks. This represents a growing threat in terms Operators now recognise that IPsec tunnels will be required
of the damage that any attack can cause to the operators at most of the cell sites for authentication and encryption
network infrastructure assets, their customers service avail- with a security gateway terminating those tunnels deeper
ability, end user devices or private information. And all of in the network.
this of course will have a detrimental effect on the operators
reputation and brand. The ever-increasing range of security threats, especially con-
sidering that operators are quickly becoming ISPs in their
What Can Operators Do to Secure the Network? own right, means that more advanced and developed security
The increasing security threats and the change in approach functions need to be established around the core network to
from operators to deal with these threats mean that the term protect users and operators alike.
security gateway has changed. A security gateway product
can now contain a number of functionalities, such as deep The flatter architecture of the LTE network further adds to
packet inspection (DPI), policy management, firewall and these impending security threats. However, a security gateway
3GPP-defined security gateway (SEG). will enable operators to cope with untrusted connection
attempts when using small-cell technology.
Consumer small cells account for the bulk of the market
but in the core network, enterprises are more prominent Investing in the right security gateway technology will keep
and this trend will only rise. ABI Research predicts that by operators, customer devices and data secure and will open
2016, half of all small-cell security gateway revenue will up further opportunities for the industry to concentrate on
come from the enterprise. utilising and developing new technology to relieve pressure on
the core network. Ultimately, this will help operators provide
The way in which small-cell technology works, on a basic level, users with a better quality of service.
is to distinguish between trusted and untrusted connec-
tions. A trusted connection is one where the connection has
been approved by the operator and the user is free to access Andrew (Drew) Sproul is currently director of
the network. Alternatively, if the connection is untrusted, marketing at Adax, Inc. During his 20+ year
the user will be blocked from the network by the operator. career in telecom, Drew has held management
positions in sales and marketing at Adax, Tril-
Operators are becoming more like Internet service providers lium, and ObjectStream. Drew has a BA in
(ISPs) and as a result the security threats will emerge from human services from Western Washington Uni-
application-layer vulnerabilities to the risks presented by versity in Bellingham, WA.
smartphone app developers and operating systems. Opera-

20 Engineers Guide to LTE and 4G 2014


Special Feature

LTE to LTE-Advanced: What You


Need to Know Right Now
As LTE evolves to LTE-Advanced it promises benefits both to operatorsin
terms of reduced OPEX/CAPEX and spectrum utilizationand to subscribers in
improved data speed and capacity.

By Dr. Stamatis Georgoulis, Aeroflex Limited

LTE-Advanced (LTE-A) promises to provide true 4G connec-


tivity, and to meet all the requirements of IMT-Advanced.
But what are the problems in LTE that need to be solved by
the evolution to LTE-A? How can you take the earliest pos-
sible advantages of the solutions it offers?

This article describes the main drivers behind the rapid


evolution to LTE-A, the benefits that it promises in terms
of meeting the growing demand for smartphones, and the
challenges they impose on the network. Furthermore it will
explain how LTE-A helps to reduce OPEX and CAPEX for the
operator, and how it enables operators to make the best use
of expensive but fragmented spectrum and to improve cov-
erage and capacity.

LTE-A also helps operators respond to the pressure for


technology to be more energy-efficient, and this article will Figure 1: Growth in mobile subscriptions by technology up to 2013
describe how this can be achieved. The article also outlines (actual) and to 2017 (forecast).
all the new technology components associated with LTE-A
that can make all this possiblecarrier aggregation, MIMO, user demands. Just as important, it will offer significant
self-organizing networks, and interference management. reductions in OPEX and CAPEX to let operators meet those
demands profitably. The advances in technology that LTE-A
LTE-A Timescale brings to the market will enable faster deployment and
LTE-A is here already and now is the time to start taking prompt troubleshooting. This will get users connected more
advantage of it. The main reason for this is not just the quickly and keep those connections operational and gener-
higher data rates promised, but also the massive demand for ating revenue.
data that is generated by end users. This comes as a result of
the proliferation of mobile devices, including smartphones Operators are currently the holders of expensive but fragmented
and tablets, with applications such as social networking spectrum, and they need to make a return on this investment,
that require always-on connectivity. Once users acquire a which cannot be achieved without aggregating spectrum
smartphone, their usage pattern also tends to increase as fragments and using them together. The technique of carrier
they discover its capabilities. This in turn leads to demand aggregation, described later, forms a key component of LTE-A
for ubiquitous cellular coverage, including in-building cov- and allows this spectrum to be efficiently utilized.
erage and services on public transport. According to a recent
report by Cisco1, during the past year mobile connections Finally there are demands from consumers and society in
have reached the milestone of actually exceeding the number general for cellular and broadband technology to be greener.
of people on the planet (currently just above seven billion), as Conserving energy also makes good economic sense. The use
shown in Figure 1.

LTE-A Benefits 1 Cisco Visual Networking Index: Global Mobile Data Traffic Forecast Update,
So how will LTE-A help meet this demand? First, it will 20122017, http://www.cisco.com/en/US/solutions/collateral/ns341/ns525/
improve coverage and capacity, to enable operators to meet ns537/ns705/ns827/white_paper_c11-520862.html

21
www.eecatalog.com/4G
Special Feature

Table 2: 3GPP LTE-Advanced specification compared with LTE Release


8 and IMT-Advanced targets

The International Telecommunications Union (ITU) pro-


posed a set of recommendations that have become the target
for IMT Advanced 4G. The intention is to provide flexible,
global, ubiquitous mobile access based on an all-IP network
with scalable bandwidth and high spectral efficiency, while
providing low latency combined with fast mobility. The target
data rates are 100 Mbps when mobile, and up to 1 Gbps peak.
The 3GPP has turned this into LTE-A, which is represented
by Release 10 onwards of the 3GPP LTE specification. Table
2 compares the ITU recommendations with the performance
parameters available from LTE Release 9 and those expected
Table 1: Benefits of LTE-A compared with LTE from LTE-A.

of interference compensation techniques in LTE-A to improve LTE-A builds upon LTE by the introduction of a new set
signal integrity at the cell edges, along with the use of SON of advanced technology features or enablers, which are
and a greater number of small cells as part of a heterogeneous described in detail in the next section.
network, both contribute to improving the energy efficiency
of the network compared with 3G and LTE. Alongside these LTE-A technology enablers
features, more efficient technologies such as the increasing LTE-A will be made possible by a set of technology enablers,
use of Envelope Tracking or Doherty techniques in base sta- each of which focuses on extracting better performance from
tion power amplifier design are also bringing energy savings. LTE. The main enablers are as follows:

LTE-A relays provide a further specific way in which HetNets Carrier Aggregation (CA)
can promote energy saving, by setting the relay node (RN) By combining blocks of spectrum known as component car-
into a sleep mode when it is not required. riers (CC) as shown in Figure 2, carrier aggregation enables
the use of fragmented spectrum and allows LTE-A to meet its
What is 4G? IMT-Advanced headline data rate of 1 Gbps.
Although operators are selling LTE as 4Gthe reality
actually lags the hype by a generation. Just as the Mobile Carrier aggregation is achievable by a hardware upgrade,
Internet promised by E-GPRS in the 1990s was actually only and is backward compatible with 3GPP Release 8. Carrier
delivered by 3G WCDMA,
mobile broadband arrived
with 3.5G HSPA, not with
3G. The high-capacity and
ubiquitous connectivity
expected to result from HSPA
is only truly being realized
with LTE. Therefore, true 4G
performance will only really
be available from LTE-A. LTE
can be said to be effectively
the prototype of LTE-A.

Figure 2: Increasing usable bandwidth by aggregation of individual component carriers (CC)

22 Engineers Guide to LTE and 4G 2014


Special Feature

aggregation enables spectrum flexibility, but it is not just


about multiple 20 MHz component carriersthere is also
the ability to aggregate smaller non-contiguous bandwidths.
In this way, the bandwidth can even be changed dynamically
to accommodate the needs of individual users. However,
achieving carrier aggregation in devices in the real world
presents a real challenge.

Figure 3 shows three of the many possible LTE-Advanced


carrier aggregation application scenarios. In Figure 3(a), the
lower frequency f1 is used to increase coverage while f2 is
used to boost the data rate. Figure 3(b) demonstrates the use
of both frequencies to increase cell throughput; and in Figure
3(c) f1 provides macro coverage and the higher frequency f2
is used to boost throughput in hotspots.

Higher Order MIMO (HOM)


Higher order MIMO (shown in Figure 4) allows increased
spectral efficiency, in terms of bps per Hz, to be achieved, and
is again a hardware upgrade. It promises LTE-A performance,
with up to 8 stream transmissions enabling uplink and
downlink peak spectrum efficiency in excess of the IMT-A
targets. Several clever schemes in uplink and downlink are
possible, for both single- and multi-user.

MIMO requires multiple antennas to be used on both base


stations and user deviceseight streams will require eight
separate antennas on the device. In combination with the
multiple radios that are also proposed for LTE-A, this means
that mobile devices could end up looking rather like hedge-
hogs. The practicality of higher order MIMO remains to
be seen, and in practice other LTE-A enablers are likely to
deliver initial efficiency improvements at lower cost.

Relays Figure 3: Three of the many possible LTE-Advanced carrier aggregation


Relays are a cost-effective means of extending coverage in application scenarios, where in each case frequency f1 is shown in grey
and f2 is shown in blue: (a) f1 is used to increase coverage and f2 is used
areas where wired backhaul is uneconomical, by connecting to boost the data rate (f2 > f1); (b) Both frequencies are used to increase
a repeater unit that amplifies and forwards the mobile signal cell throughput; and (c) f1 provides macro coverage and f2 is used to
between the base station and the mobile unit, as shown in boost throughput in hotspots.

Figure 5. The relay backhaul appears just the same as normal


user equipment (UE) to the donor macrocell. Relays permit then problems may arise. Adequate coordination is essential
fast rollout, with lower cost equipment than using traditional in order to avoid capacity reduction. Dynamic adaptation is
backhaul and a second macrocell. The use of relays is effec- needed to maximize the gains that can be obtained.
tively a trade-off of macrocell capacity in favor of achieving
greater coverage. Some elements of SON, such as CGI reporting and Automatic
Neighbor Recognition (ANR), were introduced as early as
Self-Organizing/Self-Optimizing Networks (SON) Release 8, with RLF enhancements added in Rel-9. But while
SON enables the efficient use of heterogeneous networks LTE provided the basics, it is LTE-A that makes it work by
(HetNets), a mixed network that includes small cells to introducing X2 interface exchange of information; improved
improve the coverage and capacity provided by traditional interference coordination between cells; load balancing;
macro base stations. Several small cells can be distributed Minimization of Drive Test (MDT); self-healing; and energy
within the area covered by a macrocell, sharing the same saving. Coordinated Multipoint (CoMP) is further introduced
frequency bands, to fill in the gaps in coverage and to pro- at Release 11.
vide extra capacity.
Interference Management (IM)
The efficient use of SON can both reduce OPEX and increase Interference Management is another software-upgradeable
capacity. However if they evolve in an unplanned manner LTE-A feature, which enables increased area spectral effi-

23
www.eecatalog.com/4G
Special Feature

Figure 5: Relays for LTE-A, showing main eNodeB with relay node (RN)

These improvements are achieved by a mix of software


upgrades and cost-effective hardware additions. The com-
bined effect is a factor of 2.2 improvement in area capacity
for a Release 10 HetNet compared with a network using
Figure 4: Higher order MIMO only macrocells.

ciency (measured in bps per Hz/km2) to be achieved. This In conclusion, the benefits of LTE-A for all stakeholders are
provides the benefit of more efficient sharing of bandwidth considerable, and are already beginning to be felt. For users it
over an area. The feature is dynamic and able to adapt within promises an overall improvement in quality of experience and
100 ms. lower data usage costs. Operators will benefit from reductions
in OPEX and CAPEX through the use of smart HetNets which
Enhanced Inter Cell Interference are currently being deployed,
Cancellation (eICIC) represents and from further efficiencies as
an extension of the interference the hardware develops. Network
management techniques that Although operators are and device manufacturers are
were used in LTE Release 8 and selling LTE as 4Gthe already able to offer improve-
9, and it differs from these tech- ments for smart HetNets, which
niques in that it is not transparent reality actually lags the are being closely followed by
to the UE and therefore needs to upgrades for carrier aggregation
be verified with a test UE such as hype by a generation. LTE and higher order MIMO. Finally,
the Aeroflex TM500 Test Mobile. test equipment manufacturers
can be said to be effectively such as Aeroflex are seeing their
eICIC requires coordination the prototype of LTE-A. market expanded by the need for
between each of the network increasing test complexity, and
nodes that communicate with are taking advantage of the huge
each other through the X2 inter- potential for innovation that is
face. In a typical application, a offered by LTE-A.
macrocell whose coverage area overlaps with that of one
or more small cells can coordinate its transmissions with
these nodes. This allows it to reduce the interference caused Dr. Stamatis Georgoulis is a senior product man-
to the UEs belonging to these cells in certain subframes, ager at Aeroflex Limited, Stevenage, UK. He has
by limiting the macrocell transmissions to DL Common worked with Aeroflex since 2007 defining product
Reference Signal (CRS) alone, with no data, during certain strategy for LTE, LTE-A, GSM, and WCDMA. Prior
subframes these are called Almost Blank Subframes (ABS). to joining Aeroflex, Dr. Georgoulis worked as an
This results in the UEs seeing lower interference at the cell engineer for Analog Devices and UbiNetics (now an
edge of the microcell or picocells, and gives the microcell or Aeroflex company). He received his bachelors and masters degrees
picocells the opportunity to perform a cell range expan- in engineering from Ethniko Metsovio Polytechnico, and his Ph.D.
sion to increase the coverage area during these subframes. from the University of Edinburgh.

Summary
All the LTE-A enhancementsSON, IM, small cells, and
HetNetsbring huge benefits to operators and subscribers
alike. All these components deployed together increase area
spectral efficiency, increase in capacity and coverage, and
allow the network to support a larger number of devices
more efficiently.

24 Engineers Guide to LTE and 4G 2014


Special Feature

Modular, Scalable, High-Performance


Architecture Ideal for LTE Applications
As MicroTCA moves to 100G and with ATCAs large bandwidth, these systems
will continue to provide solutions for LTE/4G and other requirements for many
years to come.

By Justin Moll, VadaTech, Inc.

As LTE/3G/4G systems continue along their evo-


lution path, they will require key elements. The
constant battle is packing more performance into
less rack space. Proprietary or in-house solutions
can fix a hole in a providers requirement, but
they rarely achieve a long-term strategic approach
to remain at the forefront of technology, have a
wealth of options to adjust to market demands,
and minimize costs and time-to-market.

Modular open standard architectures (MOSA)


such as MicroTCA and AdvancedTCA have tai-
lored offers for various communications systems
and LTE in particular. Femto cell and most pico
cell LTE applications tend to utilize less band-
width and management functions. But micro and
macro cell systems require higher performance
and functionality in the system. These size LTE
systems would benefit from elements such as:

High bandwidth (high-speed fabric interface to


10Gb per lane and specification in committee to
do 40Gb Ethernet) Figure 1: This model from PICMGs MicroTCA Application Guide show the various
100G line cards (out the front panel ports) types of MicroTCA formats. The same AMCs from ATCA carriers are used for MicroTCA
systems. Photo courtesy of PICMG, from PICMGs MicroTCA Application Guide.
Scalability as requirements progress
High availability (99.999% uptime) MicroTCA is smaller (approx. 75mm wide x 30mm tall x
System and shelf management 180mm deep boards) than ATCA, offering significant per-
Chassis locators formance in a small space. It is typically more much more
Hot swappability and failover cost-effective, especially for smaller systems. AdvancedTCA
Modular open architecture uses larger boards for even more processing power, but in a
Large ecosystem of products and vendors 355mm wide by 30mm tall x 280mm deep size. MicroTCA
stemmed from ATCA, where advanced mezzanine cards
Both MicroTCA and AdvancedTCA (ATCA) meet these (AMCs) are plugged into a carrier card. In the PCI Industrial
design objectives. MicroTCA in particular has improved Computer Manufacturers Group (PICMG), they realized that
significantly in the last 5 years or so. In the early days, you can plug these AMCs directly into a backplane. They added
too many vendors rushed substandard products to the a MicroTCA carrier hub for the shelf management and built-
market that often didnt meet the specification. This left a in complete redundancy and failover options to ensure high
sour taste for those who dipped their toes with the wrong availability. See Figure 1 for a chart showing the MicroTCA
suppliers. Today, the experts who have been successful in specification family and its connection to AdvancedTCA.
deploying quality solutions are left standing. The perfor-
mance has significantly shifted to include 100G line cards About MicroTCA
and full backplane systems with 40G traffic being finalized With its versatile, modular open standard design, MicroTCA
(a 40GbE specification is in committee). is used in a wide range of applications. This includes telecom-
munications, military/aerospace, enterprise networking,

25
www.eecatalog.com/4G
Special Feature

industrial automation, medical, transportation, energy


and more. By configuring highly diverse collections
of AMCs in a modular MicroTCA shelf, many dif-
ferent application architectures can be easily realized.
The AMCs plug into the chassis and come in various
types with functions such as processing, networking,
graphics, storage, shelf management and more. The
shelf management is a key area of the specification. It
can be used to access information about the current
state of the shelf or the carrier, obtain information
such as the FRU population, or monitor alarms, power
management, current sensor values and the overall
health of the shelf. Todays shelf management software
GUIs can be very powerful, providing a virtual carrier
and FRU construct for a simple, effective interface. See
Figure 2 for an example.

The common elements defined by MicroTCA are capable


of interconnecting these AMCs in many interesting
wayspowering and managing them, all at high effi- Figure 2: The GUI of this shelf management software shows a virtual carrier and
ciency and low cost. The flexibility of protocols includes: FRUs. Each FRU can be selected for a visual representation of the status, along
with resource navigation trees, sensor reading and monitoring graphs, etc.

AMC.0 base specification


AMC.1 PCIe carriers). If connectivity and throughput are more prevalent,
AMC.2 GbE and 10GbE the same chassis will have more network processing units
AMC.3 SAS/SATA (NPUs) in the AMC format. With a proprietary solution, you
AMC.4 Serial RapidIO typically will not have the breadth of choices for these FPGAs
combined with cutting-edge processors, shelf management,
The MicroTCA ecosystem offers a wealth of Intel-, Freescale- etc. Even with a MicroTCA ARP from one vendor, the Linux
and Cavium-based processors and Xilinx and Altera FPGAs. OS doesnt discriminate any software layer on top. The engi-
Aside from a full ecosystem of chassis, NICs, A/D and D/A neer can still design in modules from a different supplier. So
converters, there are application-ready platforms (ARPs) the variety of choices remains, which has the ancillary ben-
that are specific for the LTE market. efit of a faster time-to-market.

Application-Ready Platforms LTE is based on an IP network with voice traffic supported


There are MicroTCA-based ARPs now available in the mar- as voice over IP (VoIP), providing improved integration with
ketplace. They are designed for the LTE market, but still other multimedia services. LTE improves spectral efficiency,
have the flexibility of a modular system that allows various increases mobility and reduces the cost of data transport,
configurations and performance options. For example, if an and provides better integration with other open standards.
application requires heavy data and signal capture, it will The Open Base Station Architecture Initiative (OBSAI) and
have more FPGA and A/D conversion (using standard AMC the Common Public Radio Interface (CPRI) are other stan-

Figure 3: The same base 1U form factor chassis can come in various configurations depending on the LTE application requirements. This includes
cooling configurations in front-to-rear or side-to-side, and module plugging in both front and rear or only in the front of the chassis.

26 Engineers Guide to LTE and 4G 2014


Special Feature

Figure 4: By combining the pure processing power of ATCA with the versatility of AMC modules in one chassis, the user has a wealth of standard
options for various LTE applications in one standard architecture.

AdvancedTCA
dards that define the interface and modules of base stations The AdvancedTCA architecture is also well-suited for LTE
for commonality. systems, particularly for more demanding applications. With
40G speeds across each lane, performance is quite high. The
Micro and macro cell LTE applications need to cover the 4 architecture was designed with built-in redundancy, shelf
x 4 multiple-input, multiple-output (MIMO) requirements management and hot swappability. For LTE applications,
and have more antennas to reach all three 120-degree sec- utilizing a Xeon-based or other powerful ATCA processor for
tors in the roughly 200m-10 Km radius. The 20, 40 MHz band the deep packet inspection and other heavy processing along
of microcells and 60-75 MHz for macro put more demands with AMCs for I/O and other functionality would be ideal.
on the system. Thus, to achieve the speed requirements and The AMCs provide the I/O and a wealth of standard options
intercommunication/management of all nodes, MicroTCA is in the single width, mid-size. Without having to use carriers,
a great fit. The architectures GbE and 10GbE (with roadmap an LTE system could have pluggable AMCs into the backplane
right around corner to 40GbE) are attractive, plus the ability for A/D conversion and a load of FPGAs. (If a carrier is used,
to run PCI Express for Gen 3 PCI Express across the fat pipes. you can fit up to 8 AMCs in the single width, compact-size,
By utilizing the extended fabric option, you can double up which has less functionality and less products in the market
the ports of 10GbE across 8 lanes for aggregate bandwidth than the mid-size.) Combining the versatile mix of features
of 80GbE. For A/D conversion, there are standard FMCs in a low profile platform would provide tremendous design
across FPGA-based carriers with Virtex-7 processing sup- versatility and performance. See Figure 4 for an example of a
port and up to 2 GB DDR3 memory. Network interface cards 3U hybrid ATCA/MicroTCA chassis platform.
(NICs) provide various panel formats for up to 12 GbE ports
or 14-port managed layer 2 switches. There are a wealth of LTE for today and tomorrow
standard AMCs with LC, SPF, SPF+, QSPF, QSPF+ options as MicroTCA and AdvancedTCA are open-standard architec-
well as zQSPF+ and CFP2 for 100G out the front panel ports. tures that provide versatility, modularity, scalability and
high performance. As MicroTCA moves to 100G and with
For Layer 1 processing, a DSP AMC with software can provide ATCAs large bandwidth, these systems will continue to pro-
the frequency processing including OFDMA/SCFDMA, PUSC vide solutions for LTE/4G and other requirements for many
and diversity combining (MRC), etc. The layer 2 processor years to come.
and software can provide the core processing and MAC level
controls and scheduling. The processors can also include sev- www.vadatech.com
eral optimized software drivers for the specific hardware.

When side-to-side cooling is acceptable and a deeper chassis Justin Moll is director of marketing for VadaTech,
is not an issue (600mm), then it is possible to have up to 12 Inc. With over 15 years of embedded computing
AMC slots in a 1U high 19-inch rackmount chassis. AMC experience, Justin has previously worked in direc-
boards plug from both the front and rear of the chassis. If tor and management-level positions for electronics
depth is an issue or front to rear cooling is required, chassis packaging companies. He has a BS in business
with front-plug only cooling can be incorporated. See Figure administration from the University of California,
3 for a photo showing the three types of chassis. Riverside. Justin is active in the PICMG and VITA communities
and has chaired various marketing groups. He can be reached at
Justin@vadatech.com

27
www.eecatalog.com/4G
Special Feature

Smarter Ways to Embrace


the Internet of Things
As operators and device manufacturers focus on innovative new services and
applications, the Internet of Things opens up dramatic new opportunities.

By Jens Wiegand, Wind River

The Internet of Things (IoT) is transforming not only busi-


nesses, but also our lives. The ability of intelligent devices
to perceive and respond to the environment around them
makes them incredibly valuable for complex decision-making
in a broad range of industries. The growth potential is explo-
sive: billions of units are generating more than $1 trillion
in revenue today, and according to market analyst IDC, the
market for intelligent systems will reach nearly four billion
units by 2015, representing more than $2 trillion in revenue.
And many experts predict that there will be anywhere from
20 to 50 billion connected devices by 2020. In addition, the
ligence with astonishing new capabilities to optimize the
evolution of machine-to-machine (M2M) concepts into IoT productivity of processes and efficiency of decision-making.
concepts is greatly increasing and growing the market oppor-
For example, smart-metering hubs can automatically report
tunity into billions of connected devices at work in a myriad
on usage via networks, saving the time and money to check
of applications. meters manually and allowing
companies to optimize con-
It is important to define some sumption in response to supply
terms. M2M is a key technology According to market conditions. Intelligent devices
for intelligent distributed systems can provide heartbeat monitoring
using network resources to com- analyst IDC, the market for that gives doctors the data they
municate with remote application need to determine diagnosis and
infrastructure for the purposes of intelligent systems will reach treatment. Or they can send real-
monitoring and control, either of time traffic data to navigation
nearly four billion units by
the machine itself, or the sur- equipment, helping to optimize
rounding environment. 2015, representing more than traffic flow and reduce consump-
tion and emissions.
IoT is where the physical world $2 trillion in revenue.
merges with the digital world Driving Factors
and enables the new experience The momentum behind IoT
of interacting with this envi- architectures derives from mac-
ronment. IoT could be considered a more horizontal and roeconomic trends and other developments that impact
meaningful approach where some vertical domains such as specific industries or groups of adopters. These driving fac-
cars, smartphones, traffic control systems, as well as pay- tors include high labor costs, as it typically costs at least
ment systems are pulled together to address larger business three times as much for a human to perform a tasksuch
to business (B2B) needs as well as business to consumer as utility meter reading or smart building monitoringas it
(B2C) needs. does for a machine to do it.

IoT concepts and architectures are driving significant Another is the real-time demand for Big Data. As data
innovations in network connectivity, mobile and wireless becomes the new currency of business, IoT architectures can
technologies, multicore processing, M2M communication, supply both the raw material and sophisticated real-time
sensor technologies, cloud computing and data analytics. analytics that shape and guide more intelligent business deci-
This has resulted in a convergence of a new form of intel- sions. IoT architectures can also be both a ramp to the cloud

28 Engineers Guide to LTE and 4G 2014


Special Feature

scale efficiently, increase average revenue per


device and create competitive differentiation,
while responding to the needs of specific ver-
tical industries.

A major challenge is market fragmentation.


The market is composed of many different
vertical industries and their applications tend
to have little overlap, making it difficult to
scale solutions. There are also complexity and
customization requirements, as the technolo-
gies involved in creating intelligent systems
are extremely broad and complex, and most
Figure 1: The value equation for operators and device manufacturers sometimes solutions do not provide a seamless end-to-end
does not match investment strategy experience between the business backbone and
the system or device domain, and thus must be
and a means of exploiting the clouds potential, enabling customized to some degree. There is also a lack of specialized
businesses to develop new B2B and B2C services that create skills and expertise, as the skills required to build intelligent
new efficiencies and economies. devicesin addition to the requisite market strategies
typically reside outside the core competency of operators and
A further factor is the ecological perspective: machines can device manufacturers.
perform power-management tasks with finer precision and
faster response times than manual human-dependent sys- Slowly evolving standards in technology or application
tems, thereby saving energy, prioritizing usage and setting deployment is another challenge as the core components of
policies for response to outages, for example. IoT architectures have often been implemented in an ad-hoc
fashion, using multiple com-
Challenges peting standards in development
IoT architectures can enable and and deployment. And finally,
accelerate many new service As data becomes the new few operators or device manu-
opportunities and also accelerate facturers can create IoT-based
revenue generation, but there currency of business, IoT solutions without significant
are significant challenges that assistance from partners; and
architectures can supply
impede scalability across vertical typically these partners are not
markets, including differing both the raw material and part of their current ecosystem.
requirements of those involved in
the industry. sophisticated real-time Ecosystem
IoT will change well established
The companies that are building analytics that shape and ecosystems. Although it is still
the market for IoT plays have yet to be seen how the new
guide more intelligent
to address a series of questions. ecosystem will build up over
What is the best way to allow business decisions. the next few years, we do know
the wealth of new applications, that IoT enables a wealth of
systems and devices to connect new applications or services,
to complex and often fragile net- i.e., Security-aaS, Platform-
works? How can Big Data inform and guide the design of aaS, Infrastructure-asS, Tools-aaS, etc. This will shift
systems and devices for a better connectivity experience? former device-centric monetization towards service-or
How to deal with the data exchange between still stove- software-centric monetization with significant new business
piped vertical markets, systems and applications? How opportunities. Former OEMs and even distributors will need
can the operational efficiencies of IoT-enabled systems be to rethink their business strategies.
scaled and create higher profit potential? And how can suc-
cesses and lessons learned be leveraged more broadly across The power of IoT comes though with the shift from former
multiple vertical markets to compound the benefits? well-established but isolated, vertical ecosystems into a seam-
less horizontal approach, enabling seamless data exchange.
Two of the key groups of solution providers for IoT concepts Independent software vendors (ISVs) will need to become
today are operators and device or system manufacturers. horizontal cross-domain innovators and enable IoT service
They have very different perspectives on the opportunities, owners with highly reliable services enabling IoT architec-
but all of them are looking to develop solutions that will tures, allowing for service-level agreements by spanning

29
www.eecatalog.com/4G
Special Feature

or aggregating multiple verticals. Seamless


end-to-end data flow and data exchange across
vertical boundaries will become a key topic.

Outsourcing
In dealing with these challenges, operators
and device manufacturers sometimes take
a do-it-yourself approach and try to build
internal competence rather than outsource key
aspects of creating new devices and services
for the intelligent systems market. Operators
and device manufacturers usually perceive
BSP: Intel Atom Wind River
the highest value is in the application and the
device-specific middleware. But in many cases,
their R&D investments are being made much Figure 2: Key components of the Wind River Intelligent Device Platform
lower down in their run-time or embedded stack
(see Figure 1). Platform (see Figure 2) simplify development, integration
and deployment of IoT gateways or M2M end devices, with a
The net result, in many cases, is an excessive investment in focus on delivering capabilities in four core categories:
R&D that actually detracts from the creation of the differen-
tiating applications and services valued by customers, along 1. Connectivity: Simplifying device connectivity for wireless
with delays due to complexity, lack of experience and other and wired networks, speeding time-to-market and reducing
previously mentioned factors. Businesses end up driving expense for device manufacturers
operating expenditures higher, missing market windows and
failing to exploit opportunities. 2. Manageability: Delivering pre-integrated and supported
management softwareand collaborating with best-
Many operators and device/system manufacturers, however, in-class hardware and software and system integration
have decided that it makes sense to move investment up to partnersmaking it much easier to manage remote con-
the application and service area and let a qualified partner nected devices and reduce total cost of ownership
focus on the non-differentiating, foundational, application-
ready technology; i.e., to build a service-centric selling model 3. Security: Providing tightly integrated, state-of-the-art
rather than technical competence in an area that delivers security capabilities for protecting devices and their data,
little competitive advantage. Many operators have already while at the same time allowing for an end-to-end protection
taken the first step by offering connectivity services for strategy in close cooperation with open standard partners
M2M and intelligent distributed systems applications. This and Intel family members such as McAfee.
market is an immediate opportunity but it is also very lim-
ited. Analysts have shown that for mobile operators, M2M 4. Intelligence: Enabling a seamless concept for data acqui-
traffic represents approximately 0.7% to 2.7% of total mobile sition, aggregation and normalization of data allows for
revenue today and it is not growing rapidly. innovation on IoT architectures and enables IoT service
owners to offer key differentiation in terms of new services
Forward-looking operators and device manufacturers are and applications.
now searching for opportunities to provide service revenue
enablementdelivering innovation platforms and developer Conclusion
environments that smooth the integration of enterprise apps The market potential enabled through the Internet of Things
with networked remote devicesin order to capture enter- is huge, but actual benefits achieved by businesses have
prise customers and application developers. Beyond that, been constrained by the complexity of producing real-world
they are looking to serve the market as service providers, applications. This will changerapidlyonce operators and
with bundled offerings for B2B and B2C customers, along device manufacturers are freed to focus on their true value
with IT services and service management offerings, in order add: innovative new services and applications.
to establish new service-centric revenue streams.

A Smarter Approach to the Internet of Things Development Jens Wiegand is vice president and general man-
Technology providers like Wind River are facilitating these ager of strategic marketing at Wind River. A
efforts by reducing complexity, aggregating supply chains veteran in the industry, he brings over two decades
through higher integrated software solutions and enabling of high-tech industry expertise in defense, auto-
rapid innovation and time-to-market for IoT-based solutions mation and embedded computing sectors.
at lowered cost. Solutions like Wind River Intelligent Device

30 Engineers Guide to LTE and 4G 2014


ITS A LAB. Tuesday, January 7
through Friday, January 10, 2014
Las Vegas, Nevada CESweb.org #CES2014

A SOCIAL HUB.
A MARKETPLACE.
AND A FEW THINGS
THEYVE YET TO
CREATE WORDS FOR.
Over four days, those who shape the future gather in Las Vegas.
Here, brands, markets and economies converge in whats far more
than a tradeshow. And in 2014, theres more opportunity than
ever to connect with those who matter. All thats missing now
is youand the right word to describe it all.
Register today at CESweb.org.
Viewpoint

SDN and NFV Choosing the Right Line


Service provider investments in Software Defined Networking (SDN) and Net-
work Functions Virtualization (NFV) initiatives may lead to innovative and valu-
able new services.
By Charlie Ashton, 6WIND

Many speakers at recent industry conferences proclaim that In terms of the business benefits of SDN and NFV, most of the
networking is at a breaking point or our network technology discussion to date appears to be around saving money. Signifi-
is holding us back. Markets are changing at an incredible pace cant CAPEX and OPEX savings are expected through the much
and telecom operators, network service providers and large more flexible traffic management and the virtualization of
enterprise IT departments are hampered by an expensive, functions that have traditionally been implemented as stand-
inflexible network infrastructure. The proposed solution: move alone, dedicated, fixed-function equipment.
from todays hardwired proprietary hardware-driven net-
works to a virtualized, software-driven strategy running on From the perspective of the service providers, though, I believe
standard server platforms. The highly visible Software Defined an equal focus should be placed on top-line P&L growth. Focus on
Networking (SDN) and Network Functions Virtualization cost reduction simply provides the same services at a lower cost. It
(NFV) initiatives are working to provide a lower cost, highly is innovative new services that will propel the industry forward.
flexible foundation for the future network infrastructure.
SDN and NFV technologies make it easier to develop, trial and
The root cause of many of the challenges faced by service providers introduce new applications and services. In the highly virtual-
today is that the existing network infrastructure is expensive and ized, software-driven networking world, you can develop and
inflexible because its based on single-function network appliances test new applications without the need for expensive new tech-
that utilize proprietary technology (typically ASICs) in order to nology and the extensive modification of existing applications.
meet the required level of performance and functionality. While In fact, many new services can be created by simply linking
this strategy was very appropriate for the technology available together existing functionssort of a composite application.
10-20 years ago, it has led to a network infrastructure that has a
high capital expense (CAPEX) due to the proprietary nature of the Its interesting to speculate on what kinds of innovative and
hardware solutions and a high operating expense (OPEX), such as presumably valuable new services we can expect thanks to the
power and people, due to the number of single-function systems massive investments that service providers will presumably
required to support the growing number of customers in increasing be making in SDN and NFV. This subscriber-side view doesnt
complex networks. The ability to develop and deploy new applica- seem to be a big topic (yet) at networking events, but there
tions and network capabilities is extremely limited due to the were some relevant discussions at recent industry conferences.
number of systems that have to be changed or upgraded, slowing Potential new services could include bandwidth-on-demand,
time-to-market and making innovation very expensive. services-on-demand, tiered security and home automation.

Multiple technology drivers have collided to create a network As the SDN / NFV network-level architecture details and
strategy for the next generation of telecommunications, data deployment strategies firm up, so that the service providers
center and enterprise networking. New processors that are both have confidence about the new capabilities of the infrastruc-
faster and include advanced optimization technology now provide ture, Im sure well see a lot more discussions about interesting
the necessary performance to support consolidated, multifunc- new services that will raise our monthly bills as subscribers
tion workloads without the need for more costly customization and boost the service providers top-line revenue.
technology. SDN is an emerging network architecture where
network control is decoupled from forwarding and is directly
programmable. This migration of control, formerly tightly bound Charlie Ashton is VP of marketing and busi-
in individual network devices, into accessible computing devices ness development at 6WIND. Charlie has held
enables the underlying infrastructure to be abstracted for appli- leadership roles in both engineering and mar-
cations and network services, which can treat the network as a keting at software, semiconductor and systems
logical or virtual entity. NFV is an initiative to virtualize network companies including Green Hills Software,
functions previously performed by proprietary dedicated hard- Timesys, Motorola (now Freescale Semiconduc-
ware. The goal of this effort is to reduce the operational cost of tor), AppliedMicro, AMD and Dell. Charlie graduated from
network devices such as routers, firewalls and security appliances the University of Reading in England with a BS degree in elec-
by allowing them all to run on a common, commodity platform trical engineering.
that would host the necessary environments.

32 Engineers Guide to LTE and 4G 2014


Complete
Coverage of 30+
Key Embedded
Technologies

Valuable Company
and Product
Information

Opinions and Insight

Featured
Blogs
Smooth your route to LTE Advanced
For wireless applications today and We work in close partnership with Texas
tomorrow, CommAgility provides Instruments and Xilinx to know the
the hardware solutions to meet your best approach today and tomorrow,
challenging baseband processing and and we have the necessary application
and technological expertise to make a
RF demands. We have the expertise
difference to your program.
to handle whatever 4G standard you
need, including LTE, LTE Advanced By choosing CommAgility as your
and beyond. partner, you can cut time to market,
reduce costs and lower your risk. Our
We work closely with a range of application-specific designs are proven,
customers around the world, including tested and ready to go, and you will
test equipment manufacturers and have the reassurance of working with the
telecom equipment providers. Our experts in signal processing subsystems
systems are based around open for wireless.
standards, and deliver exceptionally high
performance in a compact space. Working with CommAgility brings you:
High performance DSP and FPGA
CommAgility provides a range of flexible processing in a compact space
solutions up to and including a full 4x4 Unrivalled expertise in designing
RF, PHY and MAC processing solution on signal processing hardware for wireless
a single card. Software elements up to a applications
full LTE stack are available via our partner Responsive global support
relationships, thereby offering a complete The experience to make your project a
software solution. success

CommAgility delivered working product quickly enabling our development to start early.
It combines technical excellence with speed of development. CommAgility has also consistently
provided excellent technical support from day one of our engagement with them.
Evan Gray, Product and Marketing Director, Aeroflex Wireless Division

www.commagility.com/lte
sales@commagility.com
Tel: +1 (281) 251 7167

Das könnte Ihnen auch gefallen