Sie sind auf Seite 1von 8

Abstract:

Now a days our requirements are not limited. We want to achieve more and more in a limited
time. We want to do all works as soon as possible with highest reliability factor. Then the
process requirement turns into automation means work with less human intervention. Computer
is the core of this automation process. There are various significant applications of computer.
Such as ATM, Laptop, Palmtop, World Wide Web, e-mail, e-commerce, and so on. All these
works are done by the microprocessor of the computer. Intel is one of the best manufacturers
in the world. This process will give us total study of various microprocessors developed by
Intel. Mostly we will discuss about Pentium processor. We will study various types of
software and hardware of Pentium in detail . Pentium CPU uses pipelining, superscalar
execution, and branch prediction techniques integrated with 3.1 million transistors in 0.8- mu m
BiCMOS technology. We will also discuss about the compatibility, performance, organization,
and development of Pentium.
Introduction:

With the invention of abacus in Babylonia, back from 3000 BC the computing history starts.
However in 1800 BC scientists started solving numerical problems using different types of
algorithm. To cope up with the development of civilization the computing machines need to be
faster, time consuming, correct calculation for this the scientist tried to develop computing
machines that can fulfill their requirements. In US census, the calculator named
electromechanical calculator was used during 1890. In 1940, the first Digital Computer named
Complex Numerical Calculator was introduced in Bell Labs. In 1946 the first general purpose
computer known as Electronic Numerical Integrator and Computer was made and used in world
war ii. By this the invention of computing devices started. I n 1951 the vacuum tube was
invented. With this development computer designer started to design new computing
machines. With the invention of transistor the new age of modern computer is started. In this
era the computer consumed many features and their size gets smaller. We are now in the age
of microcomputers we have left behind the mainframes and the minicomputers, etc. Input,
output, memory, data path and control are the main five components of computers. The
active part of the computer is microprocessor. In 1971 the first microprocessor was built
named Intel4004 having 2300 transistors. In 1979, the first commercially microprocessor
was available named Intel 8086. In Intel family the Pentium is the most popular processor. In
this paper the full section is dedicated to the fastest commercially available microprocessor
till date which is Pentium processor.

History:

Intel first introduced microprocessors in 1969. Microprocessor 4004 was the 4 bit processor.
8080, 8085 are 8-bit microprocessors. In 1979 Intel introduced 8086 microprocessor having 20
bit address bus and 16 bit data bus. Then Intel introduced 8088. Which is a less expensive
version having 8-bit of data bus also having address up to 4 segments of 64 KB. 8018 is the
faster version of 8086 having 20 bit address bus and 16 bit of data bus with improved set of
instruction. In 1982 80286 was introduced having 20 bit of address bus, 16 MB address space,
enhanced with memory protection capabilities, introduced protected mode(Segmentation in
protected mode is different from the real mode), Backwards compatible. In 1985, 80386 was
introduced having processor of 32-bit for the first time also having 32-bit data bus and 32-bit
address bus, 4 GB address space, segmentation can be turned off (flat model), also introduced
paging. In 1989 Intel introduces 80486. It is the improved version of 386. With having combined
coprocessor functions for performing floating-point arithmetic operation. Also have the
capability of decoding instruction and execution units. In 1993, Pentium (80586) was introduced.
It has 64-bit of data bus and similar to 486. Its internal data path is 128- and 256-bit wide. It was
added with second execution pipeline (Superscalar performance, two instructions/ clock). It was
also doubled on-chip L1 cache (8 KB data, 8 KB instruction), added branch prediction.

Table: Different types of Intel processor


Features of Pentium microprocessor:

It has 64 bit data bus. 8 bytes of data information can be transferred to and from memory in a
single bus cycle. Supports burst read and burst write back cycles and also supports pipelining. It
has an instruction cache. It has 8 KB of dedicated instruction cache. It has two Integer execution
units, one Floating point execution unit and dual instruction pipeline. There are 256 lines
between instruction cache and pre-fetch buffers; allows 32 bytes to be transferred from cache to
buffer. It has data cache which gives 8 KB dedicate to execution units. It has two parallel integer
execution units that allow to be executed simultaneously in a single processor clock. It has
floating point unit. The speed is up to 5 times for common operations including add, multiply
and load, than 80486. It has Branch Prediction Logic to reduce the time required for a branch
caused by internal delays. It has Data Integrity and Error Detection capability. It checks Data
parity on byte byte basis. It is Dual Integer Processor. It has Super- scalar architecture.

Super- scalar architecture: The fifth-generation Pentium and newer processors feature multiple
internal instruction execution pipelines, which enable them to execute multiple instructions at the
same time. The 486 and all preceding chips can perform only a single instruction at a time. Intel
calls the capability to execute more than one instruction at a time superscalar technology. This
technology provides additional performance compared with the 486. Superscalar architecture
usually is associated with high-output Reduced Instruction Set Computer (RISC) chips. A RISC
chip has a less complicated instruction set with fewer and simpler instructions. Each of the
instruction accomplishes less; overall the clock speed can be higher, which can usually increase
performance. The Pentium is one of the first Complex Instruction Set Computer (CISC) chips to
be considered superscalar. A CISC chip uses a richer, fuller-featured instruction set, which has
more complicated instructions. As an example, say you wanted to instruct a robot to screw in a
light bulb.

Internal structure of Pentium microprocessor:


Figure: Pentium microprocessor

Pentium generation:

Pentium (80586) was introduced in 1993. It is similar to 486 but with 64-bit data bus. It has
wider internal data paths (128- and 256-bit wide). It adds second execution pipeline (Superscalar
performance, two of instructions/clock). Then Pentium Pro added in the family. It was introduced
in 1995. It has three-way superscalar and 3 instructions/clock. It has 36-bit address bus, 64 GB
address space. It introduces dynamic execution (out-of-order execution, speculative execution).
After two year Intel introduces Pentium II in 1997. First time it introduces multimedia
(MMX) instructions also introduces comprehensive power management features (sleep, deep
sleep). Then Intel introduces Pentium III. The Pentium III is essentially a Pentium II running at
higher speed, with two interesting and useful features they are:

The processor serial number and

Streaming SIMD Extensions (SSE).

Recently Intel introduced their newest line of the Pentium 4 processors with the new Prescott
core. The Pentium 4 line of processors encompasses a large range of clock speeds, from 1.7GHz
up to 3.6GHz in the Prescott chip.
Modes of Pentium processor:
Pentium supports two modes. They are real mode and protected mode.
Real Mode: In real mode Pentium processor use 16-bit addresses, run 8086 programs, Pentium
acts as a faster 8086.

Segmented organization: 16-bit wide segments, two components Base (16 bits), offset (16 bits)
Two-component specification is called logical address. It is also called effective address. 20-bit
physical address
Programs can access up to six segments at any time. Two of these are for Data, Code.
Another segment is typically used for Stack. Other segments can be used for data, code.

Protected mode: In protected mode Pentium processor use 32-bit mode, native mode of Pentium,
supports segmentation and paging. It supports sophisticated segmentation. Segment unit

Translates32-bit logical address to 32-bit linear address; paging unit translates 32-bit linear
address to 32-bit physical address

There is also an operation named Mixed-Mode Operation. It is possible to combine 16-


bit and 32-bit operands and addresses. D/B bit indicates the default size (0 = 16 bit mode, 1 = 32-
bit mode). Two override prefixes are provided by Pentium one for operands and one for
addresses.

Pentium Registers:
General registers: In Pentium processor four 32-bit registers can be used as Four 32-bit register
(EAX, EBX, ECX, EDX), Four 16-bit register (AX, BX, CX, DX), Eight 8-bit register (AH, AL,
BH, BL, CH, CL, DH, DL).
Index registers: In Pentium processor there are two indexes registers 16- or 32-bit registers.
Used in string instructions, Source (SI) and destination (DI), Can be used as general-purpose data
registers
Pointer registers: There are also two pointers registers 16- or 32-bit registers. Used exclusively
to maintain the stack
Control registers: (E) IP used as Program counter. (E) FLAGS used as Status flags also record
status information about the result of the last arithmetic/logical instruction. Direction flag is
used for Forward/backward direction for data copy. System flags (IF: interrupt enable, TF: Trap
flag (useful in single-stepping)).

Segment register: Six 16-bit registers that support segmented memory architecture. At any time,
only six segments are accessible. Segments contain distinct contents Code, Data, Stack.

Instruction set of Pentium:


To make a computer hardware work we must speak to the hardware in its language. The words of
this machine language are called instructions, and the vocabulary is called an instruction set.

Integer Instructions: The integer instructions include different types of instructions like, data
transfer instructions (PUSH, POP, MOV.); binary arithmetic instructions (ADD-integer add,
ADC -Add with carry, SUB-Subtract, SBB-Subtract with borrow etc.); Decimal Arithmetic
(DAA-Decimal adjust after addition, DAS-Decimal adjust after subtraction, etc.); Logic
Instructions (AND, OR, XOR, NOT); Shift and Rotate Instructions (SAR-Shift arithmetic right,
SHR-Shift logical right, etc.)

MMX Technology Instructions: All of the MMX technology instructions are grouped as
MMX Conversion Instructions, MMX Packed Arithmetic Instructions, MMX
Comparison Instructions, MMX Logic Instructions, MMX Shift and Rotate Instructions, or
MMX State Management.
Floating-Point Instructions: These instructions include different types like, Data Transfer
(FLD-Load real, FST-Store real, etc.); Basic Arithmetic (FADD-Add real, FADDP-Add real and
pop, etc.); Comparison (FCOM-Compare real, FCOMP-Compare real and pop, etc.)

System Instructions: These instructions are used to control those functions of the processor that
are provided to support for operating systems and executives.
Table: Instruction Set

Conclusion: This work is an extensive survey of Pentium microprocessor. First of all, the
work explores the computing history. In this work there is the detailed analysis of Pentium
processor generation, features of Pentium processor, detail description of the instruction set of
Pentium, the registers of it, and the mode of this processor.

References:
https://pdfs.semanticscholar.org/032a/3c401af4aaebc4ded12e80d3beb1ebb7efac.pdf
http://service.scs.carleton.ca/sivarama/org_book/org_book_web/slides/chap_1_versions/c
h7_1.pdf
http://www.ques10.com/p/13572/write-down-features-of-pentium-processor-1/
http://www.informit.com/articles/article.aspx?p=482324&seqNum=4
https://www.pcper.com/reviews/Processors/Intel-Pentium-4-34-GHz-Prescott-Processor-
Review/Conclusion

Das könnte Ihnen auch gefallen