Sie sind auf Seite 1von 17

AUTOMATISME SEQUENTIEL 1

AUTOMATISME SEQUENTIEL
1 INTRODUCTION
2 MEMOIRES ASYNCHRONES
3 MEMOIRES SYNCHRONES
4 APPLICATION AUX AUTOMATISMES SEQUENTIELS (GRAFCET)
5 SYNTHESE BLOCS FONCTIONNELS
6 BLOCS FONCTIONNELS STANDARDS
7 EXERCICES
1) INTRODUCTION
Un automatisme squentiel dpend de ltat prcdent de ses sorties et de ltat de ses entres. A une
combinaison des variables dentre peut correspondre plusieurs tats des variables de sortie.

Variables logiques dentre


Variables logiques de sortie
Horloge SYSTEME
(mmoires synchrones) SEQUENTIEL

(tat prcdent des variables de sortie)

2) MEMOIRES ASYNCHRONES ( ou bascules)

2.1 Mmoire arrt prioritaire (ou bascule RS):

Fonctionnement : Chronogrammes ( complter):


Soient deux boutons poussoirs : R (reset) et S (set). On veut
raliser la fonction logique Q rpondant au cahier des charges
S Mmoriser
suivant : Q
une
- si appui sur R, Q passe 0 R information
- si appui sur S, Q passe 1
- aprs relchement des BP, Q garde la valeur logique
prcdente
- si appui simultan sur R et S, Q passe 0

Table de vrit ( complter): avec Q n-1 tat prcdent de Q n

Repres R S Q n-1 Qn Commentaires


0 0 0 0
0 0 1
0 1 0
0 1 1
1 0 0
1 0 1
1 1 0
1 1 1

Tableau de Karnaugh ( complter):

00 01 11 10

On en dduit lquation logique : Q n ou quation simplifie : Q =


AUTOMATISME SEQUENTIEL 2

Table de vrit simplifie :

R S Q Commentaires
0 0 Q n-1
0 1 1
1 0 0
1 1 0

Schma lectrique contacts :

R
Q

Q
P
Logigramme complter C

Q
R
Q

Forme canonique base de NOR :

Q=(S+Q).R=(S+Q)+R

S 1 Q?
R S Q? Q Commentaires
0 0
0 1
1 Q 1 0
R 1 1

Donc Q ? = .. sauf pour ltat ..


Une mmoire est un lment bistable

Symbole : (Les indices de dpendance permettent de prciser ltat logique de la bascule pour S = R = 1, ici
lentre R est prioritaire)

S S 1 Q

R R1 1 Q

Bascule RS arrt prioritaire


AUTOMATISME SEQUENTIEL 3

2.2 Mmoire marche prioritaire (ou bascule R S):

Soient deux boutons poussoirs : R (reset) et S (set). On veut raliser la fonction logique Q rpondant au cahier
des charges suivant :
- si appui sur R, Q passe 0
- si appui sur S, Q passe 1
- aprs relchement des BP, Q garde la valeur logique prcdente
- si appui simultan sur R et S, Q passe 1

Table de vrit : avec Q n-1 tat prcdent de Q n

Repres R S Q n-1 Qn Commentaires


0 0 0 0
0 0 1
0 1 0
0 1 1
1 0 0
1 0 1
1 1 0
1 1 1

Tableau de Karnaugh :

00 01 11 10

On en dduit lquation logique : Q n = ou quation simplifie Q =

Table de vrit simplifie :

R S Q Commentaires
0 0 Q n-1
0 1 1
1 0 0
1 1 1

Schma lectrique contacts :

S
Q

Q
R
Logigramme complter :

S Q

R
Q

YD
AUTOMATISME SEQUENTIEL 4

Forme canonique base de NAND :

Q= S+(Q .R)= S.(Q .R)

S
S 1
& Q
R S Q? Q Commentaires
R S
0 0
R & Q? 0 1
1 1 0
R 1 1

Donc Q ? = .. sauf pour ltat ..


Symboles :

S S1 1 Q

R R 1 Q

Bascule RS marche prioritaire


2.3 Exemple tir du TP Cblage des entres-sorties TOR
Sens 1
Sens 2
E1 E2

2 1 Fonction : dtecter le sens de


passage dune pice et compter les
pices uniquement si sens 1
E1 et E2 :2 capteurs
1 2 photolectriques

Complter les chronogrammes pour les variables E1, Mmoire1 , Mmoire 2 et E2 et en dduire la fonction de
ce programme Sens 1 Sens 2

YD
AUTOMATISME SEQUENTIEL 5

3) MEMOIRES SYNCHRONES

3.1 Mmoire RST :

Les chargements des entres sont valids par une entre dite dhorloge (time ou clock) .
Cette entre peut-tre du type dynamique (active sur un front) ou statique (active sur un tat logique).

Symboles : Dynamique front montant (raising edge)

Front descendant (failing edge)

Ralisation dune mmoire RST avec des portes NAND :

S Symbole :
S.T
& S Q
& Q
T
T
R.T Q
& Q
R & R
Pour T = 1 , la bascule est dite transparente. S R T Q Q
Pour T = 0 , la bascule est verrouille.
Ltat logique R = S = T = 1 est toujours impossible du point de vue logique.

3.2) Mmoire D (DATA):

Pour viter ltat logique impossible, on cble une seule entre extrieure, lentre de donne D.

3.2.1) Mmoire D statique :(active sur le niveau haut de lhorloge H) D


Symbole : Q
D
D.H &
& Q
Q
H H
D H Q Q
D.H & Q
&
1
Pour H = 1, la bascule recopie lentre D.
Pour H = 0, la bascule reste fige et garde en mmoire la valeur prcdente de Q.

YD
AUTOMATISME SEQUENTIEL 6

3.2.2) Mmoire D dynamique : (active sur le front montant ou descendant de H, suivant le symbole)

Symbole :
D Q D H Q Q

Q
H
3.2.3) Chronogrammes des deux types de mmoires :
A reprsenter en tenant compte du
D temps de propagation dune
mmoire soit tp de quelque nano
secondes 10 -9 s

Q
dynamique

Q
statique

3.2.3) Exemples dapplication :

Registre dcalage trois bascules D : (montage dit synchrone)


Complter les chronogrammes correspondant au schma propos.

D1 Q1 Q2 Q3

H H H

Q1

Q2

Q3

YD
AUTOMATISME SEQUENTIEL 7

Dcompteur binaire trois bascules D : (montage dit asynchrone)


Complter les chronogrammes correspondant au schma propos (Q1=Q2=Q3=0 t = 0).
D1 Q1 D2
Q2 D3 Q3

H1

Q1 Q2 Q3
H1

D1= Q1

Q1= H2

D2= Q2

Q2= H3

D3= Q3

Q3

Compteur binaire trois bascules D : (montage dit asynchrone)


Complter les chronogrammes correspondant au schma propos(Q1=Q2=Q3=0 t = 0).
.

D1 Q1 D2
Q2 D3 Q3

H1

Q1 Q2 Q3

H1

D1=Q1=H2

Q1

D2=Q2=H3

Q2

D3=Q3

Q3

YD
AUTOMATISME SEQUENTIEL 8

4) Application de lautomatisme squentiel au grafcet (diagramme fonctionnel de


squences ou SFC)
4-1) Reprsentation dun grafcet en langage contacts :
Soit le grafcet suivant :

MA GRAFCET KM1
0 LL

MA . LL

KM1 EV1 EV2


1 Chaque tape est une mmoire marche
prioritaire :
- activation si ltape(s) prcdente(s)
est active(s) et si la rceptivit
LH1 associe la transition amont est vraie
- dsactivation si ltape(s) suivante(s)
est active(s)
EV1 KM1
2

LH2 LH3

EV2 EV3
3 4

AR AR

Donner les conditions dactivation et de dsactivation de chacune des tapes suivantes X1, X2 et X3.
Reprsenter sous forme de schma contacts la commande de ces tapes.
SX1=
S
RX1=

SX2=
S
RX2=

SX3=
S
RX3=
YD
R
AUTOMATISME SEQUENTIEL 9

Donner les quations boolennes et les reprsentations sous forme de schmas contacts des sorties KM1, EV1
et EV2 (appliquer la rgle de lunicit des sorties API : une seule bobine par adresses de sortie API)

KM1=

EV1=

EV2=

4-2) Reprsentation dun grafcet en logigramme :

0
Reprsenter le fonctionnement de ce grafcet en compltant
le logigramme ci-dessous base de mmoires marche
prioritaire. MA . LL
Le BP Init est utilis pour forcer la premire tape
lactivation. KM1 EV1
1

LH1

EV2
2

LL2

Init
1 S1 1Q S1 1Q S1 1Q

R X0 R X1 R X2
YD
AUTOMATISME SEQUENTIEL 10

5- Synthse des blocs fonctionnels mmoires

Mmoire RS arrt S R Q Q
prioritaire : S S 1 Q 0 0 Qn-1 Qn 1
0 1 0 1
R R1 1 Q 1 0 1 0
1 1 0 1
Mmoire RS marche S R Q Q
prioritaire : S S1 1 Q 0 0 Qn-1 Qn 1
0 1 0 1
R R 1 Q 1 0 1 0
1 1 1 0
Mmoire D statique D C Q Q
D 1D Q 0 1 0 1

1 1 1 0
C C1 Q 0 0 Qn-1 Qn 1
1 0 Qn-1 Qn 1
Mmoire D dynamique: D C Q Q
D 1D Q 0 0 1

1 1 0
C C1 Q
0 0 ou 1 ou Qn-1 Qn 1
1 0 ou 1 ou Qn-1 Qn 1

YD
AUTOMATISME SEQUENTIEL 11

6- Comparaison dcriture de blocs fonction standard en PL7 Pro et Step7


6.1) Temporisation :
(Exemple temporisation retard lenclenchement)
Norme CEI 1131-3 (langages de programmation des API):

TON
BOOL BOOL
IN Q

TIME PT ET TIME

Langage Siemens STEP 7 :

Langage Schneider PL7 Pro :

10

%TM0 : n didentification du bloc fonction temporisateur


IN : entre de validation de la temporisation sur front montant
Mode : TON soit retard lenclenchement
TB : base de temps
%TM0.P : valeur de prslection de la temporisation.
Modif : Y soit modification de la valeur de prslection en mode rglage
%TM0.Q : sortie passe 1 lorsque %TM0.V = %TM0.P
%TM0.V : valeur courante de la temporisation
Chronogrammes complter pour %TM0.P =5secondes

%I1.0=IN

%TM0.V

%Q2.0=Q

YD
AUTOMATISME SEQUENTIEL 12

6.2) COMPTEUR
Norme CEI 1131-3 (langages de programmation des API):

CTU
BOOL BOOL
CU Q
BOOL
R
INT INT
PV CV
Langage Siemens STEP 7 :

Langage Schneider PL7 Pro :

%C0 :..
R :
S : :..
CU : :..
CD : :..
%C0.P : :
Modif : :..
E : :.
D : :
F : :
Chronogrammes complter pour%C0.P = 3

%I1.4=R

%I1.2=CU

%C0.V

%Q2.1=D

YD
AUTOMATISME SEQUENTIEL 13

Reprsentation normalise des blocs fonctionnels standards


BLOCS FONCTION CHRONOGRAMME LOGIGRAMME FBD pour automate CEI 1131-3
MEMOIRE Mmoriser une information binaire S Exemple marche prioritaire Exemple marche prioritaire
1
0 S
R S1 1 Q SR
BOOL
BOOL
1 S1 Q1
0
Q R R BOOL R
1
0

TEMPORISATEUR Retarder lapparition ou la disparition dune Exemple temporisateur


E
information binaire 1 lenclenchement
0 TON
t1 : retard .. E S BOOL
BOOL
t2 : retard .. S IN Q
1 t1 t2
0 TIME PT ET TIME

MONOSTABLE Prolonger ou calibrer la dure t dune E


information binaire 1
0 E S TP
BOOL BOOL
IN Q
S
1 t TIME
TIME PT ET
0

COMPTEUR Compter des informations binaires E


1 E CTU
S BOOL BOOL
0 CU Q
BOOL
S R
P INT
1 INT PV CV
0
Type de donnes utilises pour la reprsentation dun FBD :
BOOL : variable boolenne (1bit)
TIME : dure (dpend de lapplication)
INT : entier ( 16 bits)
YD
AUTOMATISME SEQUENTIEL 14

7 Exercices :
7.1-Schma de commande dune lectrovanne :
1 partir schma de commande suivant, complter le tableau propos en respectant lordre chronologique impos
du haut vers le bas.

MA EV

EV
LH AU LH
ev
N

V1 CUVE

LH : capteur TOR de niveau du type NF, si le niveau est suprieur au seuil haut, le contact s ouvre.
AU :Bouton poussoir darrt durgence du type NF
MA : Bouton poussoir de marche du type NO
EV : relais de llectrovanne
ev : contact auxiliaire du type NO du relais EV
V1 : lectrovanne NF

Ordre Niveau haut Arrt durgence Marche Relais EV Contact ev Vanne V1

1 Non atteint Non activ Non Non ouvert ferme


activ aliment
2 Non atteint Non activ Activ

3 Non atteint Non activ Non


activ
4 Atteint Non activ Non
activ
5 Non atteint Activ Non
activ
6 Non atteint Non activ Activ

7 Non atteint Non activ Non


activ
8 Non atteint Activ Non
activ
9 Non atteint Activ Activ

2) Prciser lquation boolenne de EV.

Quel est le rle du contact ev ?

Donner le logigramme quivalent pour la commande de EV :

YD
AUTOMATISME SEQUENTIEL 15

7.2) Anti-rebond
Leffet de rebondissement du contact du capteur de pice peut entraner des tats alatoires non dsirs.
Pour viter cet inconvnient, on ralise le montage ci-dessous, complter les potentiels requis (+V et 0v).
Ltat intermdiaire : contact mobile au milieu doit correspondre ltat mmoire

1) Donner la table de vrit de ce logigramme (variables E 1, E2 et Q).


2) Complter les chronogrammes proposs.
rebonds
E1
E1
+V Q
&

0V E2

&
+V
E2
E1 E2 Q Q

7.3) Rgulation TOR avec hystrsis :


Ecrire le programme en langage ladder permettant de raliser une rgulation TOR sur la vanne Ve (type NF) avec
une consigne de 50% et une hystrsis de 1%.

Ve

API
LT S7-300
4-20 mA
HH

HL

7.4) Alarme sur niveau (voir TP) : LT exprime en %


Ecrire le programme en langage ladder permettant de raliser la programmation de lalarme haute HH active si
niveau > seuil (seuil 80 % avec une hystrsis de 5% de ce seuil) et de lalarme basse HL active si niveau <
seuil (seuil de 20 % avec une hystrsis de 5%de ce seuil) sur le niveau de liquide de la cuve ci-dessus.

7.5) Programmation dune sortie analogique (voir TP)


Ecrire le programme en langage ladder permettant de raliser le profil impos sur la sortie analogique.
Pour une plage de 0-10V, la plage numrique correspondante est de 0 10000 points.

Nombre de points
Bornier sortie analogique 8000
0-10 VDC (QW2) Voie 2

V
t

DCY 50s AR 40s


YD
AUTOMATISME SEQUENTIEL 16

7.6) Logique de commande dun moteur de convoyeur :

La commande dun moteur dentrainement dun convoyeur se fait par lintermdiaire de deux
contacteurs KM1 (sens avant) et KM2 (sens arrire).
Loprateur dispose de deux boutons poussoirs de type NO : MA (marche) et AR (arrt) et dun
commutateur deux positions (C = 1 convoyeur marche avant et C=0 convoyeur marche
arrire)
Au dmarrage, la mise en fonctionnement se fait par le choix du sens de rotation souhaite
avec le commutateur C, puis impulsion sur le BP MA
Larrt se fait par une impulsion sur le BP AR.
En cours de rotation du moteur, loprateur dispose galement de la possibilit dinverser le
sens de rotation du convoyeur en manuvrant directement le commutateur C.
Dans ce cas, pour ne pas endommager le moteur (permettre larrt du moteur avant son
redmarrage) son redmarrage en sens inverse nest autoris que 5 secondes aprs la manuvre
du commutateur C
Donner le logigramme de commande de KM1 et KM2.

7.7) Station dpuration des eaux uses :

A lentre dune
station de traitement des eaux uses (voir schma ci-dessus), deux vis dArchimde V1 et V2
relvent les effluents la surface, soit l'entre de la station d'puration. En tournant sur elles-
mmes, elles entranent l'eau vers le haut l'aide de leur forme hlicodale.
La fosse recevant le collecteur darrive des eaux uses est munie de trois capteurs de niveau :
-Un capteur de niveau bas LSL (actif si dcouvert)
-Un capteur de niveau haut LSH (actif si recouvert)
-Un capteur de niveau trs haut LSHH (actif si recouvert)

YD
AUTOMATISME SEQUENTIEL 17

Le cahier des charges des vis est le suivant :


Latteinte du niveau haut LSH provoque la rotation de V1.
Si le niveau baisse jusquau niveau bas LSL, la vis sarrte.
Si le niveau continue de monter jusqu atteindre le niveau trs haut LSHH, les deux vis
fonctionnent ensemble jusqu ce que le niveau bas LSL soit atteint.
Travail demand :

1)Faire un tableau des variables de cet automatisme en prcisant leur nature entres ou
sorties, leur type TOR ou analogique, et la nature des contacts des capteurs NO ou NF.
2)Complter sur le document rponse joint les volutions de LSL, LSH, LSHH, V1 et V2.
3)Dans un premier temps proposer une solution en logique cble afin de commander V1 et
V2 en fonction des capteurs.
4) Dans un deuxime temps proposer une solution en logique programme (en ladder) pour
commander V1 et V2 en fonction des informations fournies par les capteurs. Faire un
schma de principe de cblage des entres et sorties de cet automatisme sur lAPI.

DOCUMENT RPONSE

Niveau deaux uses dans la fosse

HH

L
temps

LSL
1
temps

LSH
1
temps

LSHH
1
temps

V1
1
temps

V2
1
temps

YD

Das könnte Ihnen auch gefallen